3/30/2018 yannis tsividis academic experience · co-recipient of the 1987 ieee circuits and systems...

47
3/30/2018 YANNIS TSIVIDIS Education Ph.D. in Engineering, University of California, Berkeley, 1976. M.S. in Electrical Engineering, University of California, Berkeley, 1973. B.S. in Electrical Engineering, University of Minnesota, Minneapolis, 1972. Academic Experience Positions Held July 84-present: Professor, Department of Electrical Engineering, Columbia University. Sept. 90-June 94: Professor, Department of Electrical and Computer Engineering, National Technical University of Athens. July 81-June 84: Associate Professor, Department of Electrical Engineering, Columbia University. July 76-June 81: Assistant Professor, Department of Electrical Engineering, Columbia University. January 76-June 76: Lecturer, Department of Electrical Engineering and Computer Sciences, University of California, Berkeley. Visiting Professorships and Lectureships February – April 2008: Visiting professor, University of Paris 6 (Marie Curie). June 1985: Visiting Professor, Zhejiang University, Hangzhou, People's Republic of China. January 83-June 83: Visiting Professor, Department of Electrical Engineering, National Technical University of Athens, Greece. September 80-January 81: Visiting Assistant Professor, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology. June 1979: Visiting Lecturer, Instituto Nacional de Astrofisica, Optica y Electronica, Puebla, Mexico. Research Activities Analog and mixed-signal integrated circuits; novel analog, mixed-signal, and digital signal

Upload: others

Post on 10-Jul-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

3/30/2018 YANNIS TSIVIDIS Education Ph.D. in Engineering, University of California, Berkeley, 1976. M.S. in Electrical Engineering, University of California, Berkeley, 1973. B.S. in Electrical Engineering, University of Minnesota, Minneapolis, 1972. Academic Experience Positions Held July 84-present: Professor, Department of Electrical Engineering, Columbia University. Sept. 90-June 94: Professor, Department of Electrical and Computer Engineering, National Technical University of Athens. July 81-June 84: Associate Professor, Department of Electrical Engineering, Columbia University. July 76-June 81: Assistant Professor, Department of Electrical Engineering, Columbia University. January 76-June 76: Lecturer, Department of Electrical Engineering and Computer Sciences, University of California, Berkeley. Visiting Professorships and Lectureships February – April 2008: Visiting professor, University of Paris 6 (Marie Curie). June 1985: Visiting Professor, Zhejiang University, Hangzhou, People's Republic of China.

January 83-June 83: Visiting Professor, Department of Electrical Engineering, National Technical University of Athens, Greece. September 80-January 81: Visiting Assistant Professor, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology. June 1979: Visiting Lecturer, Instituto Nacional de Astrofisica, Optica y Electronica, Puebla, Mexico.

Research Activities

Analog and mixed-signal integrated circuits; novel analog, mixed-signal, and digital signal

Page 2: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

2

processing; fully integrated active filters; MOS device modeling.

Member of the Executive Committee, Engineering Research Center for Telecommunications, Columbia University, 1985-1990.

Industrial Experience

Position Held September 1977-August 1987: Resident Visitor, VLSI Design Group, AT&T Bell Laboratories, Murray Hill. May 1977-July 1977: Member of the Technical Staff, Bell Laboratories, Murray Hill. July 1974 to October 1974: Associate Electronics Engineer, Motorola Semiconductors, Phoenix.

Research activities At Bell Laboratories: Mixed analog-digital MOS VLSI, switched capacitor networks, integrated signal processors; at Motorola: CMOS mixed analog-digital LSI, integrated temperature sensors and reference sources. Personal Information Office Address: Department of Electrical Engineering

Columbia University New York, NY 10027

Office Telephone: (212) 854-4229 Date of Birth: 22 December 1946 Place of Birth: Piraeus, Greece Honors and Awards Outstanding Teaching Assistant Award, University of California, Berkeley, Fall 1972 and Spring 1975. Recipient of the 1984 IEEE W.R.G. Baker Prize Award "for the most outstanding paper reporting original work in the Transactions, Journals and Magazines of the Societies and in the Proceedings of the IEEE" (see “Journal publications” below).

Member, United Nations Advisory Committee on Science and Technology for Development, 1985-87. Guest Professor, Department of Electronics, Zhejiang University, Hangzhou, People's Republic of China (1985). This is a permanent honorary title used by Zhejiang University, and given for

Page 3: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

3

the first time by the Department of Electronics. Fellow, "For contributions to the development of MOS analog circuits," Institute of Electrical and Electronics Engineers, 1986. Recipient of the 1986 European Solid-State Circuits Conference Best Paper Award (see “Publications in Conference Proceedings” below). Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and practice" (see “Journal publications” below). Great Teacher Award, Society of Columbia Graduates, 1991. President, Catalyst Foundation, New York, 1993-98. Distinguished Faculty Teaching Award, Columbia Engineering School Alumni Association, 1998. Recipient of the 1998 IEEE Circuits and Systems Society Guillemin-Cauer Award, “for the best paper published in the IEEE Transactions on Circuits and Systems” (see “Journal publications” below). Charles Batchelor Chair, Columbia University, 1998-2014. IEEE Circuits and Systems Society Golden Jubilee Medal, 2000. Co-recipient, Outstanding Evening Panel Award, IEEE International Solid-State Circuits Conference, 2001. Presidential Award for Outstanding Teaching, Columbia University, 2003. Co-recipient, Lewis Winner Award for Outstanding Paper, 2003 IEEE International Solid-State Circuits Conference. IEEE Undergraduate Teaching Award, 2005. Keynote talk, “Mixed-domain circuits and systems”, International Symposium on Circuits and Systems, Kos, Greece, May 21-24, 2006. Co-recipient, Outstanding Evening Panel Award, IEEE International Solid-State Circuits Conference, 2006. IEEE Gustav Robert Kirchhoff Award, 2007 (This award is given “for outstanding contributions to the fundamentals of any aspect of electronic circuits and systems that has a long-term significance or impact”). 2008 IEEE Circuits and Systems Society Guillemin-Cauer Award, “for the best paper published in the IEEE Transactions on Circuits and Systems” (see “Journal publications” below). Distinguished Faculty Teaching Award, Columbia Engineering School Alumni Association, 2010.

Page 4: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

4

IEEE Circuits and Systems Society Education Award, 2010. Co-recipient, Best Paper Award, Logic and Circuit Design track, 2012 IEEE International Conference on Computer Design (ICCD). Professor Honoris Causa at the University of Patras, Greece, 2012. Outstanding Achievement Award, University of Minnesota, Minneapolis, awarded 2013. Cover story of the IEEE Solid-State Circuits Magazine, entitled “Yannis Tsividis: Path-Breaking Researcher and Educator”, vol. 6, no. 4, pp. 13-55, Fall 2014. Edwin Howard Armstrong Chair, Columbia University, 2014-present. Professional Activities Member of the finance committee, International Symposium on Circuits and Systems, New York, New York, 1978. Standards Liaison representative, IEEE Circuits and Systems Society, 1980, 1981. Associate paper coordinator, International Symposium on Circuits and Systems, Houston, Texas, 1980. Member of the Program Committee and Session Chairman, International Solid-State Circuits Conference, New York, New York 1981. Member of the Technical Program Committee, International Symposium on Circuits and Systems, Chicago, Illinois, 1981. Organizer and Chairman, special session on Switched-Capacitor Circuits, International Symposium on Circuits and Systems, Chicago, Illinois, 1981. Guest editor, special issue on Analog Integrated Circuits, IEEE Journal of Solid-State Circuits, December 198l (with G. Erdi). Member of the Board of Governors, IEEE Circuits and Systems Society (1982-1984 and 1991-1993). Participant in NSF VLSI Applications Workshop, Pittsburgh, PA, August 10-11, 1982 (by invitation).

Consultant to the Minister of Research and Technology of Greece, January-June 1983. Coorganizer, and Chairman of Committee on Microelectronics, "Conference for indentifying research and technology goals for Greece in Microelectronics, Telecommunications, and Informatics"; Ministry of Research and Technology, Athens, Greece, May 1983.

Page 5: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

5

Member of the Scientific Advising Committee, Research Center "Demokritus," Greece, 1983-1994. Guest editor, special section on Switched Capacitor Circuits, Proceeding of the IEEE, August 1983 (with G. C. Temes).

Member of the Technical Program Committee and Session Chairman, International Symposium on Circuits and Systems, Montreal, Canada, May 1984. Member of the Technical Program Committee and Session Chairman, International Solid State Circuits Conference, New York, New York, February 1985. Fellow Committee, IEEE Circuits and Systems Society, 1986 and 1987. Awards Committee, IEEE Circuits and Systems Society, 1988. Education Awards Committee, IEEE Circuits and Systems Society, 1993-96. Participant, IEEE Workshop on Future Directions in Circuits, Systems, and Signal Processing, New Orleans, May 4-5, 1990 (by invitation). Consultant to the semiconductor and instrumentation industry. Member of the Technical Program Committee and Session Chairman, European Solid-State Circuits Conference, Copenhagen, 1992. Member of the Technical Program Committee, European Solid-State Circuits Conference, Sevilla, 1993. Member of the Steering Committee, NEAR, 1991-1994. Member of the Technical Program Committee, 1st IEEE-CAS Region 8 Workshop on Analog and Mixed IC Design, Pavia, Italy, September 13-14, 1996. Member, President's Advisory Council, IEEE Circuits and Systems Society, 1997. Member of the Technical Program Committee and Session Chairman, IEEE International Symposium on Circuits and Systems, Hong Kong, June 1997. Member of the Technical Program Committee, 2nd IEEE-CAS Region 8 Workshop on Analog and Mixed IC Design, September 1997.

Member of the Technical Program Committee, 5th International Conference on Electronics, Circuits, and Systems, Lisbon, Portugal, September 1998. Panelist, 1999 International Solid-State Circuits Conference (Panel title: “Managing Innovation: An Oxymoron?”).

Page 6: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

6

Panelist, 2001 International Solid-State Circuits Conference (Panel title: “Are Startups Killing Innovation?”) (ISSCC Evening Panel Award). NSF Committee of Visitors (Review Panel), 2003. Panelist, 2004 International Solid-State Circuits Conference, (Panel title: “Is the golden age of analog circuit design over?”). Panelist (rump session), 2005 European Solid-State Circuits Conference, Grenoble (Panel title: Where will the revolutionary changes come from: technology or design?”) Panelist, 2006 International Solid-State Circuits Conference, (Panel title: “Present (and future) classic circuits with less than 25 transistors”). IEEE Gustav Robert Kirchhoff Award Committee, 2008. Panelist, 2010 International Solid-State Circuits Conference, (Panel title: “Analog Circuits: Stump the Panel”).

Reviewer for: IEEE Journal of Solid-State Circuits

IEEE Transactions on Circuits and Systems IEEE Transactions on Electron Devices

IEEE Electron Device Letters IEEE Transactions on CAD of Integrated Circuits and Systems IEEE Transactions on VLSI Systems

IEEE Transactions on Neural Networks IEEE Spectrum Proceedings of the IEEE IEE Proceedings, Part G, Electronic Circuits and Systems (UK) International Journal of Circuit Theory and Applications Electronics Letters (UK) Microelectronics Journal Microelectronics and Reliability Canadian Electrical Engineering Journal Solid State Electronics Journal (UK) International Symposium on Circuits and Systems International Solid-State Circuits Conference European Conference on Circuit Theory and Design Midwest Symposium on Circuits and Systems Conference on Advanced Research in VLSI, MIT International Neural Networks Conference National Science Foundation National Sciences and Engineering Research Council of Canada

Foundation for Fundamental Research on Matter, The Netherlands Research Coordination Office, K. U. Leuven, Belgium Esprit European Design Automation Conference

Page 7: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

7

Patents Y.P. Tsividis, "Semiconductor differential amplifier having bias control for stabilization purposes", US Patent #4,213,098, July 15, 1980; Canadian Patent #1, 128, 150, July 20, 1982. Y. Tsividis, "Temperature stabilized voltage reference circuit", US Patent #4, 384, 217, May 17, 1983. M. Banu and Y. Tsividis, "Tunable active filter", US Patent #4,509,019, April 2, 1985; Spanish Patent #529,138, June 28, 1984; Taiwanese Patent #21,061, August 16, 1984; Canadian Patent #1,206,543, June 24, 1986; Italian Patent #1,173,506, June 24, 1987; Belgian Patent # 0134221, March 28, 1990; French Patent # 0134221, March 28, 1990; British Patent # 0134221, March 28, 1990; Netherlands Patent # 0134221, March 28, 1990; German Patent # 3381389, March 28, 1990; S. Korean Patent # 43352, March 16, 1991; pending in Japan. Y. Tsividis, "Semiconductor Transconductor Circuits", US Patent #4,749,957, June 7, 1988. Y. Tsividis, "Switched Neural Networks", US Patent #4,873,661, October 10, 1989. Y.Tsividis, "Switched Networks", US Patent #4,903,226, February 20, 1990. Y. Tsividis, “Linear voltage-controlled resistance element”, US Patent #5,293,058, March 8, 1994; Japanese patent #3,343,255, 2002. Y. Tsividis, “Methods and Systems for Designing and Making Signal Processor Circuits with Internal Companding, and the Resulting Circuits”, US Patent #6,389,445, May 14, 2002. N. Krishnapura and Y. Tsividis, "Circuits with Dynamic Biasing", US Patent 6,683,492, January 27, 2004. N. Krishnapura and Y. Tsividis, "Circuits with Dynamic Biasing", US Patent 6,717,461, April 6, 2004. N. Krishnapura and Y. Tsividis, "Circuits with Dynamic Biasing", US Patent 6,816,003, November 9, 2004. N. Krishnapura and Y. Tsividis, "Circuits with Dynamic Biasing", US Patent 6,861,896, March 1, 2005. A. Yoshizawa and Y. Tsividis, “Active continuous-time filter with increased dynamic range in the presence of blocker signals”, US Patent 6,873,205, March 29, 2005. G. Palaskas, Y. Tsividis, and L. Toth, “Active filter circuit with dynamically modifiable gain”, US Patent 6,958,644, October 25, 2005.

Page 8: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

8

Y. Tsividis, “Power dissipation reduction in wireless transceivers”, US patent 7,010,330, March 7, 2006. Y. Tsividis, “One-pin automatic tuning of MOSFET resistors”, US Patent 7,049,875, May 23, 2006. Y. Tsividis, “Continuous-time digital signal generation, transmission, storage and processing”, US Patent 7,132,972, November 7, 2006. G. Palaskas, Y. Tsividis, and L. Toth, “Active filter circuit with dynamically modifiable internal gain”, US Patent 7,180,939, February 20, 2007. G. Palaskas, Y. Tsividis, and L. Toth, “Circuit and method for dynamically modifiable signal processor “,US Patent 7,274,760, September 25, 2007. Y. Tsividis, “Systems and methods for mixing domains in signal processing”, US Patent 7,280,059, October 9, 2007. Y. Tsividis, “Continuous-time digital signal generation, transmission, storage and processing”, US Patent 7,298,310, November 20, 2007. M. T. Ozgun and Y. Tsividis, “System and method for dynamic power optimization of analog active filters”, US Patent 7,436,251, October 14, 2008. Y. Tsividis, “Circuits and methods for using error correction in power amplification and signal conversion”, US Patent 7,474,237, January 6, 2009. Y. Tsividis, “Systems and methods for creating and using a conditioning signal”, US Patent 7,579,969, August 25, 2009. A. Klein and Y. Tsividis, “Systems and methods for companding ADC-DSP-DAC combinations”, US Patent 7,602,320, October 13, 2009. Y. Tsividis and S. Ranganathan, “MOSFET parametric amplifier”, US Patent 7,911,257, March 22, 2011. S. Chatterjee, P. R. Kinget, and Y. Tsividis, “Low voltage operational transconductance amplifier circuits”, US Patent 8,030,999, October 4, 2011. Y. Tsividis, “Method and apparatus for MOSFET drain-source leakage reduction”, US Patent 8,207,784, June 26, 2012. M. Kurchuk, C. Weltin-Wu, Y. Tsividis, D. Morche, and D. Lachartre, “Systems, devices, and methods for continuous-time digital signal processing and signal representation”, US patent 8,749,421, June 10, 2014. C. Vezyrtzis, A. Klein, Y. Tsividis,and D. Ellis, “Apparatus and methods for processing a signal using a fixed-point operation”, US patent 8,788,277, July 22, 2014.

Page 9: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

9

S. Patil and Y. Tsividis, “Systems and methods for derivative level-crossing sampling”, US patent 9,071,257, June 30, 2015. Y. Tsividis and G. Ning, “Systems and methods for preventing saturation of analog integrator output”, US patent 9,171,189, October 27, 2015. M. Kurchuk, C. Weltin-Wu, Y. Tsividis, D. Morche, and D. Lachartre, “Systems, devices, and methods for continuous-time digital signal processing and signal representation”, US patent 9,184,757, November 10, 2015. S. Patil, Y. Tsividis, D. Morche, and A. Ratiu, “Systems and methods for implementing error-shaping alias-free asynchronous flipping analog to digital conversion”, US patent 9,300,315, March 29, 2016. P. Martinez-Nuevo and Y. Tsividis, “Systems, devices, and methods for continuous time signal processing”, US patent 9,331,721, May 3, 2016. Y. Tsividis, “Power dissipation reduction in wireless transceivers”, US Patent 9,331,728, May 3, 2016. D. Morche, A. Ratiu, S. Patil, and Y. Tsividis, “Continuous time ADC and filter”, US patent 9,344,107, May 17, 2016. Y. Tsividis, “Power dissipation reduction in wireless transceivers”, US Patent 9,838,962, December 5, 2017. Y. Tsividis, “Systems, apparatus, and methods for providing continuous-time signal differentiation and integration, US Patent 9,876,490, January 23, 2018. Ph.D. Thesis "Nonuniform Pulse Code Modulation Encoding Using Integrated Circuit Techniques," University of California, Berkeley, 1976 (advisor: P. R. Gray). Journal Publications Y.P. Tsividis, P.R. Gray, D.A. Hodges, and J. Chacko, Jr., "A segmented u-255 law PCM voice encoder utilizing NMOS technology," IEEE Journal of Solid State Circuits, vol. SC-11, no. 6, pp. 740-747, December 1976. Y.P. Tsividis and P.R. Gray, "An integrated NMOS operational amplifier with internal compensation," IEEE Journal of Solid State Circuits, vol. SC-11, no. 6, pp. 748-754, December 1976. Y.P. Tsividis, "Technique for increasing the gain-bandwidth product of n-mos and p-mos integrated invertors," Electronics Letters, vol. 13, no. 14, pp. 421-422, July 1977.

Page 10: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

10

Y.P. Tsividis, "Design considerations for single-channel MOS analog integrated circuits, -A tutorial," IEEE Journal of Solid State Circuits, vol. SC-13, no. 3, pp. 383-391, June 1978. Y.P. Tsividis and R.W. Ulmer, "A CMOS voltage reference," IEEE Journal of Solid State Circuits, vol. SC-13, no. 6, pp. 774-778, December 1978.

Y.P. Tsividis and R.W. Ulmer, "Threshold voltage generation and supply independence biasing in CMOS integrated circuits," IEE Journal of Electronic circuits and Systems, vol. 3, no. 1, pp. 1-4, January 1979. Y.P. Tsividis, "Analytical and experimental evaluation of a switched-capacitor filter and remarks on the resistor/switched- capacitor correspondence," IEEE Transactions on Circuits and Systems, vol. CAS-26, no. 2, pp. 140-144, February 1979. Y.P. Tsividis and C. Zee, "Distortion and gain tracking in PCM quantizers with non-ideal transfer characteristics," International Journal of Electronics, vol. 46, no. 2, pp. 173-186, February 1979. G. Tzanateas, C.A.T. Salama, and Y.P. Tsividis, "A CMOS bandgap voltage reference," IEEE Journal of Solid-State Circuits, vol. SC-14, no. 3, pp. 655-657, June 1979.

Y.P. Tsividis, "Analysis of switched capacitive networks," IEEE Transactions on Circuits and Systems, vol. CAS-26, no. 11, pp. 935- 947, November 1979. J.I. Arreola, Y.P. Tsividis, E. Sanchez-Sinencio, and P.E. Allen, "A simple implementation of sampled-data filters using current multipliers, switches and capacitors," Electronics Letters, vol. 15, no. 24, 22 November 1979. Y.P. Tsividis, "Relation between incremental intrinsic capacitances and transconductances in MOS transistors," IEEE Transactions on Electron Devices, vol. ED-27, no. 5, pp. 946-948, May 1980. Y.P. Tsividis, "Accurate analysis of temperature effects in Ic- Vbe characteristics with application to band gap reference sources," IEEE Journal of Solid State Circuits, vol. SC-15, no. 6, pp. 1076- 1084, December 1980. Y.P. Tsividis and D.L. Fraser, Jr., "A process-insensitive NMOS operational amplifier," IEEE Journal of Solid-State Circuits, vol. SC-15, no. 6, pp. 921-928, December 1980. Y.P. Tsividis, "Method for signal processing with transfer function coefficients dependent only on timing," Electronics Letters, vol. 16, no. 21, pp. 796-798, 9th October 1980; Comment on above, ibid, vol. 17, no. 3, pp. 147-148, 5th February 1981. Y. Tsividis, "Self-tuned filters," Electronics Letters, vol. 17, no. 12, pp. 406-407, 11 June 1981. Y. Tsividis and D.L. Fraser, Jr., "Harmonic distortion in single-channel MOS integrated circuits," IEEE Journal of Solid-State Circuits, vol. SC-16, no. 6, pp. 694-702, December 1981. R. Ye and Y. Tsividis, "Bandgap voltage reference sources in CMOS technology," Electronics Letters, vol. 18, no. 1, pp. 24-25 7th January 1982.

Page 11: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

11

Y. Tsividis and D.A. Antoniadis, "A multiproject chip approach to the teaching of analog MOS LSI and VLSI," IEEE Transactions on Education, vol. E-25, no. 2, pp. 48-53 May 1982. M. Banu and Y. Tsividis, "Floating voltage-controlled resistors in CMOS technology," Electronics Letters, vol. 18, no. 15, pp. 678-679, 22 July 1982. Y. Tsividis and S.C. Fang, "Simple method for obtaining the equations of switched-capacitor circuits," Electronics Letters, vol. 18, no. 17, pp. 728-729, 19 August 1982. Y. Tsividis, "Moderate inversion in MOS devices," Solid-State Electronics, vol.25, no. 11, pp. 1099-1104, 1982. Y. Tsividis, "Signal processors with transfer function coefficients determined by timing," IEEE Transactions on Circuits and Systems, vol. CAS-29, no. 12, pp. 807-817, December 1982.1 Y. Tsividis, "Representation of sampled-data signals as functions of continuous time," Proceedings of the IEEE, vol. 71, no. 1, pp. 18l-183, January 1983. Y. Tsividis, "A general form for the input-output difference equation of switched capacitor networks," International Journal of Circuit Theory and Applications, vol. 11, no. 3, pp. 342-345, July 1983.

Y. Tsividis, "Principles of operation and analysis of switched- capacitor circuits," Proceedings of the IEEE, vol. 71, no. 8, pp. 926-940, August 1983. C. Turchetti, G. Masetti and Y. Tsividis, "On the small-signal behavior of the MOS transistor in quasistatic operation," Solid- State Electronics, vol. 26, no. 10, pp. 941-949, 1983. M. Banu and Y. Tsividis, "Fully integrated active RC filters in MOS technology," IEEE Journal of Solid-State Circuits, vol. SC-18, no. 6, pp. 644-651, December 1983.

Y. Tsividis and G. Masetti, "Problems in precision modeling of the MOS transistor for analog applications," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. CAD-3, no. 1, pp. 72-79, January 1984.

J. Khoury, Y. Tsividis, and M. Banu, "Use of the MOS transistor as a tunable distributed RC filter element," Electronics Letters, vol. 20, no. 4, pp. 187-188, 16 February 1984.

M. Banu and Y. Tsividis, "Detailed analysis of nonidealities in MOS fully integrated active RC filters based on balanced networks," IEE Proceedings, vol. 131, Part G, no. 5, pp. 190-196, October 1984.

11984 IEEE W. R. G. Baker Prize Award

S.C. Fang, Y. Tsividis, and O. Wing, "State charge formulation of switched-capacitor networks containing nonlinear and time-varying networks," IEEE Transactions on Circuits and Systems, vol. CAS-3l, no. 11, pp. 968-974, November 1984.

Page 12: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

12

Y. Tsividis and B. Shi, "Cancellation of distortion of any order in integrated active RC filters," Electronics Letters, vol. 2l, no. 4, pp. 132-134, 14 February 1985. S.C. Fang, Y. Tsividis, and O. Wing, "Time- and frequency-domain analysis of linear switched-capacitor networks using state charge variables," IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. CAD-4, no. 4, pp. 651-661, October 1985.

M. Bagheri and Y. Tsividis, "A small-signal dc-to-high frequency nonquasistatic model for the four-terminal MOSFET valid in all regions of operation," IEEE Transactions on Electron Devices, vol. ED-32, no. 11, pp. 2383-2391, November 1985. M. Banu and Y. Tsividis, "An elliptic continuous-time CMOS filter with on-chip automatic tuning," IEEE Journal of Solid-State Circuits, vol. SC-20, no. 6, pp. 1114-1121, December 1985. Y. Tsividis, M. Banu, and J.F. Khoury, "Continuous-time MOSFET-C filters in VLSI," IEEE Transactions on Circuits and Systems, vol. 33, no. 2, pp. 125-140, February 1986 (special issue on VLSI analog and digital signal processing) also published jointly in the IEEE Journal of Solid-State Circuits, vol. SC-21, no. 1, pp. 15-30, February 1986 (invited).2 Y. Tsividis, Z. Czarnul, and S.C. Fang, "MOS transconductors and integrators with high linearity," Electronics Letters, vol. 22, no. 5, pp. 245-246, 28 February 1986. B-X. Shi, J. Khoury, and Y. Tsividis, "High frequency effects in MOSFET-C Tow-Thomas biquads," IEEE Transactions on Circuits and Systems, vol. CAS-33, no. 6, pp. 648-651, June 1986.

Z. Czarnul, and Y. Tsividis, "MOS tunable transconductor," Electronics Letters, vol. 22, no. 13, pp. 721-722, 19 June 1986. Z. Czarnul, and Y. Tsividis, "Independent tuning of quality factor and unity gain frequency in a transconductance-capacitance integrator," Electronics Letters, vol. 22, no. 19, pp. 1026-1027, 11 September 1986.

Y. Tsividis, "Analog MOS integrated circuits-certain new ideas, trends, and obstacles," IEEE Journal of Solid-State Circuits, vol. SC-22, no.3, pp. 317-321, June 1987.

21987 IEEE Circuits and Systems Society Darlington Award.

Y.P. Tsividis, "Minimal transistor-only micropower integrated VHF active filter," Electronics Letters, vol. 23, no. 15, pp. 777- 778, 16 July 1987. J. Khoury, and Y. Tsividis, "Analysis and compensation of high frequency effects in integrated MOSFET-C continuous-time filters," IEEE Transactions on Circuits and Systems, vol. CAS-34, no. 8, pp. 862-875, August 1987. Y. P. Tsividis and D. Anastassiou, "Switched-capacitor neural networks," Electronics Letters, vol. 23, no. 18, pp. 958-959, 27 August 1987.

Page 13: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

13

Y. Tsividis and S. Satyanarayana, "Analogue circuits for variable-synapse neural networks," Electronics Letters, vol. 23, no.24, pp. 1313-1314, 19 November 1987. D. Vallancourt and Y.P. Tsividis, "A fully-programmable analog CMOS sampled-data filter with transfer function coefficients determined by timing," IEEE Journal of Solid-State Circuits, vol. SC- 22, no. 6, pp. 1022-1030, December 1987. Z. Czarnul and Y. Tsividis, "Implementation of MOSFET-C filters based on active RC prototypes," Electronics Letters, vol. 24, no.3, pp. 184-185, 4 February 1988. D. Vallancourt and Y.P. Tsividis, "Timing-controlled fully programmable analog signal processors using switched continuous-time filters," IEEE Transactions on Circuits and Systems, vol. 35, no. 8, pp. 947-954, August 1988. M. Banu, J.M. Khoury, and Y. Tsividis, "Fully differential operational amplifiers with accurate output balancing," IEEE Journal of Solid-State Circuits, vol. SC-23, no. 6, pp. 1410-1414, December 1988. S.J. Daubert, D. Vallancourt, and Y.P. Tsividis, "Current Copier Cells," Electronics Letters, vol. 24, no.25, pp. 1560-1562, 8 December 1988. S. Satyanarayana and Y. Tsividis, "Analog neural networks with distributed neurons," Electronics Letters, vol. 25, no. 5, pp. 302- 304, 2 March 1989. J.M. Khoury and Y.P. Tsividis, "Synthesis of arbitrary rational transfer functions in s using uniform distributed RC active circuits," IEEE Transactions on Circuits and Systems, vol. 37, no.4, pp. 464-472, April 1990. L.-J. Pu and Y. Tsividis, "Transistor-only frequency-selective circuits," IEEE Journal of Solid-State Circuits, vol. 25, no. 3, pp. 821-832, June 1990. L.-J. Pu and Y. Tsividis, "Small-signal parameters and thermal noise of the four-terminal MOSFET in non-quasistatic operation," Solid-State Electronics, vol. 33, no. 5, pp. 513-521, 1990. L.-J. Pu and Y. Tsividis, "Harmonic distortion of the four- terminal MOSFET in non-quasistatic operation," IEE Proceedings - G, Circuits, Devices, and Systems, vol. 137, pp. 325-332, October 1990. Y. Tsividis, V. Gopinathan, and L. Toth, "Companding in signal processing," Electronics Letters, vol. 26, pp. 1331-1332, 1990. K. Suyama, S.C. Fang, and Y.P. Tsividis, "Simulation methods for mixed switched-capacitor/digital networks with signal-driven switches," IEEE Journal of Solid-State Circuits, vol. 25, no. 6, pp. 1403-1423, December 1990. V. Gopinathan, Y.P. Tsividis, K.-S. Tan, and R.K. Hester, "Design considerations for high-frequency continuous-time filters and implementation of an antialiasing filter for digital

Page 14: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

14

video," IEEE Journal of Solid-State Circuits, vol. 25, pp. 1368-1378, December 1990. S. Satyanarayana, Y.P. Tsividis, and H.P. Graf, "A reconfigurable VLSI neural network", IEEE Journal of Solid-State Circuits, vol.27, pp. 67-81, January 1992. Y. Tsividis and K. Vavelidis, "Linear, electronically tunable resistor," Electronics Letters, vol. 28, no. 25, pp. 2303-2305, 3 December 1992; Comment, Electronics Letters, vol. 29, no.6, pp. 556-557, 18 March 1993. K. Vavelidis and Y. Tsividis, "R-MOSFET structure based on current division," Electronics Letters, vol. 29, no. 9, pp. 732-733, 29 April 1993. Y. Tsividis, "Integrated continuous-time filter design -an overview", IEEE Journal of Solid-State Circuits, vol. 29, pp. 166-176, March 1994 (invited). Y. Tsividis and K. Suyama, "MOSFET modeling for analog circuit CAD: problems and prospects," IEEE Journal of Solid-State Circuits, vol. 29, pp. 210-216, March 1994 (invited). 3 S. Pipilos and Y. Tsividis, "RLC active filters with electronically tunable center frequency and quality factor," Electronics Letters, vol. 30, no. 6, pp. 472-474, 17 March 1994. Y. Tsividis and Y. Papananos, "On continuous-time filters using buffers with gain lower than unity," Electronics Letters, vol. 30, no. 8, pp. 629-630, 14 April 1994. Y. Tsividis, K. Suyama, and K. Vavelidis, “Simple ‘reconciliation’ MOSFET model valid in all regions”, Electronics Letters, vol. 31, no. 6, pp. 506-508, 16 March 1995.

Y. Tsividis, “On linear integrators and differentiators using instantaneous companding”, IEEE Transactions on Circuits and Systems, Part II, vol. 42, no. 8, pp. 561-564, August 1995.

3The tests proposed in this paper became the core of “Benchmarks for compact MOSFET models”, Electronic Industries Aliance; and of “IEEE recommended practices P1485".

Y. Tsividis, “General approach to signal processors employing companding”, Electronics Letters, vol. 31, no. 18, pp. 1549-1550, 31 August 1995.

L. Toth, G. Efthivoulidis, V. Gopinathan, and Y. Tsividis, “General results for resistive noise in active RC and MOSFET-C filters”, IEEE Transactions on Circuits and Systems, Part II, vol. 42, no. 12, pp. 785-793, December 1995.

S. Pipilos, Y. Tsividis, J. Fenk, and Y. Papananos, “A Si 1.8 Ghz RLC filter with tunable center frequency and quality factor”, IEEE Journal of Solid-State Circuits, vol. 31, no. 10, pp. 1517-1525, October 1996. K. Vavelidis, Y. Tsividis, F. Op’t Eynde, and Y. Papananos, “Six-terminal MOSFETs: Modeling and applications in highly linear, electronically tunable resistors”, IEEE Journal of Solid-State Circuits, vol. 32, no. 1, pp. 4-12, January 1997.

Page 15: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

15

Y. Tsividis, “Externally linear, time-invariant systems and their application to companding signal processors”, IEEE Transactions on Circuits and Systems, Part II, vol. 44, no. 2, pp. 65- 85, February 1997 (invited paper)4. S. Bouras and Y. Tsividis, “Center-of-gravity defuzzification without multiplication”, IEICE Transactions on Funcamentals of Electronics, Communications, and Computer Sciences, vol. E80-A, no. 4, pp. 769-770, April 1997. Y. Papananos, T. Georgantas, and Y. Tsividis, “Design considerations and implementation of very low frequency continuous-time CMOS monolithic filters”, IEE Proceedings - Circuits and Systems, vol. 144, no. 2, pp. 68-74, April 1997. D. R. Frey and Y. P. Tsividis, “Syllabically companding log domain filter using dynamic biasing”, Electronics Letters, vol. 33, no. 18, pp. 1506-1507, 28 August 1997. Y. Tsividis, “Some thoughts on introducing today’s students to electrical engineering”, IEEE Circuits and Systems Society Newsletter, vol. 9, no. 1, pp. 1, 6, and 7, March 1998.

4 1998 IEEE Circuits and Systems Society Guillemin-Cauer Award.

G. Efthivoulidis, L. Toth, and Y. Tsividis, “Noise in Gm-C filters”, IEEE Transactions on Circuits and Systems, Part II, vol. 45, no. 3, pp. 295-302, March 1998. S. Bouras, M. Kotronakis, K. Suyama, and Y. Tsividis, “Mixed analog-digital fuzzy logic controller with continuous fuzzy inferences and defuzzification”, IEEE Transactions on Fuzzy Systems, vol. 6, no. 2, pp. 205-215, May 1998. Y. S. Pavan and Y. Tsividis, “An analytical solution for a class of oscillators, and its application to filter tuning”, IEEE Transactions on Circuits and Systems, Part I, vol. 45, no. 5, pp. 547- 556, May 1998. Y. Tsividis, “Externally linear integrators”, IEEE Transactions on Circuits and Systems, Part II, vol. 45, no. 9, pp. 1181-1187, September 1998 (invited). L. Toth, Y. Tsividis, and N. Krishnapura, “On the analysis of noise and interference in instantaneously companding signal processors”, IEEE Transactions on Circuits and Systems, Part II, vol. 45, no. 9, pp. 1242-1249, September 1998. G. Efthivoulidis, L. Toth, and Y. Tsividis, “Further results for noise in active RC and MOSFET-C filters”, IEEE Transactions on Circuits and Systems, Part II, vol. 45, no. 9, pp.1311-1315, September 1998. Y. Tsividis, "Minimizing power dissipation in analogue signal processors through syllabic companding", Electronics Letters, vol. 35, no. 21, pp. 1805-1807, 14 October 1999. S. Pavan and Y. Tsividis, "Time-scaled networks - Properties and applications in the design of programmable analog filters", IEEE Transactions on Circuits and Systems, Part II, vol. 47,

Page 16: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

16

no. 2, pp. 161-165, February 2000. D. Li and Y. Tsividis, "Active LC filters on silicon", IEE Proceedings, Circuits, Devices, and Systems, vol. 147, no. 1, pp. 49-56, February 2000. D. Li and Y. Tsividis, “A loss-control feedback loop for VCO indirect tuning of RF integrated filters”, IEEE Transactions on Circuits and Systems, Part II, vol. 47, no. 3, pp. 169-175, March 2000. S. Pavan, Y. P. Tsividis, and K. Nagaraj, "Widely programmable high-frequency continuous- time filters in digital CMOS technology", IEEE Journal of Solid-State Circuits, vol. 35, no. 4, pp. 503-511, April 2000. N. Krishnapura, Y. Tsividis, and D. R. Frey, "Simplified technique for syllabic companding in log-domain filters", Electronics Letters, vol. 36, no. 15, pp. 1257-1259, 20 July 2000. L. Toth, G. Efthivoulidis, and Y. P. Tsividis, "Noise Analysis of Externally Linear Systems", IEEE Transactions on Circuits and Systems, Part II, vol. 47, no. 12, pp. 1365-1377, December 2000. D. Frey, Y. Tsividis, G. Efthivoulidis, and N. Krishnapura, “Syllabic companding log- domain filters”, IEEE Transactions on Circuits and Systems, Part II, vol. 48, no. 4, pp. 329- 339, April 2001. G. Efthivoulidis, L. Toth, and Y. Tsividis, "Noise in externally linear filters", Analog Integrated Circuits and Signal Processing, vol. 28, pp. 63-72, July 2001.

L. Toth, G. Palaskas, and Y. Tsividis, "Two 'non-invasive' techniques for syllabic companding in signal processors", IEEE Transactions on Circuits and Systems, part II, vol. 48, no. 12, pp. 1085-1098, December 2001. N. Krishnapura and Y. Tsividis, "Noise and power reduction in filters through the use of adjustable bias", IEEE Journal of Solid-State Circuits, vol. 36, no. 12, pp. 1912-1920, December 2001. Yoshizawa and Y. Tsividis, "Anti-blocker design techniques for MOSFET-C filters for direct conversion receivers", IEEE Journal of Solid-State Circuits, vol. 37, no. 3, pp. 357-364, March 2002. D. Li and Y. Tsividis, "Design techniques for automatically tuned integrated GHz-range active LC filters", IEEE Journal of Solid-State Circuits, vol. 37, no. 8, pp. 967-977, August 2002. N. Krishnapura and Y. Tsividis, “Micropower low-voltage analog filter in a digital CMOS process”, IEEE Journal of Solid-State Circuits, vol. 38, no. 6, pp. 1063-1067, June 2003. P. Sotiriadis and Y. Tsividis, “Single URC integrators”, IEEE Transactions on Circuits and Systems, part I, vol. 50, no. 2, pp. 304-306, February 2003. L. Toth and Y.P. Tsividis, “Generalization of the principle of chopper stabilization”, IEEE

Page 17: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

17

Transactions on Circuits and Systems, part I, vol. 50, no. 8, pp. 975-983, August 2003. Y. Palaskas and Y. Tsividis, “Dynamic range optimization of weakly nonlinear, fully-balanced, Gm-C filters with power dissipation constraints”, IEEE Transactions on Circuits and Systems, part II, vol. 50, no. 12, pp. 714-727, October 2003.

Y. Tsividis, “Continuous-time digital signal processing”, Electronics Letters, vol. 39, no. 21, pp. 1551-1552, 16 October 2003. S. Ranganathan and Y. Tsividis, “Discrete-time parametric amplification based on a three-terminal MOS varactor: Analysis and experimental results”, IEEE Journal of Solid-State Circuits, vol. 38, no. 12, pp. 2087-2093, December 2003. Y. Palaskas, Y. Tsividis, V. Prodanov, and V. Boccuzi, “A ‘divide-and-conquer’ technique for implementing wide dynamic range continuous-time filters”, IEEE Journal of Solid-State Circuits, vol. 39, no. 2, pp. 297-307, February 2004. S. Chatterjee, Y. Tsividis, and P. Kinget, “0.5 V analog circuit techniques and their application in OTA and filter design”, IEEE Journal of Solid-State Circuits, vol. 40, no. 12, pp. 2373-2387, December 2005 (invited).

G. Cowan, R. Melville, and Y. Tsividis, “A VLSI analog computer / digital computer accelerator”, IEEE Journal of Solid-State Circuits, vol. 41, no. 1, pp. 42-53, January 2006, (invited). S. Chatterjee, Y. Tsividis and P. Kinget, "Ultra-Low Voltage Analog Integrated Circuits," IEICE Transactions on Electronics, vol. E89-C, no. 6, pp. 673-680, June 2006 (invited). M. Ozgun, G. Burrra, and Y. Tsividis, “Dynamic power optimization of filters with application to zero-IF receivers”, IEEE Journal of Solid-State Circuits, vol. 41, no. 6, pp. 1344-1352, June 2006. S. Li, N. Stanic, and Y. Tsividis, “A VCF control tuning loop for Q-enhanced LC filters”, IEEE Transactions on Circuits and Systems II, vol. 53, no. 9, pp. 906-910, September 2006. Y. W. Li, K. L. Shepard, and Y. P. Tsividis, “A continuous-time programmable digital FIR filter”, IEEE Journal of Solid-State Circuits, vol. 41, no. 11, pp. 2512-2520, November 2006. Y. Tsividis, “Mixed-domain systems and signal processing based on input decomposition”, IEEE Transactions on Circuits and Systems I, vol. 53, no. 10, pp. 2145-2156, October 2006.5 A. Yoshizawa and Y. Tsividis, “A channel-select filter with agile blocker detection and adaptive power dissipation”, IEEE Journal of Solid-State Circuits, 2007, vol. 42, no. 5, pp. 1090-1099, May 2007; correction, ibid, no. 10, p. 2316, Oct. 2007.

5 2008 IEEE Circuits and Systems Society Guillemin-Cauer Award.

Page 18: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

18

N. Stanic, A. Balankuty, P. Kinget, and Y. Tsividis, “A 2.4 GHz ISM-band sliding-IF receiver with a 0.5 V power supply”, IEEE Journal of Solid-State Circuits, vol. 43, no. 5, pp. 1138-1145, May 2008. B. Schell and Y. Tsividis, “A low power tunable delay element suitable for asynchronous delays of burst information”, IEEE Journal of Solid-State Circuits, vol. 43, no. 5, pp. 1227-1234, May 2008. B. Schell and Y. Tsividis, “A continuous-time ADC/DSP/DAC system with no clock and activity-dependent power dissipation”, IEEE Journal of Solid-State Circuits, vol. 43, no. 11, pp. 2472-2481, November 2008. B. Schell and Y. Tsividis, “Analysis and simulation of continuous-time digital signal processors”, Signal Processing, vol. 89, no. 10, pp. 2013-2026, October 2009. M. Kurchuk and Y. Tsividis, “Signal-dependent variable-resolution clockless A/D conversion with application to continuous-time digital signal processing”, IEEE Transactions on Circuits and Systems I, vol. 57, no. 5, pp. 982-991, May 2010. A. Klein and Y. Tsividis, "Externally linear time invariant digital signal processors”, IEEE Transactions on Signal Processing, vol. 58, no. 9, pp. 4897-4909, Sept. 2010. Y. Tsividis, "Event-driven data acquisition and digital signal processing – A tutorial", IEEE Transactions on Circuits and Systems II, Jump-start tutorial, vol. 57, no. 8, pp. 577-581, August 2010. A. Klein and Y. Tsividis, “Externally linear discrete-time systems with application to instantaneously companding digital signal processors”, IEEE Transactions on Circuits and Systems I, vol. 58, no. 11, pp. 2718-2728, November 2011. S. Sethumadhavan, R. Roberts, and Y. Tsividis, “Hybrid discrete-continuous computer architectures”, IEEE Computer Architecture Letters, vol. 11, no. 1, pp. 1-4, January 2012. M. Kurchuk, C. Weltin-Wu, D. Morche, and Y. Tsividis, “Event-Driven GHz-Range Continuous-Time Digital Signal Processor with Activity-Dependent Power Dissipation”, IEEE Journal of Solid-State Circuits, vol. 47, no. 9, pp. 2164-2173, September 2012. T. Mai and Y. Tsividis, “Internally non-LTI systems based on delays with application to companding”, IEEE Transactions on Circuits and Systems II, vol. 59, no. 8, pp. 476-480, August 2012. C. Weltin-Wu and Y. Tsividis, “A event-driven clockless level-crossing ADC with signal-dependent adaptive resolution”, IEEE Journal of Solid-State Circuits, vol. 48, no. 9, pp. 2180-2190, September 2013. Y. Tsividis and J. Milios, “A detailed look at electrical equivalents of uniform electrochemical diffusion using nonuniform resistance–capacitance ladders”, Journal of Electroanalytical Chemistry, vol. 707, pp. 156-165, 15 October 2013.

Page 19: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

19

C. Vezyrtzis, W. Jiang, S. Nowick, and Y. Tsividis, “A flexible, event-driven digital filter with frequency response independent of input sample rate”, IEEE Journal of Solid-State Circuits, vol. 49, no. 10, pp. 2292-2304, October 2014.

P. Martinez-Nuevo, S. Patil, and Y. Tsividis, “Derivative level-crossing sampling”, IEEE Transactions on Circuits and Systems II, vol. 62, no. 1, pp. 11-15, January 2015.

C. Vezyrtzis, Y. Tsividis, and S. Nowick, “Improving the energy efficiency of pipelined delay lines through adaptive granularity”, IEEE Transactions on Very Large Scale Integration Systems, vol. 23, no. 10, pp. 2009-2022, October 2015.

S. Patil, A. Ratiu, D Morche, and Y. Tsividis, “A 3–10 fJ/conv-step Error-Shaping Alias-Free Continuous-Time ADC”, IEEE Journal of Solid-State Circuits, vol. 51, no. 4, pp. 908-918, April 2016.

N. Guo, Y. Huang, T. Mai, S. Patil, C. Cao, M. Seok, S. Sethumadhavan, and Y. Tsividis, "Energy-Efficient Hybrid Analog/Digital Approximate Computation in Continuous Time", IEEE Journal of Solid-State Circuits, vol. 51, no. 7, pp. 1514-1524, July 2016.

Yu Chen, Xiaoyang Zhang, Yong Lian, Rajit Manohar, and Yannis Tsividis, “A Continuous-Time Digital IIR Filter with Signal-Derived Timing and Fully Agile Power Consumption”, IEEE Journal of Solid-State Circuits, vol. 53, no. 2, pp. 418-430, February 2018. Publications in Conference Proceedings

Y.P. Tsividis, P.R. Gray, D.A. Hodges, and J. Chacko, Jr., "An All-MOS companded PCM voice encoder," Digest of Technical Papers, International Solid State Circuits Conference, pp. 24-25, Philadelphia, Pennsylvania, February 1976. P.R. Gray, D.A. Hodges, and Y.P. Tsividis, "Non-CCD MOS analog circuits for signal processing," Proceedings, Midwest Symposium on Circuits and Systems pp. 427-429, Milwaukee, Wisconsin, August 1976. Y.P. Tsividis, "Design considerations for single channel MOS analog integrated circuits," Proceedings, International Symposium on Circuits and Systems, pp. 15-18, Phoenix, Arizona, April 1977. Y.P. Tsividis and R.W. Ulmer, "A CMOS reference voltage source," Digest of Technical Papers, International Solid State Circuits Conference, San Francisco, California, pp. 48-49, February 1978. Y.P. Tsividis and D.L. Fraser, "A process insensitive NMOS operational amplifier," Digest of Technical Papers, International Solid-State Circuits Conference, pp. 188-189, Philadelphia, Pennsylvania, February 1979. Y.P. Tsividis, "Analysis of switched capacitive networks," Proceedings, International Symposium on Circuits and Systems, Tokyo, Japan, July 1979.

Page 20: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

20

S.C. Fang and Y.P. Tsividis, "Modified nodal analysis with improved numerical methods for switched-capacitor networks," Proceedings, International Symposium on Circuits and Systems, Houston, Texas, April 1980, pp. 977-980. Y.P. Tsividis and D.L. Fraser, Jr., "Harmonic distortion in MOS integrated circuits," Proceedings, International Symposium on Circuits and Systems, Houston, Texas, April 1980, pp. 1061-1063. Y.P. Tsividis, "Basic properties of switched capacitive networks," Supplement to Proceedings, European Conference on Circuit Theory and Design, Warsaw, Poland, September 1980 (invited). Y.P. Tsividis and D.A. Antoniadis, "A multiproject chip approach to the teaching of analog MOS LSI and VLSI," Proceedings, Second Caltech Conference on Very Large Scale Integration, Pasadena, California, January 19-21, 1981. Y. Tsividis, "Filters with transfer function coefficients dependent only on timing," Proceedings, International Symposium on Circuits and Systems, Chicago, Illinois, April 1981, pp. 183-186. S.C. Fang, Y. Tsividis, and O. Wing, "SWITCAP-A switched- capacitor network analysis program," European Conference on Circuit Theory and Design, The Hague, The Netherlands, August 25-28, 1981 (invited; abstract only). J.J. Paulos, D.A. Antoniadis, and Y. Tsividis, "Measurement of intrinsic capacitances of MOS transistors," Digest of Technical Papers, International Solid State Circuits Conference, San Francisco, California, February 1982. S.C. Fang, Y.P. Tsividis, and O. Wing, "Analysis of SCN's with nonlinear and time-varying elements using time-invariant charge variables," Proceedings, International Symposium on Circuits and Systems, Rome, Italy, May 1982, pp. 1-4. Y. Tsividis, "Problems with precision modeling of analog MOS LSI," Digest of Technical Papers, International Electron Devices Meeting, San Francisco, California, December 1982, p. 274-277 (invited). M. Banu and Y. Tsividis, "Fully integrated active RC filters in MOS technology," Digest of Technical Papers, International Solid- State Circuits Conference, New York, New York, February 1983, pp. 244-245. Y. Tsividis, "Analog signal processing in MOS LSI and VLSI," Proceedings, Mediterranean Electrotechnical Conference, Athens, Greece, May 1983. S.C. Fang, Y. Tsividis, and O. Wing, "Efficient algorithms for analyzing many-phase switched-capacitor networks," Proceedings, International Symposium on Circuits and Systems, Newport Beach, California, May 1983, pp. 60-63. M. Banu and Y. Tsividis, "Fully integrated active RC filters," Proceedings, International Symposium on Circuits and Systems, Newport Beach, California, May 1983, pp. 602-605. Y. Tsividis and M. Banu, "Integrated nonswitched active RC filters with wide dynamic range,"

Page 21: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

21

Proceedings, European Conference on Circuit Theory and Design, Stuttgart, W. Germany, September 1983, pp. 111-113 (invited).

Y. Tsividis and S.C. Fang, "Properties and analysis of switched-capacitor circuits," Proceedings, European Conference on Circuit Theory and Design, Stuttgart, W. Germany, September 1983, pp. 233-235 (invited). Y. Tsividis, M. Banu, and J. Khoury, "Suitability of MOS circuits for integrated high performance active filters," Proceedings, International Symposium on Circuits and Systems, Montreal, Canada, May 1984, pp. 928-931 (invited). M. Banu, Y. Tsividis, and I. Papananos, "Adequacy of voltage control for compensating process and temperature variations in MOS active RC filters," Proceedings, International Symposium on Circuits and Systems, Montreal, Canada, May 1984, pp. 936-939. M. Bagheri and Y. Tsividis, "A small-signal high frequency model for the four-terminal intrinsic MOSFET valid in all regions of operation," Digest of Technical Papers, International Electron Devices Meeting, San Francisco, California, December 1984, pp. 617- 620.

M. Banu and Y. Tsividis, "On-chip automatic tuning for a CMOS continuous-time filter," Digest of Technical Papers, International Solid-State Circuits Conference, New York, New York, February 1985, pp. 286-287 and 365. Y. Tsividis, M. Banu, and J. Khoury, "Active RC filters in VLSI: their implementation and advantages," Proceedings, International Symposium on Circuits and Systems, Kyoto, Japan, June 1985, pp. 239-242 (invited). J. Khoury, B.X. Shi, and Y. Tsividis, "Considerations in the design of high frequency fully integrated continuous-time filters," Proceedings, International Symposium on Circuits and Systems, Kyoto, Japan, June 1985, pp. 1439-1442. Z. Czarnul, S.C. Fang, and Y. Tsividis, "Improving linearity in MOS fully-integrated continuous-time filters," Proceedings, International Symposium on Circuits and Systems, San Jose, California, May 1986, pp. 1169-1172. Z. Czarnul, S.C. Fang, and Y. Tsividis, "Conversion of certain RC-active networks to MOSFET-C integrated structures," Proceedings, Midwest Symposium on Circuits and Systems, Lincoln, Nebraska, August 1986, pp. 196-199. 6Y. Tsividis, "MOS analog IC design-new ideas, trends, and obstacles," Proceedings, Twelfth European Solid-State Circuits Conference, Delft, the Netherlands, September 1986, pp. 113-115 (invited). B.X. Shi, J. Khoury, and Y. Tsividis, "High frequency effects in MOSFET-C continuous-time filters and their compensation," Proceedings, International Conference on Semiconductor and Integrated Circuit Technology, Beijing, People's Republic of China, October 1986, pp. 569-571.

6Best Paper Award of the 1986 European Solid-State Circuits Conference.

Page 22: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

22

D. Vallancourt and Y. Tsividis, "Analog CMOS filter with full digital programmability," Digest of Technical Papers, International Solid-State Circuits Conference, New York, New York, February 1987, pp. 208, 209 and 404. D. Vallancourt and Y. Tsividis, "Timing-controlled switched analog filters with full digital programmability," Proceedings, International Symposium on Circuits and Systems, Philadelphia, Pennsylvania, May 1987, pp. 329-333. D. Vallancourt and Y. Tsividis, "Recent results in analog integrated filters with timing-determined transfer functions," Proceedings, Midwest symposium on Circuits and Systems, Syracuse, New York, August 1987, pp. 944-947 (invited). L.J. Pu and Y. Tsividis, "Transistor-only frequency-selective circuits," Proceedings, International Symposium on Circuits and Systems, Espoo, Finland, June 1988, pp. 2851-2854. S. Satyanarayana, K. Suyama, and Y. Tsividis, "Analog MOS circuit techniques in the VLSI implementation of neural networks," International Neural Network Society First Annual Meeting, September 1988, Boston, Massachusetts (abstract only). J.M. Khoury and Y.P. Tsividis, "Active URC circuit realization of arbitrary rational transfer functions in s," Proceedings, International Symposium on Circuits and Systems, Portland, Oregon, May 1989, pp. 1071-1074. D. Vallancourt, Y.P. Tsividis, and S. Daubert, "Sampled-current circuits," Proceedings, International Symposium on Circuits and Systems, Portland, Oregon, May 1989, pp. 1592-1595 (invited). V. Gopinathan, Y. Tsividis, K.-S. Tan, and R. Hester, "A 5 V 7th-order elliptic analog filter for digital video applications," Digest of Technical Papers, International Solid-State Circuits Conference, San Francisco, February 1990, pp. 208-209 and 297. V. Gopinathan and Y. Tsividis, "Design considerations for integrated continuous-time video filters," Proceedings, International Symposium on Circuits and Systems, New Orleans, pp. 1177-1180, May 1990. S. Satyanarayana, Y. Tsividis, and H.P. Graf, "A reconfigurable analog VLSI neural network chip," IEEE Conference on Neural Information Processing Systems-Natural and Synthetic; published in Advances in Neural Information Processing Systems 2, ed. by D. Touretzky, Morgan Kaufmann Publishers, 1990. Y. Tsividis, "R&D in analog circuits: possibilities and needed support," Proceedings, European Solid-State Circuits Conference, Copenhagen, pp. 1-15, September 1992 (invited, plenary talk). G. Georgantas, Y. Papananos, and Y. Tsividis, "A comparative study of five integrator structures for monolithic continuous-time filters -A tutorial-," Proceedings, 1993 International Symposium on Circuits and Systems, Chicago, pp. 1259-1262, May 1993. K. Vavelidis and Y. Tsividis, "Design considerations for a highly linear electronically tunable

Page 23: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

23

resistor," Proceedings, 1993 International Symposium on Circuits and Systems, Chicago, pp. 1180-1183, May 1993. L. Toth, V. Gopinathan, N. Maratos, and Y. Tsividis. “Bounds on noise in integrated active-RC and MOSFET-C filters”, Proceedings, 1993 International Symposium on Circuits and Systems, Chicago, pp. 1255-1258, May 1993. Y. Tsividis and K. Suyama, "MOSFET modeling for analog circuit CAD: problems and prospects," Digest of Technical Papers, 1993 Custom Integrated Circuits Conference, San Diego, pp. 14.1.1-14.1.6, May 1993 (invited). Y. Tsividis, "Integrated continuous-time filter design," Digest of Technical Papers, 1993 Custom Integrated Circuits Conference, San Diego, pp. 6.4.1-6.4.7, May 1993 (invited). Y. Tsividis, "Recent advances in integrated continuous-time filters," Proceedings, Workshop on Advances in Analog Circuit Design, Eindhoven, The Netherlands, March 29, 1994 (invited). S. Pipilos and Y. Tsividis, "Design of active RLC integrated filters with application in the GHZ range," Proceedings, International Symposium on Circuits and Systems, London, pp. 5.645-5.648, May 1994. S. Pipilos, Y. Tsividis, and J. Fenk, “1.8 GHz tunable filter in Si technology”, Digest of Technical Papers, 1996 Custom Integrated Circuits Conference, San Diego, pp. 189-192, May 5-8, 1996. Y. Tsividis and D. Li, “Current-mode filters using syllabic companding”, Proc. 1996 IEEE International Symposium on Circuits and Systems, Atlanta, vol. 1, pp. 121-124, May 12-15, 1996. S. Bouras, K. Suyama, and Y. Tsividis, “Integrated fuzzy logic controller with continuous processing”, IEEE International Conference on Fuzzy Systems, New Orleans, pp. 1951-1957, September 8-11, 1996. Y. Papananos, T. Georgantas, and Y. Tsividis, “Design and implementation of low-frequency continuous-time CMOS monolithic filters”, International Conference on Electronics, Circuits, and Systems (IEEE Region 8 Conference), Rodos, Greece, pp. 223-226, October 13-16, 1996. Y. Papananos and Y. Tsividis, “Design and implementation of a CMOS operational amplifier architecture with dual common-mode feedback loop”, International Conference on Electronics, Circuits, and Systems (IEEE Region 8 Conference), Rodos, Greece, pp. 904-907, October 13-16, 1996. Y. Tsividis and K. Suyama, “Strange ways to use the MOSFET”, Proc. 1997 IEEE International Symposium on Circuits and Systems, Hong Kong, vol. 1, pp. 449-452, June 9-12, 1997 (invited paper). Y. Tsividis, “Instantaneously companding integrators”, Proc. 1997 IEEE International Symposium on Circuits and Systems, Hong Kong, vol. 1, pp. 477-480, June 9-12, 1997 (invited paper). D. Li and Y. Tsividis, “A novel loss control feedback loop for VCO indirect tuning of RF

Page 24: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

24

integrated circuits”, Proc. 1998 IEEE International Symposium on Circuits and Systems, Monterey, vol. 1, pp. 135-138, May 31-June 3, 1998. S. Pavan and Y. Tsividis, “An analytical solution for a class of oscillators, and its application to filter tuning”, Proc. 1998 IEEE International Symposium on Circuits and Systems, Monterey, vol. 2, pp. 339-342, May 31-June 3, 1998. N. Krishnapura, Y. Tsividis, K. Nagaraj, and K. Suyama, “Companding switched-capacitor filters”, Proc. 1998 IEEE International Symposium on Circuits and Systems, Monterey, vol. 1, pp. 480-483, May 31-June 3, 1998. L. Toth, Y. Tsividis, and N. Krishnapura, “Analysis of noise and interference in companding signal processors”, Proc. 1998 IEEE International Symposium on Circuits and Systems, Monterey, vol. 1, pp. 143-146, May 31-June 3, 1998. Y. Tsividis, “Teaching circuits and electronics to first-year students”, Proc. 1998 IEEE International Symposium on Circuits and Systems, Monterey, vol. 1, pp. 424-427, May 31-June 3, 1998. G. Efthivoulidis and Y. Tsividis, “Signal analysis of externally linear filters”, Proc. 1999 IEEE International Symposium on Circuits and Systems, vol. VI, pp. 65-68, Orlando, May 30-June 2, 1999. S. Pavan,Y. Tsividis, and K. Nagaraj, “Modeling of accumulation MOS capacitors for analog design in digital VLSI processes”, Proc. 1999 IEEE International Symposium on Circuits and Systems, vol. VI, pp. 202-205, Orlando, May 30-June 2, 1999. G. Efthivoulidis, L. Toth, and Y. Tsividis, “Noise analysis of externally linear filters”, Proc. 1999 Midwest Symposium on Circuits and Systems (invited). S. Pavan,Y. Tsividis, and K. Nagaraj, “A 60-350 MHz fourth-order programmable continuous-time filter in a digital CMOS process”, Digest, 1999 European Solid-State Circuits Conference, pp. 46-49, Duisburg, September 21-23, 1999. N. Krishnapura and Y. Tsividis, "Dynamically biased 1 MHz low-pass filter with 61 dB peak SNR and 112 dB input range", Digest, 2001 IEEE International Solid-State Circuits Conference, pp. 360, 361, and 465, San Francisco, February 2001. D. Li and Y. Tsividis, "A 1.9 GHz active LC filter with on-chip automatic tuning", Digest, 2001 IEEE International Solid-State Circuits Conference, pp. 368, 369, and 466, San Francisco, February 2001. L. Toth, G. Palaskas, and Y. Tsividis, " 'Noninvasive' techniques for syllabic companding in signal processors", Proc. 2001 IEEE International Symposium on Circuits and Systems, vol. 1, pp. 683-686, Sydney, May 2001. L. Toth and Y. Tsividis, "General chopper stabilization", Proc. 2001 IEEE International Symposium on Circuits and Systems, vol. 1, pp. 540-543, Sydney, May 2001.

Page 25: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

25

G. Palaskas and Y. Tsividis, "A 'Divide and Conquer' technique for the design of wide dynamic range continuous-time filters", Proc. 2001 IEEE International Symposium on Circuits and Systems, vol. 1, pp. 252-255, Sydney, May 2001. A. Yoshizawa and Y. Tsividis, "An anti-blocker structure MOSFET-C filter for a direct conversion receiver", Digest, 2001 IEEE Custom Integrated Circuits Conference, pp. 5-8, San Diego, May 2001. N. Krishnapura and Y. Tsividis, "A micropower log-domain filter using enhanced lateral PNPs in a 0.25 um process", Digest, 2001 Symposium on VLSI Circuits, pp. 179-182, Japan, June 2001. G. Palaskas and Y. Tsividis, "Design considerations and experimental evaluation of a syllabic companding audio frequency filter", Proc. 2002 IEEE International Symposium on Circuits and Systems, Phoenix, Arizona, pp. 305-308, May 2002. P. P. Sotiriadis and Y. Tsividis, "Integrator using a single distributed RC element", Proc. 2002 IEEE International Symposium on Circuits and Systems, Phoenix, Arizona, pp. 21-24, May 2002. S. Ranganathan and Y. Tsividis, “A MOS capacitor-based discrete-time parametric amplifier with 1.2 V output swing and 3 uW power dissipation”, Digest, IEEE International Solid-State Circuits Conference, pp. 406, 607 and 502, San Francisco, February 2003.7 S. Pipilos, E. Metaxakis, A. Tzimas, S. Vlassis, S. Sgourenas, Y. Tsividis and T. Varelas, “A single-chip transceiver for 802.11 and Hiperlan2 wireless LANs”, Digest, 2003 IEEE RFIC Symposium, pp. 33-35, Philadelphia, June 2003. Y. Palaskas and Y. Tsividis, “Power-area-DR-frequency-selectivity tradeoffs in weakly nonlinear active filters”, Proceedings 2003 IEEE International Symposium on Circuits and Systems, vol. 1, pp. 453-456, 2003. Y. Palaskas, Y. Tsividis, and V. Boccuzzi, “A power efficient channel selection filter/Coarse AGC with no range switching transients”, Digest, 2003 IEEE Custom Integrated Circuits Conference, pp. 21-24, San Jose, 2003. Y. Tsividis, “Digital signal processing in continuous time: a possibility for avoiding aliasing and reducing quantization error”, Proceedings 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing, vol. II, pp. 589-592, Montreal, May 2004. S. Li and Y. Tsividis, "Analysis of oscillator amplitude control, and its application to automatic tuning of quality factor for active LC filters", Proceedings 2004 IEEE International Symposium on Circuits and Systems, vol. IV, pp. 141-144, Vancouver, May 2004. Y. Tsividis, "Mixing domains in signal processing", Proceedings 2004 IEEE International Symposium on Circuits and Systems, vol. I, pp. 157-160, Vancouver, May 2004.

7 2003 IEEE ISSCC Lewis Winner Outstanding Paper Award.

Page 26: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

26

S. Chatterjee, Y. Tsividis, and P. Kinget, "A 0.5 V bulk input fully differential operational transconductance amplifier," Proceedings, European Solid-State Circuits Conference, pp.147-150, September 2004. G. Cowan, R. Melville, and Y. Tsividis, “A VLSI analog computer / math co-processor for a digital computer”, Digest, IEEE International Solid-State Circuits Conference, pp. 82, 83 and 586, San Francisco, February 2005. M. Ozgun, Y. Tsividis, and G. Burra, “Dynamically power-optimized channel-select filter for zero-IF GSM”, Digest, IEEE International Solid-State Circuits Conference, pp. 504, 505 and 613, San Francisco, February 2005. S. Chatterjee, Y. Tsividis, and P. Kinget, “A 0.5V filter with PLL-based tuning in 0.18um CMOS technology”, Digest, IEEE International Solid-State Circuits Conference, pp. 506, 507 and 613, San Francisco, February 2005. Y. Li, K. Shepard, and Y. Tsividis, “Continuous-time digital signal processors”, Proceedings, IEEE International Symposium on Asynchronous Circuits and Systems, New York, pp. 138-143, March 2005. P. Kinget, S. Chatterjee, and Y. Tsividis, "0.5 V Analog Integrated Circuits," Proceedings, 2005 Workshop on Advances in Analog Circuit Design, pp. 284-304, Limerick, Ireland, April 2005 (invited). S. Li, N. Stanic, K. Soumyanath, and Y. Tsividis, “An integrated 1.5 V 6 GHz Q-enhanced LC CMOS filter with automatic quality factor tuning using conductance reference”, Proceedings, IEEE Radio Frequency IC Symposium, Long Beach, June 2005. S. Chatterjee, T. Musah, Y. Tsividis, and P. Kinget, "Weak inversion MOS varactors for 0.5~V analog integrated filters," Digest of Technical Papers, IEEE Symposium on VLSI circuits, Japan, pp. 272-275, June 2005. Y. W. Li, K. L. Shepard, and Y. P. Tsividis, “A continuous-time programmable digital FIR filter”, Proceedings, IEEE Custom Integrated Circuits Conference, pp. 695-698, September 2005. Y. P. Tsividis, G. Cowan, Y. W. Li, and K. Shepard, "Continuous-Time DSP, Analog/Digital Computers and Other Mixed-Domain Circuits," Proceedings, European Solid-State Circuits Conference, September 2005. P. Kinget, S. Chatterjee, and Y. Tsividis, "Ultra low voltage analog design techniques for nanoscale CMOS technologies," Proceedings, 2005 IEEE International Conference on Electronic Devices and Solid-State Circuits, Hong Kong, pp. 9-14, December 2005. A. Yoshizawa and Y. Tsividis, “A blocker-vigilant channel-select filter with adaptive IIP3 and power dissipation”, Digest, IEEE International Solid-State Circuits Conference, San Francisco, pp. 462-463, February 2006. A. Klein and Y. Tsividis, “Companding digital signal processors,” Proceedings, 2006 IEEE International Conference on Acoustics, Speech, and Signal Processing, vol. 3, pp. III-700 –

Page 27: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

27

III-703, May 2006. N. Stanic, P. Kinget, and Y. Tsividis,"A 0.5 V 900 MHz CMOS receiver front end," Digest of Technical Papers, IEEE Symposium on VLSI circuits, pp. 228-229, June 2006. A. Klein and Y. Tsividis, “Instantaneously companding digital signal processors”, Proceedings, 2007 IEEE International Conference on Acoustics, Speech, and Signal Processing, April 2007, Honolulu. B. Schell and Y. Tsividis, “Analysis of continuous-time digital signal processors”, Proceedings, IEEE International Symposium on Circuits and Systems, New Orleans, May 2007. N. Stanic, A. Balankutty, P. Kinget, and Y. Tsividis, "A 0.5 V receiver in 90 um CMOS for 2.4 GHz applications", Proceedings, IEEE Radio Frequency IC Symposium, June 2007, Honolulu. B. Schell and Y. Tsividis, “A clockless ADC/DSP/DAC system with activity-dependent power dissipation and no aliasing”, Digest, 2008 IEEE International Solid-State Circuits Conference, pp. 550, 551, and 635, San Francisco, February 2008. M. Kurchuk and Y. Tsividis, “Signal-dependent variable-resolution quantization for continuous-time digital signal processing”, Proc. 2009 IEEE International Symposium on Circuits and Systems, pp. 1109-1112, Taipei, May 2009. C. Vezyrtzis and Y. Tsividis, “Processing of signals using level-crossing sampling”, Proc. 2009 IEEE International Symposium on Circuits and Systems, pp. 2293 - 2296, Taipei, May 2009. M. Kurchuk and Y. Tsividis, “Energy-efficient asynchronous delay element with wide controllability”, Proc. 2010 IEEE International Symposium on Circuits and Systems, pp. 3837-3840, Paris, May-June 2010. Y. Tsividis, “Event-driven, continuous-time ADCs and DSPs for adapting power dissipation to signal activity”, Proc. 2010 IEEE International Symposium on Circuits and Systems, pp. 3581-3584, Paris, May-June 2010. Y. Tsividis, “Event-driven data acquisition and continuous-time digital signal processing”, Proc. 2010 IEEE Custom Integrated Circuits Conference, pp. 1-8, San Jose, September 2010, invited. M. Kurchuk, C. Weltin-Wu, D. Morche, and Y. Tsividis, “GHz-range programmable continuous-time digital FIR with power dissipation that automatically adapts to signal activity”, Digest of Technical Papers, IEEE International Solid-State Circuits Conference, San Francisco, pp. 232-233, February 2011. C. Vezyrtzis, A. Klein, D. Ellis, and Y. Tsividis, “Direct processing of MPEG audio using companding and BFP techniques”, Proc. IEEE International Conference on Acoustics, Speech, and Signal Processing, pp. 361-364, Prague, May 2011.

Page 28: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

28

C. Weltin-Wu and Y. Tsividis, “An Event Driven, Alias-Free ADC with Signal-Dependent Resolution”, Digest of Technical Papers, VLSI Circuits Symposium, pp. 28-29, Hawaii, June 2012. C. Vezyrtzis, Y. Tsividis, and S. Nowick, "Designing Pipelined Delay Lines with Dynamically-Adaptive Granularity for Low-Energy Applications", Proc. IEEE International Conference on Computer Design, Montreal, October 2012.8 C. Vezyrtzis, W. Jiang, S. Nowick, and Y. Tsividis, “A flexible, clockless digital filter”, Proc. 2013 European Solid-State Circuits Conference, pp. 65-68, September 2013. S. Patil, A. Ratiu, D. Morche, and Y. Tsividis, “A 3-10fJ/conv-step 0.0032mm2 Error-Shaping Alias-Free Asynchronous ADC”, Digest, IEEE VLSI Symposium on Circuits, Kyoto, pp. C160-C161, June 2015. N. Guo, Y. Huang, T. Mai, S. Patil, C. Cao, M. Seok, S. Sethumadhavan, and Y. Tsividis, “Continuous-time hybrid computation with programmable nonlinearities”, Proceedings, European Solid-State Circuits Conference, pp. 279-282, September 2015. Sharvil Patil and Yannis Tsividis, "Digital Processing of Signals Produced by Voltage-Controlled-Oscillator-Based Continuous-Time ADCs", Proceedings, IEEE International Symposium on Circuits and Systems, Montreal, pp. 1046-1049, May 2016. Yu Chen and Yannis Tsividis, "Design Considerations for Variable-Rate Digital Signal Processing", Proceedings, IEEE International Symposium on Circuits and Systems, Montreal, pp. 2479-2482, May 2016. Yipeng Huang, Ning Guo, Mingoo Seok, Yannis Tsividis, and Simha Sethumadhavan, “Evaluation of an analog accelerator for linear algebra”, Proceedings, 43d ACM/IEEE International Symposium on Computer Architecture (ISCA), pp. 570-582, Seoul, June 2016. Yu Chen, Rajit Manohar, and Yannis Tsividis, “Design of tunable digital delay cells”, Proc. IEEE Custom Integrated Circuits Conference, Austin, April-May 2017. Yu Chen, Xiaoyang Zhang, Yong Lian, Rajit Manohar, and Yannis Tsividis, “A Continuous-Time Digital IIR Filter with Signal-Derived Timing, Agile Power Dissipation and Synchronous Output”, Digest, IEEE VLSI Symposium on Circuits, Kyoto, June 5-8, 2017. Yipeng Huang, Ning Guo, Mingoo Seok, Yannis Tsividis, and Simha Sethumadhavan, “Hybrid Analog-Digital Solution of Nonlinear Partial Differential Equations”, Proceedings, 50th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 665-678, New York, October 14-18, 2017. Colloquia, Seminars, Workshops and Conferences without Proceedings

Y.P. Tsividis, P.R. Gray, D.A. Hodges, and J. Chacko, Jr., "An All-MOS companded PCM voice

8 Best Paper Award, Logic and Circuit Design Track.

Page 29: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

29

encoder," Integrated Circuits Seminar, Stanford University, Stanford, California. The same talk was also presented at Bell Laboratories, Murray Hill; Signetics Corporation, Sunnyvale; and the Department of Electrical Engineering, University of Florida, Gainsville (all in February 1976). Y.P. Tsividis, J. Chacko, P.R. Gray, and D.A. Hodges, "A nonuniform PCM encoder with weighted MOS capacitor arrays," Lehigh Valley Semiconductor Symposium, Lehigh University, Bethelhem, Pennsylvania, May 1976 (invited). Y.P. Tsividis, "Latest developments in Solid State Circuits," Colloquium, Department of Electrical Engineering and Computer Science, Columbia University, March 1977. Y.P. Tsividis, "Switched capacitor network analysis," CCD Workshop, International Symposium on Circuits and Systems, New York, New York, May 1978. Y.P. Tsividis, "Modeling and analog techniques in MOS integrated circuits," Instituto Nacional de Astrofisica, Optica y Electronics, Puebla, Mexico, June 1979 (invited). Y.P. Tsividis, "Properties and analysis of switched-capacitor networks," Instituto Nacional de Astrofisica, Optica y Electronics, Puebla, Mexico, June 1979 (invited). Y.P. Tsividis, "Sampled-data analog filters in MOS LSI and VLSI," Instituto Nacional de Astrofisica, Optica y Electronica, Puebla, Mexico, June 1979 (invited). Y.P. Tsividis, "Analog MOS LSI and VLSI," Integrated Circuits Seminar, Massachusetts Institute of Technology, Boston, Massachusetts, 8 April 1980 (invited). Y.P. Tsividis, "Analog MOS LSI and VLSI," Seminar, Department of Electrical and Computer Engineering, University of Massachusetts, Amherst, Massachusetts, 7 November 1980 (invited). Y.P. Tsividis, "A multiproject chip approach to the teaching of analog circuits in MOS LSI and VLSI," VLSI Research Review, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Boston, Massachusetts, 15 December 1980. Y.P. Tsividis, "Combining digital and analog systems on a single MOS LSI/VLSI chip," Schlumberger Doll Research, Ridgefield, Connecticut, February 6, 1981 (invited). J.J. Paulos, D.A. Antoniadis, and Y.P. Tsividis, "An integrated circuit approach to the measurement of intrinsic capacitances in MOS transistors," VLSI Research Review, Department of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Boston, Massachusetts, 18 May 1981. Y. Tsividis and D.A. Antoniadis, "Limits of moderate inversion in MOS devices," MOS Modeling Workshop of the IEEE Solid-State Circuits and Technology Committee, San Francisco, CA, February 9, 1982 (invited). Y. Tsividis, "Signal Processing with switched-capacitor networks," Department of Electrical Engineering, National Technical Univeristy of Athens, Greece, March 1983 (invited). Y. Tsividis, "Timing-controlled signal processors," Electronics Laboratory, School of Physics and

Page 30: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

30

Mathematics, University of Athens, April 8, 1983 (invited). Y. Tsividis, "Precision modeling of MOS transistors for analog circuit designs: problems and some solutions," IBM Corporation, Essex Junction, Vermont, January 24, 1985 (invited). Y. Tsividis, "Telecommunications VLSI activity at Columbia University," Department of Electrical Engineering, East China Normal University, Shanghai, People's Republic of China, June 10, 1985 (invited). Y. Tsividis, "Considerations in the precision modeling of MOS transistors," Institute of Microelectronics, Tsinghua University, Beijing People's Republic of China, June 24, 1985 (invited). Y. Tsividis, "Fully integrated, automatically-tuned continuous- time filters in CMOS technology," Institute of Microelectronics, Tsinghua University, Beijing, People's Republic of China, June 25, 1985 (invited). Y. Tsividis, "A new method for the implementation of fully- integrated signal processors using timing control," Institute of Microelectronics, Tsinghua University, Beijing, People's Republic of China, June 26, 1985 (invited). Y. Tsividis, "A.I. (Analog Intelligence)," Department of Electrical Engineering, Columbia University, October 10, 1986. Y. Tsividis, "A.I. (Analog Intelligence)," Department of Electrical Engineering and Computer Science, MIT, Cambridge, Massachusetts, November 25, 1986 (invited).

Y. Tsividis, "A.I. (Analog Intelligence)," Department of Electrical Engineering, Universidade Federal do Rio de Janeiro, Rio de Janeiro, Brazil, February 5, 1987 (invited). Y. Tsividis, "Precision modeling of MOS devices - status and possibilities," Siemens AG, Munich, W. Germany, May 12, 1987 (invited). Y. Tsividis, "A.I. (Analog Intelligence)," Siemens AG, Munich, W. Germany, May 11, 1987 (invited). Y. Tsividis, "New approaches for integrated filters," Siemens AG, Munich, W. Germany, May 12, 1987 (invited). Y. Tsividis, "A.I. (Analog Intelligence)," Siemens Research Labs, Princeton, NJ, October 29, 1987 (invited). Y. Tsividis, "A.I. (Analog Intelligence)," Department of Electrical Engineering, University of Minnesota, Minneapolis, January 7, 1988 (invited). Y. Tsividis, "A.I. (Analog Intelligence," Neural Networks group, AT&T Bell Labs, Holmdel, NJ March 18, 1988 (invited). Y. Tsividis, "A.I. (Analog Intelligence)," Philips Research Labs, Briarcliff Manor, NY, April 8,

Page 31: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

31

1988 (invited). Y. Tsividis, "Analog MOS integrated circuits," Technical University of Warsaw, Poland, June 17, 1988 (invited). Y. Tsividis, "Analog MOS integrated circuits," Institute of Electronic Technology, Technical University of Gdansk, Poland, June 21, 1988 (invited). Y. Tsividis, "A.I. (Analog Intelligence)," Texas Instruments, Dallas, April 13, 1989 (invited). S. Satyanarayana, H.P. Graf, and Y. Tsividis, "Design of a VLSI reconfigurable neural network," Conference on Neural Networks for Computing, Snowbird, Utah, April 1989. Y. Tsividis, "CMOS device modeling for analog circuits," Instituto Superior Tecnico, Lisboa, Portugal, 1991. Y. Tsividis, "MOSFET-C filters," Instituto Superior Tecnico, Lisboa, Portugal, 1991 (invited). Y. Tsividis, "R&D in analog circuits," Esprit week, Brussels, Belgium, November 25-27, 1991(invited). Y. Tsividis, "Transistor-only continuous-time filters," Department of Electrical Engineering, Delft University of Technology, Delft, The Netherlands, March 3, 1992 (invited). Y. Tsividis, "High-frequency continuous-time filters in BiCMOS technology," Dept. of Electrical Engineering, Tokyo Institute of Technology, Tokyo, Japan, August 21, 1992. Y. Tsividis, "High-frequency continuous-time filters in BiCMOS technology," Toshiba R&D Center, Tokyo, Japan, August 21, 1992. Y. Tsividis, "The need for R&D in analog circuits," NEAR Workshop, Copenhagen, Denmark, September 23, 1992 (invited). Y. Tsividis, "Introduction to fuzzy logic," Mietec-Alcatel, Brussels, Belgium, November 27, 1992. Y. Tsividis, "Integrated continuous-time filters," Mietec-Alcatel, Brussels, Belgium, November 27, 1992 (invited). Y. Tsividis, "Transistor-only continuous-time filters," Department of Electrical Engineering, Universite Catholique de Louvain, Louvain-la-Neuve, Belgium, 1992 (invited). Y. Tsividis, "Applications of mixed analog-digital ASICs," Demokritos Research Center, Athens, Greece, January 18, 1993. Y. Tsividis, "R&D in analog circuits-trends and obstacles," Siemens, Munchen, Germany, March 24, 1993 (invited). Y. Tsividis, "Analog R&D-why do it ?," AT&T Bell Laboratories, Murray Hill, USA, May 14, 1993 (invited).

Page 32: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

32

Y. Tsividis, "Modern research in microelectronics-the return of analog circuits," Demokritos Research Center, Athens, Greece, July 19, 1993. Y. Tsividis, “Research in microelectronics circuits: the post-digital era”, National Technical University of Athens, Greece, June 30, 1994. Y. Tsividis, “State of MOSFET modeling”, Compact Modeling Workshop, Sematech, Austin, Texas, March 1-2, 1995 (invited). Y. Tsividis “Wide dynamic range with low power”, Philips Research Labs, Briarcliff Manor, December 3, 1998. Y. Tsividis “Wide dynamic range with low power”, Lucent - Bell Laboratories, Murray Hill, December 1998. Y. Tsividis, "Companding and dynamic biasing for achieving large dynamic range with low power dissipation", IEEE Solid-State Circuits Society Workshop on Low-Power Circuits, Arlington, October 2001 (invited). Y. Tsividis, "Analog Circuits: Interfacing the Computer to the Physical World", First Annual Microelectronics Design Conference, NYSTAR-MDC, New York, January 16-17, 2002. Y. Tsividis, "Microelectronic Analog Filters: A Kay to Full System Integration", First Annual Microelectronics Design Conference, NYSTAR-MDC, New York, January 16-17, 2002. Y. Tsividis, “Internally varying analog circuits: A means for minimizing power dissipation”, Department of Electrical Engineering, Caltech, February 2003. Y. Tsividis, “Internally varying analog circuits: A means for minimizing power dissipation”, Intel Research, Hillsboro, Oregon, September 25, 2003. Y. Tsividis, “Internally varying analog circuits: A means for minimizing power dissipation”, Columbia Integrated Systems Laboratory, Columbia University, 2003. Y. Tsividis, “Internally varying analog circuits: A means for minimizing power dissipation”, Department of Electrical Engineering, Johns Hopkins University, October 22, 2003. Y. Tsividis, “Internally varying analog circuits: A means for minimizing power dissipation”, ReSMiQ - Regroupement Stratégique en Microélectronique du Québec, École Polytechnique de Montréal, May 17, 2004. Y. Tsividis, “Continuous-time digital filters and other mixed-domain signal processors”, Distinguished Lecturer Series, Dept. of Electrical Engineering, University of Southern California, Los Angeles, February 11, 2005.

Page 33: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

33

Y. Tsividis, “Continuous-time digital filters and other mixed-domain signal processors”, Dept. of Electrical Engineering and Computer Science, Massachusetts Institute of Technology, Cambridge, February 15, 2005. Y. Tsividis, “Continuous-time digital filters and other mixed-domain signal processors”, colloquium, Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, October 13, 2005. Y. Tsividis, “Continuous-time digital filters and other mixed-domain signal processors”, VLSI seminar, Department of Electrical and Computer Engineering, Cornell University, October 27, 2005. Y. Tsividis, “Continuous-time digital filters and other mixed-domain signal processors”, Colloquium, Department of Electrical Engineering, University of Washington, February 9, 2006. Y. Tsividis, “Continuous-time digital filters and other mixed-domain signal processors”, VLSI seminar, Department of Electrical Engineering and Computer Science, Oregon State University, February 10, 2006. Y. Tsividis, “Continuous-time DSPs, analog-digital computers and other mixed-domain circuits”, Distinguished Lecture, The Georgia Electronics Design Center, Georgia Institute of Technology, May 2, 2006. Y. Tsividis, “Mixed-domain circuits and systems”, International Symposium on Circuits and Systems, Kos, Greece, May 21-24, 2006, Keynote talk. Y. Tsividis, "Continuous-time DSPs, analog/digital computers and other mixed-domain circuits," 1st Analog Design, Technology, Modeling and Tools Conference, IBM T. J. Watson Research Center, September 19, 2006. Y. Tsividis, "Continuous-time DSPs, analog/digital computers and other mixed-domain circuits," Distinguished Lecture, The Eric Jonsson School of Engineering and Computer Science, University of Texas at Dallas, October 27, 2006. Y. Tsividis, “Mixed-domain signal processing”, IEEE Dallas Circuits and Systems Workshop, October 30, 2006, Invited Talk. Y. Tsividis, “Mixed-domain signal processing”, Distinguished Lecture, Department of Electrical Engineering, University of California, Los Angeles, March 12, 2007. Y. Tsividis, “Mixed-domain signal processing”, Epoch Microelectronics, Tarrytown, NY, April 5, 2007. Y. Tsividis, "Continuous-time DSPs, analog/digital computers and other mixed-domain circuits," Computer Engineering Seminar, Department of Electrical Engineering, Yale University, April 24, 2007.

Page 34: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

34

G. Cowan and Y. Tsividis, “Analog and digital continuous-time computation and signal processing”, Analog Decoding Workshop, May 2007, Montreal (invited). Y. Tsividis, “Data conversion and digital signal processing without sampling”, Evening Research Session Presentation, 2008 IEEE International Solid-State Circuits Conference, San Francisco, February 4, 2008. Y. Tsividis, “Continuous-time digital signal processing”, Seminar, LIP6, University of Paris VI (Marie Curie), March 5, 2008. Y. Tsividis, “Very low voltage analog integrated circuits”, Seminar, LIP6, University of Paris VI (Marie Curie), March 26, 2008. Y. Tsividis, “Electrical Engineering Curriculum at Columbia University”, Seminar, LIP6, University of Paris VI (Marie Curie), March 28, 2008. Y. Tsividis, “Continuous-time digital signal processing”, Seminar, ST Microelectronics, Grenoble, March 31, 2008. Y. Tsividis, “Filters with dynamic power dissipation”, Seminar, ST Microelectronics, Grenoble, March 31, 2008. Y. Tsividis, “Continuous-time digital signal processing”, Seminar, Minatec, Grenoble, April 1, 2008. Y. Tsividis, “Filters with dynamic power dissipation”, Seminar, Minatec, Grenoble, April 1, 2008. Y. Tsividis, “Turning students on to circuits”, IEEE Circuits and Systems Society Education Workshop, Seattle, May 2008. Y. Tsividis, “Analog-inspired DSP”, Robert T. Chien Distinguished Lecture, Coordinated Science Laboratory, University of Illinois at Urbana-Champaign, November 11, 2008. G. Cowan and Y. Tsividis, “Analog and digital continuous-time computation and signal processing”, CMOS Emerging Technologies Workshop, Banff, Canada, February 2009. Y. Tsividis, “Analog-inspired DSP”, Department of Electrical Engineering, Harvard University, April 3, 2009. Y. Tsividis, “Analog-inspired DSP”, Solid-State Circuits Society Chapter, Tufts University, May 15, 2009. M. Kurchuk and Y. Tsividis, “Digital signal processing in continuous time”, CMOS Emerging Technologies Workshop, Whistler, Canada, May 2010. S. Sethumadhavan, R. Roberts and Y. Tsividis, “A Case for Hybrid Discrete-Continuous Computer Architectures”, Proceedings of the 2nd Workshop on SoC Architecture, Accelerators and Workloads, 2011.

Page 35: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

35

Y. Tsividis, “Event-driven A/D converters and continuous-time DSPs”, keynote speech, 17th IEEE International Symposium on Asynchronous Circuits and Systems, Ithaca, New York, April 27-29, 2011. Y. Tsividis, “Event-driven A/D conversion and continuous-time digital signal processing”, Stanford University, November 3, 2011. Y. Tsividis, “Event-driven A/D conversion and continuous-time digital signal processing”, Berkeley Wireless Research Center, University of California, Berkeley, November 4, 2011. Y. Tsividis, “Continuous-Time DSPs”, invited presentation at evening session “Technologies that could change the world – you decide!”, 2012 International Solid-State Circuits Conference, San Francisco, February 2012. Y. Tsividis, “Event-driven A/D conversion and continuous-time digital signal processing”, Distinguished Lecture, Department of Electrical and Computer Engineering, University of Waterloo, Canada, April 24, 2013. Y. Tsividis, “Event-driven A/D conversion and continuous-time digital signal processing”, Colloquium, Department of Electrical and Computer Engineering, University of Minnesota, April 25, 2013. Y. Tsividis, “Event-driven sampling and continuous-time digital signal processing”, Plenary Talk, 10th International Conference on Sampling Theory and Applications, Jacobs University, Bremen, July 2013. Y. Tsividis, “Event-driven A/D conversion and continuous-time digital signal processing”, Colloquium, Department of Electrical and Systems Engineering, University of Pennsylvania, October 8, 2013. Y. Tsividis, “Event-driven A/D conversion and continuous-time digital signal processing”, Distinguished Lecture Series in Computer Engineering, Drexel University, Philadeplphia, March 6, 2014. Y. Tsividis, “Event-driven A/D conversion and event-driven digital signal processing”, Keynote Talk, Techweek-II, ST Microelectronics India, via Webex, November 12, 2014. Y. Tsividis, “Circuits with adaptive power dissipation”, invited talk in John Choma commemorative session, IEEE International Symposium on Circuits and Systems, Lisbon, May 2015.

Y. Tsividis, “Event-driven and continuous-time data acquisition and signal processing”, Keynote, First International Conference on Event-Based Control, Communication, and Signal Processing, Krakow, June 2015. S. Patil and Y. Tsividis, “Time-based encoders and digital signal processors in continuous time”, IEEE Custom Integrated Circuits Conference, April 30 – May 3, 2017, Austin, invited.

Page 36: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

36

Y. Chen and Y. Tsividis, “Digital Signal Processing in the Continuous-Time Domain Using Asynchronous Techniques”, Asilomar Conference on Signals, Systems and Computers, Pacific Grove, October 29 – November 1, 2017, invited. Y. Huang, N. Guo, M. Seok, Y. Tsividis, K. Mandli, and S. Sethumadhavan, “Hybrid analog-digital solution of nonlinear partial differential equations”, Heidelberg Laureate Forum, September 24-29, Heidelberg, Germany. Y. Tsividis, “General overview of power consumption fundamental limits in analog circuits”, Forum in Advances in Energy Efficient Analog Design, International Solid-State Circuits Conference, San Franscisco, February 11-15, 2018 (invited). Y. Tsividis, “Turning students on to circuits”, 3d National Conference on Higher Education in Electronics (Italy), Rome, February 22-23, 2018 (via Skype - invited). Publications in magazines S.C. Fang, Y. Tsividis, and O. Wing, "SWITCAP: A switched- capacitor network analysis program, Part I: Basic features," IEEE Circuits and Systems Magazine, vol. 5, no. 3, pp. 4-10, September 1983.

S.C. Fang, Y. Tsividis, and O. Wing, "SWITCAP: A switched capacitor network analysis program, Part II: Advanced applications," IEEE Circuits and Systems Magazine, vol. 5, no.4, pp. 41-46, December 1983. Y. Tsividis, “James Maxwell and switched-capacitor circuits”, letter to the editor, IEEE Circuits and Systems Magazine, June 1984, p. 16. Y. Tsividis, "Capacity building as a multifaceted process," Bulletin of the United Nations Advanced Technology Alert System, Issue 2 (Special issue on Microelectronics-Based Automation Technologies and Development), pp. 109-111, November 1985. Y. Tsividis, "Monolithic filters," IEEE Electro Technology Review 1986, pp. 10-12. Y. Tsividis, "Continuous-time filters in telecommunications chips", IEEE Communications Magazine, vol. 39, no. 4, pp. 132-137, April 2001 (invited). Y. Tsividis, "Edwin Howard Armstrong", Columbia Magazine, 2002 (invited). Y. Tsividis, N. Krishnapura, Y. Palaskas, and L. Toth, “Internally varying analog circuits minimize power dissipation”, IEEE Circuits and Devices Magazine, vol. 19, no. 1, pp. 63-72, January 2003. Y. Tsividis, “Eric Vittoz and the strong impact of weak inversion circuits”, SSCS, IEEE Solid-State Circuits Society news magazine, vol. 13, no. 3, pp. 56-58, Summer 2008. Y. Tsividis, “Turning students on to circuits”, SSCS, IEEE Solid-State Circuits Society Magazine,

Page 37: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

37

vol. 13, no. 1, pp. 6-9, January 2008; also (updated) in IEEE Circuits and Systems Magazine, vol. 9, no. 1, pp. 58-63, First Quarter 2009. Y. Tsividis, “The book - Analysis and Design of Analog Integrated Circuits”, IEEE Solid-State Circuits Magazine, vol. 6, no. 1, pp. 37-38, 2014. Y. Tsividis, “Designing analog MOS circuits at Berkeley in the mid-70s”, IEEE Solid-State Circuits Magazine, vol. 6, no. 2, pp. 22-24, 2014. Y. Tsividis, “Exploring and explaining circuits”, IEEE Solid-State Circuits Magazine, vol. 6, no. 4, pp. 14-35, 2014. Y. Huang, N. Guo, M. Seok, Y. Tsividis, and S. Sethumadhavan, “Analog computing in a modern context: A linear algebra accelerator case study,” IEEE Micro, vol. 37, no. 3, pp. 30-38, May/June 2017. Reproduced as one of “top picks” from ISCA 2016. Yipeng Huang, Ning Guo, Mingoo Seok, Yannis Tsividis, Kyle Mandli, and Simha Sethumadhavan, “Hybrid analog-digital accelerator for differential and algebraic equations”, poster presentation, 2017 IEEE International Conference on Rebooting Computing, November 8-9, Washington, DC. To be reproduced as one of “Top Picks from the Computer Architecture Conferences” in IEEE Micro, May/June 2018.

Books Y. Tsividis, Operation and Modeling of the MOS Transistor, McGraw-Hill, New York, 1987. International Student Edition, Singapore. Translated into Chinese, 1989. Second edition, Oxford University Press, New York – Oxford, 1999. Third edition, Oxford University Press, 2011 (with Colin McAndrew). Y. Tsividis, Mixed Analog-Digital VLSI Devices and Technology: An Introduction, McGraw-Hill, New York, 1996; World Scientific, 2002. S. Pavan and Y. Tsividis, High Frequency Continuous Time Filters in Digital CMOS Processes, Kluwer, Boston, 2000. Y. Tsividis, A First Lab in Circuits and Electronics, John Wiley and Sons, New York, 2002; Oxford University Press, 2018. S. Chatterjee, K. P. Pun, N. Stanic, Y. Tsividis, and P. Kinget, Analog Circuit Design Techniques at 0.5 V, Springer, New York, 2007. Edited Books Y. Tsividis and P. Antognetti, editors, Design of MOS VLSI Circuits for Telecommunications, Prentice Hall, Englewood Cliffs, 1985. Translated into Chinese, 1988. Y.P. Tsividis and J.O. Voorman, editors, Integrated Continuous-Time Filters: Principles,

Page 38: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

38

Design, and Applications, IEEE Press, Piscataway, NJ, 1993. J.E. Franca and Y. Tsividis, Design of Analog-Digital VLSI Circuits for Telecommunications and Signal Processing, Prentice-Hall, Englewood Cliffs, 1994. Contribution to Books Y. Tsividis, "Continuous-Time Filters," in Design of MOS VLSI Circuits for Telecommunications, ed. by Y. Tsividis and P. Antognetti, Prentice-Hall, 1985. Y. Tsividis and V. Gopinathan, "Continuous-Time Filters," in Design of Analog-Digital VLSI Circuits for Telecommunications and Signal Processing, ed. by J. Franca and Y. Tsividis, Prentice-Hall, Englewood Cliffs, 1994. E. Vittoz and Y. Tsividis, "Frequency - Dynamic Range - Power", chapter in Tradeoffs in Analog Circuit Design, ed. by C. Toumazou, G. Moschytz , and B. Gilbert, Kluwer Academic Publishers, Boston, 2002. M. Banu and Y. Tsividis, "MOSFET-C techniques: Designing Power Efficient, High-Frequency Filters", in Integrated Analogue Filter Design, ed. by Y. Sun, IEE, 2002. D. Li and Y. Tsividis, "Active LC filters on silicon", chapter in Integrated Analogue Filter Design, ed. by Y. Sun, IEE, 2002. S. Pavan and Y. Tsividis, High Frequency Continuous Time Filters in Digital CMOS Processes, Kluwer, 2000. Y. Tsividis, M. Kurchuk, P. Martinez-Nuevo, S. M. Nowick, S. Patil, B. Schell, and C. Vezyrtzis, “Event-Based Data Acquisition and Digital Signal Processing in Continuous Time”, in Event-Based Control and Signal Processing, ed. by M. Miskowicz, CRC Press, pp. 253-278, 2015. Y. Chen, M. Kurchuk, N. Thao, and Y. Tsividis, “Spectral analysis of continuous-time ADC and DSP”, in Event-Based Control and Signal Processing, ed. by M. Miskowicz, CRC Press, pp. 409-420, 2015. The following books contain reprints of papers listed under “Journal Publications”: A.R. Grebene, editor, Analog Integrated Circuits, IEEE Press, New York, 1978. R.G. Meyer, editor, Integrated Circuit Operational Amplifiers, IEEE Press, New York, 1978. D.J. Dooley, editor, Data Conversion Devices, IEEE Press, New York, 1980. P.R. Gray, D.A. Hodges, and R.W. Brodersen, editors, Analog MOS Integrated Circuits, IEEE Press, New York, 1980.

Page 39: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

39

G.S. Moschytz, editor, MOS Switched-Capacitor Filters: Analysis and Design, IEEE Press, New York, 1984. G.C. Temes, editor, Integrated Analog Filters, IEEE Press, New York, 1987. P.R. Gray, Bruce A. Wooley, and R.W. Brodersen, Analog MOS Integrated Circuits II, IEEE Press, New York, 1989. Y.P. Tsividis and J.O. Voorman, editors, Integrated Continuous-Time Filters: Principles, Design, and Applications, IEEE Press, Piscataway, NJ, 1993. C. Koch and H. Li, editors, Vision Chips: Implementing Vision Algorithms with Analog VLSI Circuits, IEEE Computer Society Press, Los Alamitos, 1994. Software Packages

Y. Tsividis, XCODEC: A PCM code simulation program, 1975 (revision: 1978). S.C. Fang and Y. Tsividis, SWITCAP, a simulator for linear switched-capacitor networks used at over 250 academic and industrial institutions in 24 countries, as of April 1990. K. Suyama, S.C. Fang, and Y. Tsividis, SWITCAP II, a simulator for linear and nonlinear switched-capacitor networks including logic gates, 1990. Committee Work at Columbia Headed, or was a member of, various committees. Most recently, was Chairman of the Ph.D. committee that designed the present Ph.D. qualifying examination, 1999; Chairman of the Curriculum committee, 2002-03, Dept. of Electrical Engineering, that designed the present EE curriculum, 2003; member, First Two Years committee, Dean’s Office, Engineering School; member, Presidential Outstanding Teaching Award committee, Columbia University, 2006; chair of the task force for the revitalization of undergraduate teaching, Dept. of Electrical Engineering, 2009-2010. Advisor to the Dean on Undergraduate Curriculum, FFSEAS, 2010-14. Chair, Advisory Committee on Undergraduate Curriculum, FFSEAS, 2010-2014. Member, Curriculum committee, Electrical Engineering Department, 2015 - . Courses Taught At Columbia: Introduction to circuits** Circuit analysis Signals and Systems I* Signals and Systems II*

Page 40: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

40

Electronic circuits Digital Electronics Digital electronics laboratory Analog electronics laboratory** Circuits and Electronics II Introduction to semiconductor devices Introduction to communication systems Digital integrated circuits Analog integrated circuits* Digital signal processors Network theory II** Metal-Insulator-Semiconductor devices Advanced electronic circuits* MOS Transistors*+ Analog circuits in MOS VLSI* Seminar in electronic circuits* Analog electronic circuits*+ Communication circuits*+ Introduction to Electrical Engineering* MOS Transistors, MOOC (Massive Open Online Course) on Coursera, Spring 2013*+ At M.I.T.: Analog MOS LSI design* At N.T.U. Athens, 1983: Analog Electronics At U.C. Berkeley: Electric Circuits+ At N.T.U Athens, 1990-1994: Semiconductor circuits** Design of analog VLSI circuits* Telecommunication electronics* *New course developed. **New course material developed. +Taught on-camera; transmitted on video to off-campus students.

Short courses, Organized and/or Taught "Basic Electronics for Artists," California College of Arts and Crafts, Oakland, California, 1975. A shortened version of the course was videotaped for later use by the college.

"Analog Circuit Design in MOS LSI," Bell Laboratories, Reading, PA, March 1979. "Analog Circuit Design in MOS LSI," Bell Laboratories, Murray Hill, NJ, April 1981. "CMOS Analog Circuit Design," Schlumberger-Doll Research, Ridgefield, Conn., June 1981. "CMOS Integrated Circuit Design: the analog part," National Technical University of Athens, Greece, June 1983 (sponsored by the Greek Ministry of Research and Technology). "Design of MOS VLSI Circuits for Telecommunications," Scuola Superiore Guglielmo Reiss

Page 41: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

41

Romoli, Aquila, Italy, June 18-29, 1984; Technical Program Director and Lecturer (supported by the European Economic Community). "Integrated Circuits for Telecommunications," Zhejiang University, Hangzhou, People's Republic of China, June 12-22, 1985. "Design of MOS VLSI Circuits for Telecommunications," Scuola Superiore Guglielmo Reiss Romoli Aquila, Italy, July 1-12, 1985; Technical Program Director and Lecturer.

"Design of MOS VLSI Circuits for Telecommunications," Puerto de Sta. Maria, Spain, June 16-27, 1986; Technical Program Director. "Design of MOS VLSI Circuits for Telecommunications," Tampere University of Technology, Tampere, Finland, June 10-22, 1988 (held in association with the IEEE ISCAS and sponsored by the IEEE CAS Society); Technical Program Director and Lecturer. "Design of VLSI Circuits for Telecommunications and Signal Processing," IST, Technical University of Lisbon, Lisbon, Portugal, June 18-29, 1990; Technical Program Director and Lecturer. D. Antoniadis and Y. Tsividis, "The MOS Transistor-Operation and Modeling," CTR, Columbia University, New York, 1989. D. Antoniadis and Y. Tsividis, "The MOS Transistor-Operation and Modeling," General Motors-Delco Electronics, Kokomo, Indiana, USA, February 1990; co-organizer and lecturer, 12 hours. Y. Tsividis, "Integrated Analog Filter Design", AMS, Austria, October 24-25, 1991. "Current Topics in Analog IC Design," Ecole Polytechnique Federal de Lausanne (EPFL), Lausanne, Switzerland, June 25-28, 1991; lecturer, 3 hours. "Microelectronics-Design and Test of Integrated Circuit: Advanced Topics," Universidad International Menendez y Pelayo, Sevilla, Spain, October 7-11, 1991; lecturer. "Analog-Digital Full-Custom Design," Demokritos Research Center, Athens, Greece, November 11-15, 1991; lecturer, 15 hours. "Mixed Analog-Digital CMOS Circuits in VLSI," Demokritos Research Center, Athens, Greece, November 18-22, 1991; lecturer, 12 hours. “Current Topics in Analog IC Design”, Oregon Center for Advanced Technology Education, May 18-22, 1992; lecturer, 4.5 hours. "Practical Aspects in Analog IC Design," Parts I and II, Ecole Polytechnique Federale de Lausanne (EPFL), Lausanne, Switzerland, June 29-July 3 and July 6-10, 1992; lecturer, 4.5 hours.

"Advanced Analog-Digital Design," Eurochip course, ESAT, Katholieke Universiteit Leuven, Leuven, Belgium, October 26-30 and November 23-27, 1992; lecturer, 5 hours.

Page 42: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

42

"Advanced Analog-Digital Design," Eurochip course week 1, Universite Catholique de Louvain, Louvain-la-Neuve, Belgium, October 18-22, 1993; lecturer, 7.5 hours. "Mixed Analog-Digital VLSI Design," Demokritos Research Center, Athens, Greece, November 22-23, 1993; lecturer. “Advanced Analog Digital Design”, Eurochip course, Universite Catholique de Louvain, Louvain-la-Neuve, Belgium, 9-13 May 1994; lecturer, 7.5 hours. Several other short courses to the industry. Graduate Students S.C. Fang, Ph.D. thesis title: "Analysis, simulation and properties of switched capacitive networks," December 1982. M. Banu, Ph.D. thesis title: "Theory and design of linear integrated MOSFET-capacitor continuous-time filters," December 1983. M. Bagheri, Ph.D. thesis title: "Four-terminal MOSFET modeling including nonquasistatic and moderate inversion effects," January 1986. D. Vallancourt, Ph.D. thesis title: "Programmable analog integrated signal processors with transfer functions determined by timing," October 1987. J. Khoury, Ph.D. thesis title: "Realization of lumped and distributed integrated continuous-time filters," May 1988.

K. Suyama, Ph.D. thesis title: "Analysis, simulation, and application of linear and nonlinear switched-capacitor and mixed switched-capacitor/digital networks," January 1989. L.-J. Pu, Ph.D. thesis title: "Theory and applications of MOS transistors as distributed circuit elements," May 1989. V. Gopinathan, Ph.D. thesis title: "High frequency transconductance continuous-time filters," October 1990. S. Srinagesh, Ph.D. thesis title: "Reconfigurable analog VLSI neural networks," January 1991. S. Bouras, Ph.D. thesis title: “Fuzzy logic microprocessors in VLSI technology”, January 1996. K. Vavelidis, Ph.D. thesis title: “Six-terminal MOS structures and their application in electronically-controlled resistors”, January 1996. S. Pipilos, Ph.D. thesis title: “Microwave-frequency integrated filters in Si technology”, January 1996. G. Efthivoulidis, Ph.D. thesis title: “Fundamental noise and dynamic range limits in analog

Page 43: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

43

filters”, July 1998. Shanthi Pavan, Ph.D. thesis title: “High frequency continuous time filters in digital CMOS processes", June 1999. Dandan Li, Ph.D. thesis title: “Theory and design of active LC filters on silicon”, June 2000. Nagendra Krishnapura, Ph.D. thesis title: “Large dynamic range dynamically biased log domain filters”, September 2000. George Palaskas, Ph.D. thesis title: “Syllabic companding filters with emphasis on wireless applications”, December 2002. Sanjeev Ranganathan, Ph.D. thesis title: "Discrete-time parametric amplification using MOSFETs", June 2004. Mehmet Ozgun, Ph.D. thesis title (tentative): "Power management of integrated analog filters", June 2005. Glenn Cowan, Ph.D. thesis title: "A VLSI analog computer / math co-processor for a digital computer", June 2005. Shaorui Li, Ph.D. thesis title: "Integrated Q-enhanced LC filters with automatic tuning", June 2005. Nebojsa Stanic, Ph.D. thesis title: "Low-voltage RF integrated filters and communication circuits", January 2007. Atsushi Yoshizawa, Ph.D. thesis title: “Dynamically biased filters with high linearity”, September 2006. Robert Schell, Ph.D. thesis title: “Continuous-time digital signal processors: analysis and implementation”, January 2008. Ari Klein, Ph.D. thesis title: “Externally LTI discrete-time systems with applications to companding digital signal processors”, December 2009. Maria Kurchuk, Ph.D. thesis title: “Signal encoding and digital signal processing in continuous time”, June 2011. Colin Weltin-Wu, Ph.D. thesis title: “Design of low-power level-crossing ADCs”, December 2011. Christos Vezyrtzis, Ph.D. thesis title: “Continuous-Time and Companding Digital Signal Processors Using Adaptivity and Asynchronous Techniques”, December 2013.

Sharvil Patil, Ph.D. thesis title: “Energy-efficient time-based encoders and digital signal processors in continuous time”, June 2017.

Page 44: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

44

Yu Chen, Ph.D. thesis title: “Digital signal processing with signal-derived timing: Analysis and implementation”, June 2017. Ning Guo, Ph.D. thesis title: “Investigation of energy-efficient hybrid analog/digital approximate computation in continuous time”, June 2017. Visiting Scholars Hosted At Columbia: Ye, Rungtao, Zhejiang University, Hangzhou, People's Republic of China, Project: "Band gap voltage reference sources," 198l. Shi, Bingxue, Tsinghua University, Beijing, People's Republic of China, Project: "High-frequency effects in MOSFET-C continuous-time filters," June 1984-June 1985. Claudio Turchetti, University of Ancona, Italy, Project: "High- accuracy MOS device modeling," May 1985. Zdzislaw Czarnul, University of Gdansk, Poland, Project: "High- linearity MOSFET-C continuous-time filters," September 1985-September 1987. Laszlo Toth, Research Institute for Telecommunication, Budapest, Project: "High dynamic range frequency-selective integrated circuits," September 1989-September 1990. Atsushi Yoshizawa, Sony, Japan, Project: Design techniques for low-power, high-dynamic range continuous-time filters", July 1999-July 2000. Xiaoyang Zhang, National University of Singapore, project: “Event-driven data acquisition for biomedical applications”, May – November 2014. Research Support At Columbia:

Y.P. Tsividis and O. Wing, NSF Grant #ECS-7922109, "Analysis, fundamental properties and simulation of switched capacitive networks," 1/15/80 to 6/30/82 ($98,236). Y.P. Tsividis, GRA support, AT&T Bell Laboratories, 8/80-5/84 ($61,000). Y. Tsividis, equipment grant, Hewlett-Packard Company, 1/25/83 ($14,920). Y. Tsividis, GRA support, Electronics Education Foundation, 1/84-12/86 ($57,000). Y. Tsividis and O. Wing, NSF Grant #ECS-83l0227, "High performance analog signal processing using VLSI MOS devices," 10/83- 10/86 ($236,574).

Page 45: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

45

Y. Tsividis, Center for Telecommunications Research Grant, "Telecommunications VLSI Circuits," 9/85-5/86 ($137,500). Y. Tsividis, Center for Telecommunications Research Grant, "Switched-capacitor circuits and MOS device modeling," 2/87-1/88, $373,000. Y. Tsividis, NSF Grant # MIP-86-16394 "Analog signal processors in MOS LSI and VLSI," 4/87-10/90, $280,000. Y. Tsividis, Center for Telecommunications Research Grant, "Neural networks in VLSI" and "Nonlinear switched-capacitor networks," 2/88-1/89, $161,000. Y. Tsividis, Center for Telecommunications Research Grant, "Neural networks in VLSI" and "Nonlinear switched-capacitor networks," 2/89-1/90, $219,000. Y. Tsividis, Center for Telecommunications Research Grant, "Neural networks in VLSI" and "Nonlinear switched-capacitor networks," 2/90-1/91, $195,000. Y. Tsividis and K. Suyama, “Electronics Laboratory - Analog Integrated Circuits”, ADFC, 7/94-8/96, $1,000,000. Y. Tsividis and K. Suyama, “Electronics Laboratory - Analog Integrated Circuits”, ADFC, 9/96-8/98, $750,000.

Y. Tsividis, “Radio Frequency Circuits for Wireless Communications”, Texas Instruments, 2/97, $35,000 (open duration).

Y. Tsividis, "CMOS Device Modeling and Integrated Circuit Design", Texas Instruments, 6/98, $40,000 (open duration). Y. Tsividis, IBM Partnership Award, 6/98, $40,000. Y. Tsividis, "CMOS Device Modeling and Integrated Circuit Design", Texas Instruments, 6/99, $25,000 (open duration). Y. Tsividis, "Integrated Circuit Design", Lucent Technologies, 6/99, $40,000. Y. Tsividis, "Analog and Mixed-Signal Integrated Circuits", Philips Laboratories, 6/99, $42,000. Y. Tsividis, "Integrated Circuits Research", Sony Corp., Japan, 5/99, $40,000. Y. Tsividis, IBM Partnership Award, 6/99, $40,000. Y. Tsividis, “Low Power, Wide Dynamic Range Companding Analog Signal Processors”, National Science Foundation 9/99-8/02, $397,085. Y. Tsividis, IBM Partnership Award, 8/00, $40,000. Y. Tsividis, "Analog and Mixed-Signal Integrated Circuits", Philips Laboratories, 4/00, $42,000.

Page 46: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

46

Y. Tsividis, "Integrated Circuit Design", Lucent Technologies, 9/00, $40,000.

Y. Tsividis, "CMOS Device Modeling and Integrated Circuit Design", Texas Instruments, 4/00, $25,000. Y. Tsividis, "Low-Voltage RF Filters on Digital Chips", Intel, 2001, $80,000. Y. Tsividis, "Analog and Mixed-Signal Integrated Circuits", Philips Laboratories, 6/01, $42,000. Y. Tsividis, "Integrated Circuit Design", Lucent Technologies, 8/01, $40,000. Y. Tsividis, "Analog Circuits", Texas Instruments, 7/01, $50,000. Y. Tsividis, "Low-Voltage RF Filters on Digital Chips", Intel, 5/02, $80,000. Y. Tsividis, "Microelectronic Filters", NYSTAR-MDC, 2001, $50,000. Y. Tsividis, "Analog Circuits", Texas Instruments, 3/02 and 7/23/02, $40,000. Y. Tsividis "Externally Linear Low-Power Analog Signal Processing Circuits”, National Science Foundation, 9/02-8/06, $300,000. Y. Tsividis, "Low-Voltage RF Filters on Digital Chips", Intel, 3/03, $80,000. Y. Tsividis, "Microelectronic Filters", NYSTAR-MDC, 2002, $50,000. P. Kinget and Y. Tsividis, “Acquisition of Test and Measurement Equipment for Research in Wireless and Mixed-Signal Circuits”, National Science Foundation, 2003-2005, $250,000 ($357,143 including matching funds). P. Kinget and Y. Tsividis, “0.5 V RF Communication Circuits”, Intel, 2005-08, $174,500. Y. Tsividis, “Analog-Inspired Digital Signal Processors”, National Science Foundation, 6/07-6/10, $300,000. Silicon chip fabrication costs, supplied by Minatec, France, estimated at $20,000. Y. Tsividis and S. Nowick, “Power-Adaptive, Event-Driven Data Conversion and Signal Processing Using Asynchronous Digital Techniques”, National Science Foundation, 7/10-6/15, $1,062,607. Y. Tsividis, S. Sethumadhavan, and M. Seok, “Hybrid Continuous-Discrete Computers for Cyber-Physical Systems”, National Science Foundation, 10/12-9/16, $890,000. Y. Tsividis (PI), “Continuous-time digital computation and signal processing”, National Science Foundation, 9/14 – 8/17, $297,192.

Page 47: 3/30/2018 YANNIS TSIVIDIS Academic Experience · Co-recipient of the 1987 IEEE Circuits and Systems Society Darlington Award, "for the best paper bridging the gap between theory and

47

At NTUA:

Y. Tsividis, "VLSI Design and Prototyping Environment," HVLSI-STRIDE No 187, 1/92-6/94, 50,000 ECU. Y. Tsividis, "Microintegrated Intelligent Optical Sensor Systems (MInOSS)," Esprit No 7101, 7/92-6/95, 203,500 ECU. Y. Tsividis, "VLSI Implementation of Fuzzy Logic Microprocessor," Greek Ministry of Industry, 1/93-12/94, 22,000 ECU. Y. Tsividis, "Technology Initiative in BICMOS for Applications (TIBIA)," Esprit No 8001 (subcontracted), 10/93-10/95, 100,000 ECU. Y. Tsividis, "Analogue Libraries on low-cost CMOS digital process (ALCD)," Esprit No 8030, 11/93-11/96, 373,000 ECU.