72 technology focus: iii–vs on silicon integrating ingaas … · 2018-03-01 · the laser active...

2
R esearchers based in Singapore and the USA have integrated indium gallium arsenide (InGaAs) transistors with aluminium gallium arsenide (AlGaAs) laser diodes (LDs) using molecular beam epi- taxy (MBE) on silicon substrates [Annie Kumar et al, Optics Express, vol25, p31853, 2017]. The team from National University of Singapore, the Singapore–MIT Alliance for Research and Technology- Low Energy Electronic Systems, Nanyang Technological University in Singapore and Massachusetts Institute of Technology (MIT) in the USA are working towards low- cost, low-power, high-speed optoelectronic integrated circuits (OEICs). The same grouping of institutions reported in March 2017 transistor/LD integration on germanium substrate [www.semiconductor-today.com/ news_items/2017/mar/nus_290317.shtml]. In their latest work, the Si (100) substrate was offcut 6°C in the (110) direction. The germanium (Ge) part of the buffer was grown in a three-step process, reducing the intentional doping to zero, which achieved a threading dislocation density of less than 5x10 6 /cm 6 . The III–V layers were grown after 650°C annealing under ultra-high vacuum aimed at creating Ge double atomic steps on the surface of the buffer layer, designed to avoid anti-phase boundaries (APB). The III–V growth was nucleated with 20 monolayers of GaAs grown at 300°C in low-temperature migration- enhanced epitaxy (MEE) to give good surface quality and to reduce APB-related defects. Further growth of the III-V heterostructure was carried out at 580°C. The laser active region consisted of a 5nm GaAs single quantum well with Al 0.3 Ga 0.7 As barriers in graded-index separate-confinement heterostructures that varied in Al content between 30% and 60%, bridging across to the Al 0.6 Ga 0.4 As crystal lattice of the cladding layers. Photoluminescence from the laser structure gave a peak wavelength of 788nm. The transition to the transistor layers was achieved with an InAlAs buffer with indium content increasing from 0.1 to 0.52, giving a lattice matching with In 0.53 Ga 0.47 As (the favored material for high speed transistors). Device fabrication produced metal-first self-aligned gate transistors followed by laser diodes. The n + raised source drain contact metals were sputtered molybdenum and tungsten. Atomic layer deposition (ALD) formed the high-k insulator part of the gate stack with 1.5nm aluminium oxide and 5.5nm hafnium dioxide. Molybdenum and tungsten were also used for the gate electrode. Mesa etching of part of the InAlAs buffer between the transistor and laser layers and plasma- Technology focus: III–Vs on silicon semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 1 • February 2018 www.semiconductor-today.com 72 Integrating InGaAs transistor and III–V laser on silicon Researchers in Singapore and the USA says that they have taken a step towards low-cost, low-power, high-speed optoelectronic integrated circuits. Figure 1. (a) Three-dimensional schematic of monolithic integration of self-aligned InGaAs MOSFETs and GaAs/AlGaAs QW laser on Si substrate. Drain of multi-finger transistor is connected to laser’s n-contact. (b) Cross-sectional schematic of InGaAs metal-oxide-semiconductor field-effect transistors (MOSFETs) with detailed layer structure and thicknesses along line AA’ in (a). (c) Cross-sectional schematic of GaAs/AlGaAs QW laser along line BB’ of (a).

Upload: others

Post on 12-Mar-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: 72 Technology focus: III–Vs on silicon Integrating InGaAs … · 2018-03-01 · The laser active region consisted of a 5nm GaAs single quantum well with Al 0.3Ga 0.7As barriers

Researchers based in Singapore and the USAhave integrated indium gallium arsenide (InGaAs)transistors with aluminium gallium arsenide

(AlGaAs) laser diodes (LDs) using molecular beam epi-taxy (MBE) on silicon substrates [Annie Kumar et al,Optics Express, vol25, p31853, 2017]. The team from National University of Singapore, the

Singapore–MIT Alliance for Research and Technology-Low Energy Electronic Systems, Nanyang TechnologicalUniversity in Singapore and Massachusetts Institute ofTechnology (MIT) in the USA are working towards low-cost, low-power, high-speed optoelectronic integratedcircuits (OEICs). The same grouping of institutionsreported in March 2017 transistor/LD integration ongermanium substrate [www.semiconductor-today.com/news_items/2017/mar/nus_290317.shtml].In their latest work, the Si (100) substrate was offcut

6°C in the (110) direction. The germanium (Ge) part ofthe buffer was grown in a three-step process, reducingthe intentional doping to zero, which achieved athreading dislocation density of less than 5x106/cm6.The III–V layers were grown after 650°C annealingunder ultra-high vacuum aimed at creating Ge doubleatomic steps on the surface of the buffer layer,designed to avoid anti-phase boundaries (APB).The III–V growth was nucleated with 20 monolayers

of GaAs grown at 300°C in low-temperature migration-enhanced epitaxy (MEE) to give good surface qualityand to reduce APB-related defects. Further growth ofthe III-V heterostructure was carried out at 580°C. The laser active region consisted of a 5nm GaAs singlequantum well with Al0.3Ga0.7As barriers in graded-indexseparate-confinement heterostructures that varied inAl content between 30% and 60%, bridging across tothe Al0.6Ga0.4As crystal lattice of the cladding layers.Photoluminescence from the laser structure gave apeak wavelength of 788nm.The transition to the transistor layers was achieved

with an InAlAs buffer with indium content increasingfrom 0.1 to 0.52, giving a lattice matching withIn0.53Ga0.47As (the favored material for high speedtransistors). Device fabrication produced metal-first self-aligned

gate transistors followed by laser diodes. The n+ raisedsource drain contact metals were sputtered molybdenumand tungsten. Atomic layer deposition (ALD) formed

the high-k insulator part of the gate stack with 1.5nmaluminium oxide and 5.5nm hafnium dioxide. Molybdenum and tungsten were also used for the gateelectrode. Mesa etching of part of the InAlAs bufferbetween the transistor and laser layers and plasma-

Technology focus: III–Vs on silicon

semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 1 • February 2018 www.semiconductor-today.com

72

Integrating InGaAs transistorand III–V laser on silicon Researchers in Singapore and the USA says that they have taken a step towardslow-cost, low-power, high-speed optoelectronic integrated circuits.

Figure 1. (a) Three-dimensional schematic ofmonolithic integration of self-aligned InGaAsMOSFETs and GaAs/AlGaAs QW laser on Si substrate.Drain of multi-finger transistor is connected to laser’sn-contact. (b) Cross-sectional schematic of InGaAsmetal-oxide-semiconductor field-effect transistors(MOSFETs) with detailed layer structure and thicknessesalong line AA’ in (a). (c) Cross-sectional schematic ofGaAs/AlGaAs QW laser along line BB’ of (a).

Page 2: 72 Technology focus: III–Vs on silicon Integrating InGaAs … · 2018-03-01 · The laser active region consisted of a 5nm GaAs single quantum well with Al 0.3Ga 0.7As barriers

enhanced chemical vapor deposition(PECVD) of silicon dioxide passivationcompleted the transistor part of thefabrication.Dry and wet etch opened up regions for

laser fabrication. Further etching formedthe laser structure. Smooth, vertical mirror facets were formed using 250°Cplasma etch and PECVD silicon dioxidehard mask. The p-contact metals weretitanium/gold. The structure was thenplanarized with benzocyclobutene (BCB).The top of the laser diode was exposedand annealed gold/germanium/nickelused as the n-contact stack.Wiring connecting the transistor and

laser were created by a metal lift-offprocess. The device wafer was finallythinned and diced. The fabrication processhad a maximum temperature of 400°C.The researchers comment: “The lowthermal budget of the overall processhelped to maintain the high quality of theQW.” One of the transistors with a 420nm-long

channel achieved an on/off current ratioof six orders of magnitude with both50mV and 500mV drain biases. With 50mVdrain the minimum subthreshold swing(S) was 82mV/decade. The thresholdwas at 50mV gate potential. The drivecurrent was 455µA/µm with the gate0.95V over threshold and 1V drain bias.The peak transconductance with 0.5V drain was443µS/µm.Statistical work on a range of transistors showed an

improvement in subthreshold after laser diode fabri-cation, with the mean falling from 107mV/decade to81mV/decade. The researchers comment: “Thisimprovement in S is attributed to post metal anneal(PMA) during SiO2 deposition at 350°C which leads toreduction of interface traps at high-k/InGaAs interface”. The laser diode fabrication also improves threshold

uniformity and puts it at a positive rather than negativevalue, due to a reduction in fixed positive charge in thehigh-k gate oxide stack, according to the researchers.As for the laser diode performance, under pulsed

operation single-mode lasing at 5°C and 20°C had full-widths at half-maximum (FWHMs) of 0.3nm and0.35nm, respectively. The respective wavelengthswere 789nm at 500mA current injection and 795nm at620mA. The wavelength shift corresponds to thebandgap energy temperature shift of GaAs. The teamcomments: “Although the FWHM of around 0.3nm iswider as compared to lasers grown on native III–Vsubstrate, it is less or comparable to III–V lasers

epitaxially grown on Si substrate.” The threshold current density for a 540µmx20µm

laser diode was 4.9kA/cm2 at 5°C, higher than theteam’s previous III–V laser diodes on bulk germanium. A combined circuit of transistor and laser diode with

2V operating voltage had a through current of theorder of 15mA, much less than the 600mA needed forlaser output. The researchers suggest that quantumdot devices could lower the laser threshold sufficientlyfor transistor modulation purposes.The researchers point out another problem of the

proof of concept in that the silicon substrate is nottransparent to the produced light. Transparency wouldrequire narrower bandgaps for the active region asprovided by InGaAs. The team comments: “As compared to the GaAs/AlGaAs system, using theInxGa1–xAs/GaAs system with a higher Indium compo-sition involves a higher lattice mismatch with respectto silicon and the challenge of growing such materialswith low defectivity for laser fabrication on silicon needto be addressed.” ■https://doi.org/10.1364/OE.25.031853Author: Mike Cooke

Technology focus: III–Vs on silicon

www.semiconductor-today.com semiconductorTODAY Compounds&AdvancedSilicon • Vol. 13 • Issue 1 • February 2018

73

Figure 2. (a) Current density-voltage curve of GaAs/AlGaAs QW laserdiode showing on/off current ratio of more than four orders ofmagnitude. (b) Lasing spectra at 5°C and 20°C at single-mode lasingcurrents of 500mA and 620mA, respectively. (c) Output power asfunction of current density for 540µmx20µm-wide laser at variousoperating temperatures.