796904

11
EUV SECONDARY ELECTRON BLUR AT THE 22NM HALF PITCH NODE Roel Gronheid, IMEC, Kapeldreef 75, B-3001 Leuven, Belgium Todd R. Younkin, Michael J. Leeson, Intel Corporation, Components Research, RA3-252, 5200 NE Elam Young Parkway, Hillsboro, OR 97124, USA Carlos Fonseca, Joshua S. Hooge, Tokyo Electron America, Inc., 2400 Grove Boulevard, Austin, TX 78741, USA Kathleen Nafus, Tokyo Electron Kyushu Ltd., 1-1 Fukuhara, Koshi-shi, Kumamoto 861-1116, Japan John J. Biafore, Mark D. Smith, KLA-Tencor, PROLITH R&D, 8834 N. Capital of Texas Highway, Austin, TX 78759, USA ABSTRACT In this paper the Arrhenius behavior of blur upon EUV exposure is investigated through variation of the PEB temperature. In this way, thermally activated parameters that contribute to blur (such as acid/base diffusion) can be separated from non-thermally activated parameters (such as secondary electron blur). The experimental results are analyzed in detail using multi-wavelength resist modeling based on the continuum approach and through fitting of the EUV data using stochastic resist models. The extracted blur kinetics display perfectly linear Arrhenius behavior, indicating that there is no sign for secondary electron blur at 22nm half pitch. At the lowest PEB setting the total blur length is ~4nm, indicating that secondary electron blur should be well below that. The stochastic resist model gives a best fit to the current data set with parameters that result in a maximum probability of acid generation at 2.4nm from the photon absorption site. Extrapolation of the model predicts that towards the 16nm half pitch the impact on sizing dose is minimal and an acceptable exposure latitude is achievable. In order to limit the impact on line width roughness at these dimensions it will be required to control acid diffusion to ~5nm. Keywords: EUV, secondary electron blur, lithography simulation, resolution, LWR, resist sensitivity 1. INTRODUCTION Because of the excitation mechanism in EUV lithography the photo-acid is not expected to be generated at the exact location where the EUV photon is absorbed in resist. The magnitude of this so-called secondary electron blur has thus far proven to be very difficult to access experimentally. In previous work [1, 2] a methodology has been developed to build EUV resist models with a focus on extraction of meaningful physical parameters from them. The method relies on fitting across multiple imaging wavelengths and inducing constraints in the fit so that deprotection and dissolution kinetics are equivalent for the different imaging wavelengths. Only the acid generation kinetics are allowed to differ. This approach has allowed extracting relative quantum efficiencies of acid generation at the imaging wavelengths that were used. At 193nm and 248nm these were found to be identical, which is in agreement with expectations based on photo-physics [2]. However, at EUV the quantum efficiency of acid generation was found to be 8-13X higher. This high quantum efficiency is expected based on the EUV excitation mechanism [3] and demonstrates the intrinsic amplification of this technology. Similarly to the amplification mechanism in chemically amplified resists (CAR), the intrinsic amplification of the EUV excitation mechanism comes along with intrinsic blur. This so-called secondary electron blur (SEB) is a Invited Paper Extreme Ultraviolet (EUV) Lithography II, edited by Bruno M. La Fontaine, Patrick P. Naulleau, Proc. of SPIE Vol. 7969, 796904 · © 2011 SPIE · CCC code: 0277-786X/11/$18 · doi: 10.1117/12.881427 Proc. of SPIE Vol. 7969 796904-1 Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Upload: kla-tencor

Post on 03-Apr-2016

215 views

Category:

Documents


2 download

DESCRIPTION

 

TRANSCRIPT

Page 1: 796904

EUV SECONDARY ELECTRON BLUR AT THE 22NM HALF PITCH NODE

Roel Gronheid, IMEC, Kapeldreef 75, B-3001 Leuven, Belgium

Todd R. Younkin, Michael J. Leeson, Intel Corporation,

Components Research, RA3-252, 5200 NE Elam Young Parkway, Hillsboro, OR 97124, USA

Carlos Fonseca, Joshua S. Hooge, Tokyo Electron America, Inc., 2400 Grove Boulevard, Austin, TX 78741, USA

Kathleen Nafus, Tokyo Electron Kyushu Ltd.,

1-1 Fukuhara, Koshi-shi, Kumamoto 861-1116, Japan

John J. Biafore, Mark D. Smith, KLA-Tencor, PROLITH R&D, 8834 N. Capital of Texas Highway, Austin, TX 78759, USA

ABSTRACT

In this paper the Arrhenius behavior of blur upon EUV exposure is investigated through variation of the PEB temperature. In this way, thermally activated parameters that contribute to blur (such as acid/base diffusion) can be separated from non-thermally activated parameters (such as secondary electron blur). The experimental results are analyzed in detail using multi-wavelength resist modeling based on the continuum approach and through fitting of the EUV data using stochastic resist models. The extracted blur kinetics display perfectly linear Arrhenius behavior, indicating that there is no sign for secondary electron blur at 22nm half pitch. At the lowest PEB setting the total blur length is ~4nm, indicating that secondary electron blur should be well below that. The stochastic resist model gives a best fit to the current data set with parameters that result in a maximum probability of acid generation at 2.4nm from the photon absorption site. Extrapolation of the model predicts that towards the 16nm half pitch the impact on sizing dose is minimal and an acceptable exposure latitude is achievable. In order to limit the impact on line width roughness at these dimensions it will be required to control acid diffusion to ~5nm.

Keywords: EUV, secondary electron blur, lithography simulation, resolution, LWR, resist sensitivity

1. INTRODUCTION Because of the excitation mechanism in EUV lithography the photo-acid is not expected to be generated at the exact location where the EUV photon is absorbed in resist. The magnitude of this so-called secondary electron blur has thus far proven to be very difficult to access experimentally. In previous work [1, 2] a methodology has been developed to build EUV resist models with a focus on extraction of meaningful physical parameters from them. The method relies on fitting across multiple imaging wavelengths and inducing constraints in the fit so that deprotection and dissolution kinetics are equivalent for the different imaging wavelengths. Only the acid generation kinetics are allowed to differ. This approach has allowed extracting relative quantum efficiencies of acid generation at the imaging wavelengths that were used. At 193nm and 248nm these were found to be identical, which is in agreement with expectations based on photo-physics [2]. However, at EUV the quantum efficiency of acid generation was found to be 8-13X higher. This high quantum efficiency is expected based on the EUV excitation mechanism [3] and demonstrates the intrinsic amplification of this technology. Similarly to the amplification mechanism in chemically amplified resists (CAR), the intrinsic amplification of the EUV excitation mechanism comes along with intrinsic blur. This so-called secondary electron blur (SEB) is a

Invited Paper

Extreme Ultraviolet (EUV) Lithography II, edited by Bruno M. La Fontaine, Patrick P. Naulleau, Proc. of SPIEVol. 7969, 796904 · © 2011 SPIE · CCC code: 0277-786X/11/$18 · doi: 10.1117/12.881427

Proc. of SPIE Vol. 7969 796904-1

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 2: 796904

concern for the ultimate resolution of EUV lithography. This is especially so, since there is no known method to inhibit or control SEB.

Based on theory SEB is estimated to be in the order of 3-7nm for organic materials such as CARs [4]. However, it has proven to be very difficult to obtain experimental evidence to prove or disprove this prediction. This is mainly because SEB is difficult to separate from other blur terms such as acid diffusion. There are many other contributors to blur each with their characteristic blur length, σ. Besides SEB (with blur length σSEB) and acid diffusion (σLD) also flare (σflare), aberrations (σabb), out of band radiation (σOOB), and vibrations (σvib) may be expected to contribute to blur. All these individual blur terms add in quadrature (Equation 1) to give the resulting total blur (σtot).

σtot2 = σLD

2 + σSEB2 + σflare

2 + σabb2 + σOOB

2 + σvib2 (1)

At this point it is important to notice that all elements of Equation 1 have made their contribution after the exposure step except for acid diffusion blur which occurs during the post-exposure bake (PEB) step. Acid blur is a thermally activated process while the others, including SEB, are not. The response of both processes to PEB temperature variation will thus be very different. At high PEB temperature acid blur will be high and overwhelm SEB. As acid blur decreases at lower temperature, SEB may become the main contributor to blur.

RTEAk ar1000lnln ⋅−= (2)

The evolution of thermally activated processes with temperature is commonly described by the Arrhenius relationship (Equation 2). A plot of ln(k) vs. 1000/RT will have slope –Ea and intercept ln(Ar) where k is the rate constant of the thermally activated process, R is the gas constant (1.9872 cal mol-1K-1), T is temperature (in K), Ar is a pre-exponential factor (in s-1) and Ea is the activation energy (in kcal/mol). A change in slope of the Arrhenius response indicates a change in mechanism of the rate-limiting step. This notion allows predicting how the Arrhenius behavior will respond when SEB becomes the main blur component. At high temperature the blur will be thermally activated so the slope will be negative. As soon as SEB becomes the dictating blur component the blur is no longer thermally activated and the slope will be 0 (Figure 1). In our analysis flare will be treated separately by careful estimation of its contribution through independent experiments. The final three terms of Equation 1 will be assumed insignificant. It should be kept in mind that a breakdown of this assumption will result in overestimation of σSEB. This analysis thus allows putting an upper limit on the contribution of SEB.

Figure 1. Graphical representation of expected Arrhenius behavior when thermally activated acid blur at low PEB temperatures becomes smaller than non-thermally activated SEB. In order to have success in the execution of an experiment as described above, a couple of boundary conditions need to be met. First, a high resolution EUV resist with very low intrinsic acid diffusion is required. This material should allow processing over a sufficiently wide range of PEB temperatures. Under these process conditions it should only be possible to resolve features but also to identify the critical dimension (CD) and line width roughness (LWR) response as a

ln(k)

1000/R•T

σLD

σSEB, σflare, σabb, σOOB, and σvib

Proc. of SPIE Vol. 7969 796904-2

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 3: 796904

function of exposure dose, since this is the key information to extract acid diffusion length from the model. A material that meets these requirements has been identified. Also, a high resolution EUV exposure system is required. Since this paper aims at quantifying the contribution at the 22nm node, the system should be able to image this resolution with sufficient process latitude. For this purpose the 0.3NA Intel EUV Micro-Exposure Tool with Dipole illumination is used [5, 6]. Next to the continuum multi-wavelength model the data will also be analyzed with a stochastic EUV resist model [6, 7]. This model allows explicit treatment of SEB and is able to give an estimate of the blur length from the experimental data.

2. EXPERIMENTAL For all exposures a resist from an undisclosed vendor was used. The material was applied at 40nm film thickness after softbake (SB) and vendor recommended process conditions, except for PEB temperature which was varied. KrF exposures were performed on an ASML XT:750S scanner at 0.7NA using annular illumination (σo=0.875, σi=0.575) using 62nm DUV46 BARC (Brewer Science). ArF exposures were done on an ASML XT:1250D scanner at 0.85NA using annular illumination (σo=0.93, σi=0.69), using 85nm of ARC29SR BARC (Nissan Chemical). EUV exposures were done on an ASML ADT at 0.25NA using conventional illumination (σ=0.25) for FTL determination. High resolution EUV patterning was performed on the 0.3NA EUV Micro-Exposure Tool (MET) using C-dipole illumination [5, 6]. All EUV exposures were performed on 20nm organic underlayer. For all exposures, resist coating, SB, post-exposure bake (PEB) and development was done on TEL CLEAN TRACK ACT™12 coat and develop system interfaced to the respective exposure system.

Film thickness measurements were done by ellipsometry using a KLA-Tencor SCD100. For CD (critical dimension) measurements a Hitachi CG4000 scanning electron microscope (SEM) was used. Optical properties of the resist (n- and k-values) at 193nm and 248nm are determined by ellipsometry using a KLA-Tencor Aleris. At EUV n- and k-values are computed based on resist density and gross chemical composition using the CXRO website [9].

Continuum resist model simulations were performed using PROLITH v12 and calibrated resist parameters were constructed using internal computational methods by Tokyo Electron. Stochastic resist models were built using PROLITH X3.2 and model calibration methods developed by KLA-Tencor. For the EUV simulations, aerial images have been corrected for the estimated flare based on the local absorber coverage of the reticle, the flare as determined from Kirk disappearing pad test (2μm) and the point spread function of the optics [10].

3. RESULTS AND DISCUSSION 3.1 Experimental data set generation

In first instance the selected resist was screened using imaging at KrF wavelength for its ability to image at a variation of PEB temperatures, where the PEB time was fixed. It was found that below 80°C, the material slowed down too much to practically allow an imaging study. Above 110°C the performance deteriorated too much to result in usable data for modeling. As a consequence 80°C, 85°C, 95°C and 110°C were selected as PEB temperatures for this study. The data set intentionally focuses on the low PEB temperatures, since this is the region where any change in Arrhenius behavior would be expected.

Proc. of SPIE Vol. 7969 796904-3

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 4: 796904

Figure 2. Patterning performance near best dose/best focus across PEB temperature at 22nm (top) and 24nm (bottom) half pitch resolution using C-Dipole illumination on the 0.3NA MET.

Next, film thickness loss after PEB as a function of exposure dose was determined for each selected PEB setting and each imaging wavelength was determined using open frame exposures. Similarly, contrast curves were measured for each PEB setting and each imaging wavelength.

Finally, the imaging performance at 22nm and 24nm half pitch using C-Dipole illumination was determined by exposure on the Intel MET. It was confirmed that the selected PEB settings indeed allow high resolution imaging at these fine dimensions with good patterning performance (Figure 2).

Figure 3. A) CD as a function of exposure dose for 22nm and 24nm half pitch line/space features at 85°C. B) Exposure latitude for 22nm and 24nm half pitch line/space for various PEB settings. C) LWR as a function of focus for 22nm and 24nm half pitch line/space features at 85°C.

22nm

half

pitc

h24

nm h

alf p

itch

16.5mJ/cm2

85°C 110°C95°C80°C

17.0mJ/cm2

21.6mJ/cm2

21.6mJ/cm2

12.6mJ/cm2

12.6mJ/cm2 10.5mJ/cm2

9.9mJ/cm2

0

5

10

15

20

25

110C95C85C80C

Expo

sure

Lati

tude

(%)

PEB Temperature

0123456789

-0.4 -0.2 0 0.2 0.4

3σLW

R (n

m)

Focus offset (μm)

22nm L/S

24nm L/S

15

17

19

21

23

25

27

29

15 17 19 21

CD (n

m)

dose (mJ/cm2)

22nm L/S

24nm L/S

A

C

B22nm

24nm

Proc. of SPIE Vol. 7969 796904-4

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 5: 796904

Fine dose increments were used to obtain accurate exposure latitude information (Figure 3A). In practice data from multiple focus settings is used in order to improve data statistics and increase confidence in the model fit results. At each PEB setting CD could be determined at sufficient exposure dose conditions to allow determination of exposure latitude (Figure 3B). For both dimensions the exposure latitude maximizes at 85°C. The reduction in exposure latitude with increasing PEB temperature may easily be interpreted as a consequence of increasing acid diffusion length. The reduction in exposure length from 85°C to 80°C will be discussed in the section 3.3.

Traditionally, resist model fitting using the continuum approach relies mainly on CD data. When the exposure process is treated stochastically (i.e. using discrete descriptions of light and matter instead of continuous intensity distributions) LWR data (Figure 3C) may also be used in the model fitting process. This data is collected as a function of exposure dose and focus for each PEB setting. 3.2 Continuum resist model across imaging wavelengths

The continuum modeling across imaging wavelength starts by fitting acid generation and polymer deprotection kinetics from the film thickness loss curves. The reduction in film thickness after PEB is taken as a measure for polymer deprotection [11]. In order to use this information for modeling purposes, the film thickness first needs to be translated into the corresponding level of deprotection. In this case the interpretation of the film thickness loss curves is complicated by the use of different PEB temperatures. For all PEB settings a certain degree of the film thickness loss is asymptotically approached. However, the absolute amount of film thickness loss at high exposure dose is larger for a high PEB setting than for a low PEB setting. This is primarily attributed to a differences in the degree of film compaction rather than differences in the total degree of deprotection. The assumption is made that complete deprotection is approached at high exposure doses and a linear interpolation is made to compute the level of deprotection from the film thickness for each PEB setting separately.

A couple of constraints are put in place for the subsequent fitting procedure. Acid generation kinetics (Dill-C) will be different across the imaging wavelengths but are constrained to be equal across PEB settings for a given wavelength. Polymer deprotection kinetics (kamp) are constrained to be equal across imaging wavelengths for a given PEB temperature. Across PEB temperature the polymer deprotection kinetics are constrained to obey Arrhenius behavior. It is important to note here that deprotection and acid diffusion can be treated as two independent thermally activated processes. Since polymer deprotection will in principle not contribute to the total blur, its Arrhenius behavior should not be impacted by SEB.

Figure 4. Protected polymer fraction (where 1 is the degree of protection of pristine resist and 0 is fully deprotected) as a function of exposure dose for 2 PEB settings at 193nm (left) and EUV (right) imaging wavelength. Symbols are experimental data and the solid lines are the corresponding model fits. The small modulations in the EUV data are caused by minor coating thickness non-uniformity which is amplified in this test. From this point on, the acid generation and polymer deprotection kinetics are fixed in the model. The dissolution parameters are fitted next based on contrast curve data. Contrast curves have been collected for each PEB setting and each imaging wavelength. From that point on the dissolution kinetics are also fixed and only acid and base diffusion

0

0.2

0.4

0.6

0.8

1

0 10 20 30 40

Poly

mer

Pro

tect

ed F

ract

ion

Dose (mJ/cm2)

80C

95C

0

0.2

0.4

0.6

0.8

1

0 10 20 30 40

Poly

mer

Pro

tect

ted

Frac

tion

Dose (mJ/cm2)

80C

85C

Proc. of SPIE Vol. 7969 796904-5

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 6: 796904

kinetics need to be optimized. This is done based on CD vs. dose data of 22nm and 24nm half pitch line/space patterns as obtained from exposures on the 0.3 NA EUV Micro-Exposure Tool using dipole illumination (Figure 5).

Figure 5. Plots of CD vs exposure dose for 22nm (grey) and 24nm line/space structures. Symbols are experimental data points. Solid lines are model fit results. Finally, the results are interpreted by an Arrhenius plot of the acid diffusion kinetics (Figure 6). The data point follow are perfectly straight response as expected for a thermally activated process. Most notably, there is absolutely no indication near the low temperature region (right side of the plot) of leveling out towards a constant value. In other words, this interpretation of the data does not give any indication for secondary-electron effects contributing to the total blur at the 24 or 22nm half pitch.

Figure 6. Arrhenius plot of rate of acid diffusion at different PEB temperatures as extracted from the continuum PROLITH model. The symbols represent individual data points. The solid line is a linear best fit to the data. 3.3 Stochastic EUV resist model

The MET data that have been used for the final step in the calibration of the continuum model have also been used for construction of a stochastic resist model. In such a model the particle nature of both light and matter is explicitly taken into account. For example, the optical image is not described as a continuous intensity distribution, but as a map of photon absorption sites. Similarly, the resist is described as a discrete distribution of PAG and quencher molecules in a polymer matrix. Reactions which occur in the resist, such as exposure, deprotection and acid diffusion, are described discretely and probabilistically. This type of modeling allows for an explicit description of LWR. As a consequence LWR data may not only be an output of the model, but can also be used as an input parameter for model fitting.

20

22

24

26

28

30

10 13 16 19 22 25

CD(n

m)

Dose (mJ/cm2)

22nm Half-Pitch24nm Half-Pitch

95°C

20

22

24

26

28

30

10 13 16 19 22 25

CD(n

m)

Dose (mJ/cm2)

80°C

-3.0

-2.0

-1.0

0.0

1.0

2.0

1.25 1.30 1.35 1.40 1.45 1.50

ln(k

)

1000/RT

Proc. of SPIE Vol. 7969 796904-6

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 7: 796904

Here, acid generation upon irradiation in EUV occurs via ionization and electron scattering [8]. The parameters which describe the exposure kinetics are constrained to be identical among the different PEB temperatures. Excellent fits of both CD and LWR data as a function of exposure dose were obtained with the stochastic resist model (Figure 7). The CD fit resulted in a global root-mean-square error of 0.9nm and a maximum absolute error of 2.7nm.

Figure 7. a) CD vs exposure dose at 80°C PEB temperature at 3 focus settings near best focus. Symbols indicate experimental data; solid lines indicate fitted results. b) LWR vs exposure dose at 80°C PEB temperature at 3 focus settings near best focus. Open circles indicate experimental data; solid circles indicate fitted results. c) CD vs exposure dose at 95°C PEB temperature at 3 focus settings near best focus. Symbols indicate experimental data; solid lines indicate fitted results. d) LWR vs exposure dose at 95°C PEB temperature at 3 focus settings near best focus. Open circles indicate experimental data; solid circles indicate fitted results. Since CD and LWR data are used for the model fit, it is not so surprising to see that CD and roughness from the simulated relief images compare very well with top-down SEM images. However, it is striking that micro-bridging is correctly predicted by the model (Figure 8). For lower PEB temperatures, the simulated relief images are completely open, in agreement with the top-down SEM images (data not shown). However for the 110°C PEB temperature the simulator predicts occasional bridging which is in agreement with the experimental results.

Figure 8. Experimental (left) and simulated (right) top down view on 22nm line/space structures at 110°C PEB temperature. Arrow indicate locations where microbridging is observed. Next, the Arrhenius behavior of the thermally activated processes of the stochastic resist model is studied. In the model fit, the polymer deprotection rate is not explicitly constrained to display Arrhenius behavior. However, the fitted parameters for the deprotection rate vs. PEB temperature display a linear Arrhenius response (Figure 9, left). Interestingly, the plot of acid diffusivity also displays a linear Arrhenius response (Figure 9, right). This is in agreement with the results from the continuum modeling and again demonstrates that no evidence of secondary electron blur is observed from this data set.

20

22

24

26

28

30

19.0 20.0 21.0 22.0 23.0

CD (n

m)

Dose (mJ/cm2)

3

4

5

6

7

19.0 20.0 21.0 22.0 23.0

3s LW

R (n

m)

Dose (mJ/cm2)

18

20

22

24

26

28

12.0 12.5 13.0 13.5 14.0

CD (n

m)

Dose (mJ/cm2)

3

4

5

6

7

12.0 12.5 13.0 13.5 14

3s LW

R (n

m)

Dose (mJ/cm2)

a) 80°C b) 80°C c) 95°C d) 95°C

F = -0.09um

F = -0.06um

F = -0.03um

23.5 6.1CD nm LWR nm= =24.9 6.7CD nm LWR nm= =

Proc. of SPIE Vol. 7969 796904-7

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 8: 796904

Figure 9. Arrhenius plots for the deprotection rate constants (A) and the acid diffusion rate constants (B) as extracted from the stochastic model fits. Even though both the continuum and the stochastic model result in a nice linear Arrhenius response it is still of interest to compare the absolute values for the 1D acid diffusion length that are extracted from these different modeling approaches. The absolute acid diffusion lengths resulting from the PROLITH models as a function of PEB temperature are plotted in Figure 10. For the three lowest PEB settings the extracted diffusion lengths are in excellent quantitative agreement. Only for the 110°C PEB setting is there some discrepancy between the models. This may be attributed to the small number of usable data points (due to the low exposure latitude, cf. Figure 3B) that are available for fitting at this PEB setting. As a consequence the uncertainty in the fitting result is considerably higher.

Figure 10. Extracted acid diffusion length from the PROLITH continuum (black) and stochastic (grey) model for the studied PEB settings. Especially at the low PEB temperature range the results are in excellent quantitative agreement with a minimum diffusion length ~4nm. Obviously there still is a significant drop in acid diffusion length from 85°C to 80°C PEB temperature. This is accompanied by a reduction rather than an increase in exposure latitude (Figure 3B). This phenomenon is attributed to a reduction in the deprotection contrast that accompanies the reduction in PEB temperature (Figure 11).

-2.5

-2.0

-1.5

-1.0

-0.5

0.0

0.5

1.0

1.270 1.320 1.370 1.420 1.470

ln (

debl

ocki

ng ra

te, n

m3/

s )

1000/RT

-2.0

-1.5

-1.0

-0.5

0.0

0.5

1.0

1.270 1.320 1.370 1.420 1.470

ln (

acid

dif

fusi

vity

, nm

2/s

)

1000/RT

Acid diffusionPolymer deprotectionA B

0

5

10

15

20

25

70 80 90 100 110 120

Aci

d di

ffus

ion

leng

th (n

m)

PEB temperature (deg C)

continuum modelstochastic model

Proc. of SPIE Vol. 7969 796904-8

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 9: 796904

Figure 11. Post-PEB polymer deprotection as a function of position for 24nm line/space structures at the sizing dose for three different PEB settings. Open circle symbols give the deprotection gradient. The deprotection contrast is reduced with decreasing PEB temperature. Maximization of the exposure latitude is a trade-off between minimizing acid diffusion (at low PEB) and maximizing the deprotection contrast (at high PEB). The implications of the very low extracted blur length at the low PEB temperature regime (Figure 10) are quite important for further scaling of EUV lithography. Both models extract an acid blur length of ~4nm at 80°C. At this point it is important to note that besides acid blur, this blur length includes other contributions that are not explicitly treated in the model. SEB will be one of them. Since there is no sign of a change in the blur mechanism at the lowest PEB temperature (Figures 6 and 9B), SEB will be significantly below 4nm, which is lower than earlier predictions [3, 12]. In fact, the stochastic model does allow explicit treatment of secondary electron excitation mechanism. The parameters that are extracted from the model can be used to estimate SEB based on the current data set. This is done by forcing absorption of an EUV photon at the origin of the simulation domain and recording the positions of the generated acids. The system is then reset and the process is repeated 1000 times. The distance of the acids from the photon absorption site is plotted as a histogram (Figure 12). In this plot, the maximum probability of acid generation occurs about 2.4nm from the photon absorption site. It is noted that the feature dimensions used for modeling (22nm and 24nm) may not allow accurate prediction of blur lengths on the order of 3nm or below. Since blur components add in quadrature (Equation 1), components that are significantly smaller than the total blur are difficult to estimate. The extracted histogram is consistent with the stochastic lithographic model calibration to the available data, though SEB may in fact be smaller than what is estimated here.

Figure 12. Histogram of distance between acid generation and photon absorption (bin size is 1Å) based on model fit parameters from the 22 and 24nm half pitch EUVL data set. The acid generation probability maximizes at 2.4nm from the photon absorption site.

85°C 95°C80°C

0

0.01

0.02

0.03

0.04

0.05

0 2 4 6 8 10 12 14 16 18 20

Prob

abili

ty o

f aci

d ge

nera

tion

Distance from photon absorption site, (nm)

Proc. of SPIE Vol. 7969 796904-9

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 10: 796904

3.4 Extrapolation of current model

Based on the optimized stochastic model some extrapolations are explored to predict the impact for imaging down to 16nm half pitch (Figure 13). These simulations are run at 0.32NA to match projected future scanner capabilities of the NXE:3300 [13]. The sigma settings for the illuminator are optimized for 16nm half pitch (σi/σo = 0.62/0.74). The resist film thickness is not changed and remains at 40nm after coat and softbake.

Figure 13. Simulated exposure latitude, sizing dose, and LWR (at sizing dose and best focus) as extracted from the optimized stochastic resist models for 95°C (left) and 85°C (right) PEB temperatures.

At 95°C PEB temperature the model predicts that pushing down resolution from 22nm to 16nm half pitch induces a moderate reduction in exposure latitude while the dose impact is minimal (Figure 13, left). At 16nm half pitch the exposure latitude is still acceptable at >10%, although it should be noted that pattern collapse may effectively limit the process window. The projected results should thus be taken as upper limits. Beyond 20nm half pitch the most notable trend is the increase in LWR. This is especially worrisome since a reduction in film thickness to avoid pattern collapse at this dimension may be expected to further increase LWR. Analysis of the data demonstrates that the deprotection contrast after PEB is heavily reduced, since the acid diffusion length of ~8nm under this condition (Figure 10) becomes close to the feature dimension [14]. Indeed, reducing the acid diffusion length by applying 85°C PEB temperature (~5nm acid diffusion length) not only results in a lower overall LWR, but also in limited LWR increase with pitch reduction (Figure 13, right). At the expense of a somewhat higher sizing dose, the exposure latitude at this PEB temperature is even higher than at 95°C.

4. CONCLUSIONS The impact of variation of PEB temperature on the blur components in a chemically amplified EUV resist has been studied quantitatively. The resulting polymer deprotection and acid diffusion rate constants both display normal Arrhenius behavior indicating that both processes are thermally activated over the entire PEB range of study. Special attention has been paid to the behavior of the Arrhenius plot of acid diffusion in the low temperature regime, since a curve in the plot is expected when secondary electron blur becomes a significant contributor. Such a curve is not observed experimentally and therefore no sign of secondary electron blur is present at the current dimensions. At the lowest available PEB temperature, the total blur (acid blur + secondary electron blur) that is extracted from both continuum and stochastic models is ~4nm, indicating that secondary electron blur should be well below that number. From the optimized fit the stochastic model predicts a maximum probability of acid generation at 2.4nm from the photon absorption site. It should however be noted that at the feature dimension that has been used in this study this number is believed to be an upper boundary.

0

5

10

15

20

16nm18nm20nm22nm

Half Pitch

EL (i

n %

)

Dos

e (in

mJ/

cm2)

95°C

0

5

10

15

20

16nm18nm20nm22nmEL

(in

%)

Dos

e (in

mJ/

cm2)

85°C

Half Pitch

4.5

5.0

5.5

6.0

6.5

3s LWR (in nm

)

3s LWR (in nm

)

4.5

5.0

5.5

6.0

6.5

Proc. of SPIE Vol. 7969 796904-10

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms

Page 11: 796904

Extrapolation of the model towards 16nm half pitch demonstrates decent exposure latitude and limited impact on sizing dose. On the other hand the acid diffusion length needs to be controlled in order to avoid an impact on LWR. While further tuning of the materials and processes for 16nm half pitch will be required there appears to be no fundamental limit to prevent scaling of the chemically amplified resist platform towards these dimensions.

ACKNOWLEDGMENTS

The authors acknowledge E. Steve Putna, Roman Caudillo, Grant M. Kloster, Terence Bacuita, Sang H. Lee, and the entire Intel EUV team for exposure support. Ben Rathsack (TEL) made invaluable contributions in the inception of the project. Steven Scheer and Junichi Kitano are acknowledged for their continued support.

REFERENCES

[1] B. Rathsack, K. Nafus, S. Hatakeyama, Y. Kuwahara, J. Kitano, R. Gronheid, A. Vaglio Pret “Resist fundamentals for resolution, LER, and sensitivity (RLS) performance tradeoffs and their relation to micro-bridging defects" Proc. SPIE, 7273, 727347 (2009).

[2] R. Gronheid, A. Vaglio Pret, B. Rathsack, J. Hooge, S. Scheer, K. Nafus, H. Shite, J. Kitano “EUV RLS Performance Tradeoffs for a Polymer Bound PAG Resist” Proc. SPIE, 7639, 76390M (2010).

[3] T. Kozawa, S. Tagawa, H. B. Cao, H. Deng, M. J. Leeson “Acid distribution in chemically amplified extreme ultraviolet resist” J. Vac. Sci. Technol. B 25(6), 2481-2485 (2007).

[4] T. Kozawa, S. Tagawa, T. Kai, T. Shimokawa “Sensitization Distance and Acid Generation Efficiency in a Model System of Chemically Amplified Electron Beam Resist with Methacrylate Backbone Polymer” J. Photopolym. Sci. Technol. 20(4), 577-583 (2007).

[5] R. Caudillo, T. Younkin, S. Putna, A. Myers, Y. Shroff, T. Bacuita, G. Kloster, E. Sohmen “Aerial Image Improvements on the Intel MET” Proc. SPIE, 7636, 76363I (2010).

[6] E. S. Putna, T. R. Younkin, M. Leeson, R. Caudillo, T. Bacuita, U. Shah, G. Kloster “EUV Lithography for 22nm Half Pitch and Beyond: Exploring Resolution, LWR, and Sensitivity Tradeoffs” Proc. SPIE, 7969, Ibid, (2011).

[7] J. J. Biafore, M. D. Smith, C. A. Mack, J. W. Thackeray, R. Gronheid, S. A. Robertson, T. Graves, D. Blankenship “Statistical simulation of photoresists at EUV and ArF” Proc. SPIE, 7273, 727343, (2009).

[8] J. J. Biafore, M. D. Smith, E. van Setten, T. Wallow, P. Naulleau, D. Blankenship, S. A. Robertson, Y. Deng “Resist pattern prediction at EUV” Proc. SPIE, 7636, 76360R, (2010).

[9] http://henke.lbl.gov/optical_constants/

[10] J. Roberts, T. Bacuita, R. L. Bristol, H. Cao, M. Chandhok, S. H. Lee, M. Leeson, T. Liang, E. Panning, B. J. Rice, U. Shah, M. Shell, W. Yueh, G. Zhang “Exposing extreme ultraviolet lithography at Intel” Microelectronic Engineering 83, 672–675 (2006).

[11] R. Gronheid, A. Vaglio Pret, B. Rathsack, J. Hooge, S. Scheer, K. Nafus, H. Shite, J. Kitano “EUV RLS Performance Tradeoffs for a Polymer Bound PAG” J. Micro/Nanolith. MEMS MOEMS – accepted for publication.

[12] T. Kozawa, S. Tagawa, H. Oizumi, I. Nishiyama “Acid generation efficiency in a model system of chemically amplified extreme ultraviolet resist” J. Vac. Sci. Technol. B 24(6), L27-L30 (2006).

[13] R. de Leeuw, C. Wagner, R. Peeters, S. Young, N. Harned, M. Lowisch, H. Meiling, D. Ockwell, E. van Setten, J. Stoeldraijer “EUV into production with ASML’s NXE platform” 2010 International EUVL Symposium, October 17-20, 2010, Kobe, Japan

[14] D. Van Steenwinckel, J. H. Lammers, L. H. A. Leunissen, J. A. J. M. Kwinten, “Lithographic Importance of Acid Diffusion in Chemically Amplified Resists”, Proc. SPIE, 5753, 269-280, (2005).

Proc. of SPIE Vol. 7969 796904-11

Downloaded from SPIE Digital Library on 04 Apr 2011 to 192.146.1.175. Terms of Use: http://spiedl.org/terms