adam microdiskfabcyclicrie after jsk comments final

39
Cyclic deep reactive ion etching with mask replenishment T.N. Adam, S. Kim, P. Lv, G. Xuan, S. K. Ray, R. T. Troeger, D. Prather, and J. Kolodzey Department of Electrical and Computer Engineering University of Delaware Newark, DE 19716, USA (T.N. Adam, presently at IBM) ABSTRACT A multi-step reactive ion etching (MS-RIE) process for silicon was developed for the fabrication of deep anisotropic, closely packed structures with vertical sidewalls. This process used repeated cycles of etching and the replenishment of masking layers, similar to the Bosch process [1] that is employed in specialized etching tools. The process described here, however, can be used on conventional RIE tools, and is based on the isotropic deposition of an etch-inhibiting polymer to protect sidewalls, its anisotropic removal from the bottom etch front, and a subsequent isotropic etch into deeper layers. A 1

Upload: api-3746248

Post on 13-Dec-2014

110 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Cyclic deep reactive ion etching with mask replenishment

T.N. Adam, S. Kim, P. Lv, G. Xuan, S. K. Ray, R. T. Troeger, D. Prather, and J. Kolodzey

Department of Electrical and Computer Engineering University of Delaware

Newark, DE 19716, USA(T.N. Adam, presently at IBM)

ABSTRACT

A multi-step reactive ion etching (MS-RIE) process for silicon was developed

for the fabrication of deep anisotropic, closely packed structures with vertical sidewalls.

This process used repeated cycles of etching and the replenishment of masking layers,

similar to the Bosch process [1] that is employed in specialized etching tools. The process

described here, however, can be used on conventional RIE tools, and is based on the

isotropic deposition of an etch-inhibiting polymer to protect sidewalls, its anisotropic

removal from the bottom etch front, and a subsequent isotropic etch into deeper layers. A

conventional parallel plate etcher without fast gas management, cryogenic substrate

cooling, or inductively coupled plasma density enhancement, produced these steps. Each

process step was optimized for maximal etch rate, minimal mask erosion, deposition of the

thinnest polymer required to protect the sidewalls, and was tailored for use with 2 µm

thick photoresist as the initial mask layer. This cyclic RIE process was used to fabricate

photonic devices with high aspect ratios of etched depths over 100 µm and etch widths

near 1 µm

1

Page 2: Adam MicroDiskFabcyclicRIE After JSK Comments Final

1. Introduction

For micro-electromechanical systems (MEMS) applications, the etching of

silicon is required to relatively large depths (tens of microns) with high aspect ratios

(depth of trench versus lateral opening). Conventional wet etchants, such as hydrofluoric

acid mixed with nitric acid [2], result in isotropic undercutting below the masking

material. Crystal-orientation dependent etchants, such as potassium hydroxide [3], sodium

hydroxide [4], ethylene diamine pyrochatechol [5], and tetramethyl-ammonium hydroxide

[6], produce sidewalls that are straight, but that are inclined along preferred crystal planes.

For most of these chemicals, the wet etching rate differs for the plane orientations,

resulting in shapes that are restricted to rectangular and pyramidal forms. The wet etching

rates of silicon also depend on doping concentration and conductivity type [7]. In contrast,

“dry” ion etching is somewhat independent of crystal orientation, produces nearly vertical

sidewalls, and only weakly depends on doping. Typical dry Reactive Ion Etching (RIE)

inside a vacuum chamber occurs due to surface erosion of the desired sample by reactive

species and/or ion bombardment. The most common and least expensive etching tool is

the Capacitively Coupled Reactive Ion Etcher (CC-RIE). Compared to other dry etching

systems, such as Inductively Coupled Plasma etchers (ICP) [8], or Magnetically Enhanced

RIE (ME-RIE) [9], the ion directionality and density in the CC-RIE plasma is relatively

low. Consequently, the etching rate of CC-RIE is comparatively low and the anisotropy is

poor at rf-powers and gas pressures that are similar to the other techniques. Highly

anisotropic shapes can be obtained through increased ion bombardment at lower pressures,

similar to that in Chemically Assisted Ion-Beam Etching (CAIBE) [10] or Reactive Ion

Beam Etching (RIBE) [11], but at the expense of lower etch rates and faster mask erosion

due to reduced mask selectivity. Unlike ICP or ME-RIE, CC-RIE does not allow for the

independent adjustment of plasma density and ion energy. With photoresist as a mask, it is

2

Page 3: Adam MicroDiskFabcyclicRIE After JSK Comments Final

undesirable to use ion bombardment alone to achieve anisotropy because of the poor etch

rate selectivity between photoresist and silicon.

The process that is patented and licensed by Robert Bosch GmbH [12] relies

on the ion-bombardment-enhanced deposition of a polymer that protects the mask and the

sidewalls during the deep etching of the desired structure. This Bosch Process makes use

of certain gases and gas mixtures, such as CF3H, c-C4F8, or CF4 + H2, that can deposit

polymers anisotropically during etching, while the etch rate of silicon at the bottom etch-

front is greatly reduced. With increasing ion energy, the otherwise isotropically depositing

polymer is re-sputtered from all horizontal surfaces that are subject to ion flux, and it

tends to re-deposit on the sidewalls of emerging features, during a process sub cycle called

the deposition step. The ion energy during this deposition step is increased to enable the

so-called “forward scattering” of the sidewall polymer by ion bombardment, which is

employed to remove and re-distribute the accumulating polymer from the top of the

structures to along the sidewalls towards the etch front. The polymer on the sidewalls

prevents their undercutting during etching. This procedure creates a deposited profile for

homogeneous sidewall protection allowing higher aspect-ratio etching but requires the use

of robust “hard” masking materials such as oxides or metals. In the Bosch process, during

a subsequent low-energy, chemically driven process sub cycle called the etching step, the

deposited polymer acts as a micro-mask that temporarily prevents the sidewalls from

being etched. During the Bosch process, the deposition and etching steps are periodically

cycled until the required depth is reached. High etch rates are achieved using ICP systems

that are capable of switching gases and stabilizing pressures quickly (~1 second), and by

increasing the local plasma density using a remote inductive coil. The ion energy required

for polymer re-deposition is adjusted separately from the plasma density by changing the

power that is capacitively coupled to parallel plate electrodes. In contrast, conventional

CC-RIEs do not have this capability to independently adjust ion density and energy, and

consequently the Bosch process does not work on parallel-plate RIEs. Therefore it would

3

Page 4: Adam MicroDiskFabcyclicRIE After JSK Comments Final

be useful to have an alternative cyclic etching technique that can be performed on

conventional CC-RIE systems, which lack inductively coupled plasma (ICP) excitation.

We describe here a novel anisotropic multi-step reactive ion etching (MS-RIE) technique

that combines the deposition of micro masking layers with their cyclical replenishing,

removal, and deep etching. This technique was developed to fabricate structures with deep

and smooth vertical walls and large vertical depth-to- horizontal opening aspect ratios for

MEMS and photonic applications.

2. Experimental Method

We have developed a novel cyclic process that can be performed on

conventional capacitively coupled RIEs, and that does not require an ICP reactor as does

the Bosch Process. The process described here was tailored for deep silicon structures

using photoresist masks, and was optimized for high etch rates and low mask erosion. It

has been tested to an etched depth of 140 m on a PlasmaTherm 790 RIE system. The

absence of inductive coils, separate energy and ion density adjustment, fast gas

management, and cooled sample stages makes this process, using conventional RIE

systems, an inexpensive alternative to ICP tools using the original Bosch process.

Compared to optimized Bosch recipes on fully equipped ICPs as reported by Aayón et al.

[13], however, the net etch rates of our cyclic process are much lower (1.25 µm /hr vs. 4

µm/min), and the etch depth non-uniformity across large samples (3 inch substrates) is

somewhat degenerated ( 60 % vs. 4 % for the optimized Bosch process). In our process,

the forward scattering of the sidewall polymer is intentionally avoided by using higher

pressures (several hundred millitorr) during the etching step that yield a greater etch

selectivity of silicon over photoresist by having lower energy ions, but at a lower net etch

rate. In the absence of polymer forward scattering, the polymer accumulates more heavily

at the top of mesas and produces a slightly tapered sidewall profile. We found that the

4

Page 5: Adam MicroDiskFabcyclicRIE After JSK Comments Final

inclination of the sidewall depended on the final thickness of protective sidewall polymer

and the etch depth.

The reactive-ion etcher used for this process development was a parallel plate

PlasmaTherm 790 system [14], equipped with an 8-inch diameter water-cooled aluminum

substrate holder as shown in Fig. 1. The chamber was evacuated by a TMP-151C

turbomolecular pump (145 l/s) backed by a Leybold D25BCS mechanical pump (18.1

cfm), to pressures typically around 0.1 mTorr. Process gases were managed by a bank of

mass flow controllers and introduced through an 8-inch showerhead configuration nozzle

to ensure a homogeneous gas flow pattern. The processing gases were sulfur-hexafluoride

(SF6), tetrafluoro-methane (CF4), oxygen, hydrogen, argon, and helium. We chose an SF6

based etch chemistry due to its inherently high silicon etch rate compared to CF 4 etching.

Pressure stabilization and gas management were computer controlled using a feedback

controlled throttle valve. The RF-power (at 13.56 MHz) was capacitively coupled to the

aluminum substrate holder (bottom electrode). The grounded showerhead electrode was

located 7 cm above the powered substrate holder. Through quartz view ports, the plasma

glow and dark regions could be observed.

5

Page 6: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Figure 1: A schematic diagram showing the layout of the reactive-ion etcher

(PlasmaTherm 790 system). The RF-power (at 13.56 MHz) was capacitively coupled to

the aluminum substrate holder (bottom electrode). The grounded upper electrode was

perforated to allow gases to flow through in a showerhead configuration.

As shown schematically in Figure 2, the cyclic MS-RIE process consisted of

three main sub cycles or steps: (A) isotropic etching, (B) polymer deposition, and (C)

anisotropic polymer removal. To develop this process, first the isotropic etching (A) and

the polymer deposition (B) steps were optimized separately for maximum etching rate and

the selectivity ratio of mask/silicon etching. Subsequently, the anisotropic removal (C)

step was adjusted during cycling experiments in combination with the other two steps.

6

Page 7: Adam MicroDiskFabcyclicRIE After JSK Comments Final

2.1 Isotropic Etch (STEP A)

The mask pattern of the microstructures to be fabricated was transferred onto

a Si substrate coated with 2µm thick positive photoresist (AZ5214E [15]), by contact-

exposure photolithography. Positive photoresists usually exhibit unwanted reflow

characteristics during the final hardbake, resulting in uneven thickness and sloped

sidewalls. Because straight resist sidewalls were crucial for the MS-RIE, the standard

photolithography process was modified by hard baking at 125ºC for 1 minute before

exposure and by using longer than normal developing times. These conditions produced

nearly straight sidewalls with negligible bowing. A fully processed

Figure 2: Left: Schematic depiction of the three steps in the MS-RIE cycle and their effect

on an etched mesa of silicon. (A): Isotropic etch at high pressures to produce depth with

a slight amount of lateral undercutting and ripple, which can be minimized until it is not

noticeable by reducing the duration of this step. (B): Isotropic polymer deposition to coat

all horizontal and vertical surfaces with protective polymer. (C): Anisotropic polymer

removal at low pressures optimized to remove polymer from horizontal surfaces only,

without attacking the original photoresist or the vertical sidewall protection. Right top:

7

Page 8: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Drawing of finished anisotropic etch showing the sidewall protecting polymer layer (not to

scale), and scalloped edges due to the slight undercutting of the isotropic etching step.

Right bottom: The scanning electron micrograph shows a 4.5µm deep edge after 10

cycles demonstrating scalloping (intentionally exaggerated in this sample for illustration).

The masking material was 0.2/5 kÅ of Ti/Au. [16]

8

Page 9: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Structure (microdisk) is displayed on the right side of Fig. 2, showing a scalloped vertical

sidewall that was intentionally exaggerated by using a longer than optimal isotropic etch

sub-cycle. Figure 2 shows a portion of a circular mesa structure before undercutting to

form the final pedestal base of the mesa. Compared to tetrafluoro-methane (CF4) as a

processing gas, the sulfur-hexafluoride (SF6) had much higher silicon etch rate. In Figure

3, the dependence of the silicon removal rate on RF-power and gas pressure in an SF6

plasma is displayed, together with the self-induced voltage on the powered electrode. The

lowest pressure for a stable plasma was 4 mTorr, at which high aspect ratios were

obtained by ion-assisted sputter etching.

At relatively low pressures (30 mTorr and below), the chemical driven etch

rate of silicon was suppressed relative to the anisotropic physical sputtering processes due

to the insufficient concentration of reactive etch molecules and the higher ion energy due

to the longer mean free path. The length of the Crooke's dark space in the plasma column,

and the magnitude of the self-induced voltage on the powered electrode were larger at low

pressures, but the etch selectivity of photoresist relative to silicon was extremely poor.

Under low-pressure, high-energy conditions, only hard masking materials, such as Ni, Cr,

Au, or Al withstood sufficient exposures so that deep etching (>10 µm) could be obtained,

even at moderate RF-powers.

In addition to the RF-power and gas pressure, the gas mixture also affected the

etch rate. Low pressure etching in pure SF6 resulted in rough surfaces, similar to that from

XeF2 etching [17]. Adding CF4 and/or H2 to SF6 decreased the etch rate and also

produced rough surfaces (rms surface roughness ≈ 500nm). Mixing SF6 with O2 reduced

the etch rate (see Figure 4), but produced smooth surfaces (rms surface roughness ≈

100nm). Unfortunately, the etch selectivity of photoresist over silicon decreased

drastically due to photoresist ashing by O2.

9

Page 10: Adam MicroDiskFabcyclicRIE After JSK Comments Final

50 55 60 65 701.9

2.0

2.1

2.2

2.3

2.4

2.5

Etc

h R

ate

(k

Å/m

in)

4mT SF6

RF-Power (Watt)

220

240

260

280

300

Vo

lta

ge

(V

)

0 10 20 30 402

3

4

5

6

Etc

h R

ate

(k

Å/m

in)

Pressure (mTorr)

0

50

100

150

20050W, SF6

Vo

lta

ge

(V

)

(a)

(b)

50 55 60 65 701.9

2.0

2.1

2.2

2.3

2.4

2.5

Etc

h R

ate

(k

Å/m

in)

4mT SF6

RF-Power (Watt)

220

240

260

280

300

Vo

lta

ge

(V

)

0 10 20 30 402

3

4

5

6

Etc

h R

ate

(k

Å/m

in)

Pressure (mTorr)

0

50

100

150

20050W, SF6

Vo

lta

ge

(V

)

(a)

(b)

Figure 3: Data for the isotropic etching step. (a): The dependence of silicon etch rate and

self-induced electrode voltage on RF-power in an SF6 plasma showing near linearity. For

these measurements, the masking material was the photoresist NR5 [18], of thickness

2.2 µm. (b): The silicon etch rate and the self-induced electrode voltage showing the

effects of pressure in an SF6 plasma at constant power. The slight difference in etch rates

at 4 mTorr, between the top and bottom graphs, was most likely caused by employing

samples of different sizes for the two experiments (this macro-loading effect is described

in the text).

10

Page 11: Adam MicroDiskFabcyclicRIE After JSK Comments Final

0 20 40 60 80 1000

2

4

6

8

10

Etc

h R

ate

(k

Å/m

in)

200mT and 50W

%He Flow in SF6 - He Mixture

0 10 20 30 40 50 60 700

1

2

3

4

5 90mT and 50W

Etc

h R

ate

(k

Å/m

in)

%O2 Flow in SF6-O2Mixture

(a)

(b)

0 20 40 60 80 1000

2

4

6

8

10

Etc

h R

ate

(k

Å/m

in)

200mT and 50W

%He Flow in SF6 - He Mixture

0 10 20 30 40 50 60 700

1

2

3

4

5 90mT and 50W

Etc

h R

ate

(k

Å/m

in)

%O2%O2 Flow in SF6-O2Mixture-O

2Mixture

(a)

(b)

Figure 4: Data for the isotopic etching (A) step. (a): Etch rate of silicon as a function of

SF6:He gas mixture, showing nonlinear dependence on He content. A maximum etch rate

was found at a gas composition of 1:2 SF6: He. (b): Silicon etch rate as a function of

oxygen percentage in SF6 plasma, showing the decrease in etch rate as the relative

amount of SF6 deceases. The process gas pressure and RF-power are given in the

insets.

11

Page 12: Adam MicroDiskFabcyclicRIE After JSK Comments Final

The gases that increased the etch rates were argon and helium. While argon worsened the

surface roughness, helium produced relatively smooth surfaces (tens of nm scale).

Therefore for our isotropic etching step (a), we chose flow rates of 10 sccm (standard

cubic centimeter per minute) of SF6 and 20 sccm of He, resulting in a maximum etch rate,

as displayed in Figure 4a. To minimize the mask erosion in He:SF6 mixtures, the RF-

power was lowered to 50 W, where we observed the etch rate selectivity ratios of silicon

over photoresist to be as high as 30. Reducing the RF-power decreased the etch rate,

which could be compensated by increasing the gas pressure to 200 mTorr, at the expense

of etch uniformity across the wafer, as shown in the top graph of Figure 5. At pressures

near 200 mTorr, the self-induced electrode voltage was reduced to less than 20 V, and the

etch process was chemically driven rather than bombardment-enhanced. Consequently, the

silicon removal rate depended strongly on the area of the exposed silicon surface, as

shown in Figure 5b, where changes in etch rate by about a factor of five were observed

versus different amounts of exposed silicon. We addressed the dependence on exposed

area by adding “dummy” substrates of blank Si to maintain a nearly constant area during

processing.

As a technical comparison, ICP reactors typically perform the isotropic

etching step using pressures between 15 and 25 mT and RF-powers of 5 to 15 W that,

without further plasma density enhancement, would yield extremely low but very

homogeneous etch rates. In ICP reactors, the plasma density is usually enhanced by

microwave coil powers between 700 and 2000 W, yielding etch rates as high as 4µm /min

on 150 mm wafers. However, the heat generated within the sample during high-rate

etching has to be removed by a helium gas flow in a heat sink at the sample backside,

resulting in a more complex process than that described here. On the other hand, in our

cyclic RIE process, the etch rate is lower but does not cause significant sample heating.

12

Page 13: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Etc

h R

ate

(k

Å/m

in)

Etc

h R

ate

(k

Å/m

in)

(a)

(b)

0 10 20 30 400

24

68

1012

141618

Distance from Center (mm)

0 1000 2000 3000 40000

2

4

6

8

10

3inch wafer

1/4 3inch

Chips 200mT 50WSF6:He(1:2)

Exposed Area (mm2)

Etc

h R

ate

(k

Å/m

in)

Etc

h R

ate

(k

Å/m

in)

(a)

(b)

0 10 20 30 400

24

68

1012

141618

Distance from Center (mm)

0 1000 2000 3000 40000

2

4

6

8

10

3inch wafer

1/4 3inch

Chips 200mT 50WSF6:He(1:2)

Exposed Area (mm2)

Figure 5: Data for isotropic etching step (A). (a): Etch depth versus position on a 3-inch

wafer after 60 cycles, each consisting of: 360 sec (per step sub-cycle) of deposition (step

b) at 40 W in 200 mTorr of 25:15 sccm CF4:H2, followed by 54 sec of removal (step c) at

100 W in 5 mTorr of 16:8 sccm He:SF6, and 95 sec of isotropic etching (step a) at 50 W in

200 mTorr of 20:10 sccm He:SF6. (b): Etch rate versus exposed silicon area showing the

macro-loading effect: larger exposed silicon areas etched slower than centimeter sized

chips, due to the local depletion of reactants.

13

Page 14: Adam MicroDiskFabcyclicRIE After JSK Comments Final

2.2 Isotropic Polymer Deposition (STEP B)

When tetrafluoro-methane (CF4) was used in our CC-RIE, much smaller

silicon etch rates were obtained as compared to SF6, even at high pressures and RF-

powers. When CF4 was mixed with hydrogen, however, polymer deposits were readily

visible on the chamber walls. With increased percentage of hydrogen flow, the silicon etch

rate decreased as displayed in Figure 6a. At relative H2 flows above 28%, silicon was no

longer etched, but a Teflon-like polymer was deposited isotropically on the sample. It was

realized that this process could be used to deposit and replenish the masking layers. For

instance, in one variant of the Bosch process, the polymer deposition step was performed

at ion energies sufficiently high to disrupt the deposition on horizontal surfaces and cause

selective deposition on vertical sidewalls, which in the two-step Bosch process was

followed immediately by the etching step. In our CC-RIE system, at a gas mixture of 25

sccm CF4 and 15 sccm H2 with pressures as low as 30 mTorr and powers as high as 500

W, which represents our upper power (and ion-energy) limit, the impinging reactive

species were not energetic enough to disrupt the polymer formation on horizontal surfaces.

As a consequence, when using CF4, even under strongly enhanced ion bombardment, the

polymer could not be selectively removed from the etch front while remaining on the

sidewalls for protection during the subsequent isotropic etch. It was therefore necessary to

insert a third step using SF6, (STEP C: polymer removal, described below) that

anisotropically removed the deposited polymer from the horizontal etch-front surfaces. As

shown in Fig. 6, a hydrogen flow of 38 % was chosen for our deposition step. An accurate

determination of the deposited thickness was difficult because the polymer was not etched

easily and therefore were not be cross-sectioned easily to display an accessible edge.

Subsequently, we found that the mask polymer could be removed by the

following techniques. To get rid of the polymer that originated from the CF4 gas based

chemistry during the deposition process of RIE, the samples were exposed to an oxygen

plasma at 200 mTorr for 30 min. and then cleaned with H2SO4/H2O2 (3:1, 120C, 10 min.)

14

Page 15: Adam MicroDiskFabcyclicRIE After JSK Comments Final

or NH4OH/H2O2/H2O (1:1:5, 80C, 5 min.) chemicals. After this, the samples showed no

polymer left on the silicon surface.

0 10 20 30 40 50-200

-100

0

100

200

300

400

500

600 200mT 40W

Etc

h R

ate

/min

)

%H2Flow in CF4 -H2 Mixture

Resist

Silicon

Polymer

(a)

(b)

0 10 20 30 40 50-200

-100

0

100

200

300

400

500

600 200mT 40W

Etc

h R

ate

/min

)

%H2Flow in CF4 -H2 Mixture

Resist

Silicon

Polymer

0 10 20 30 40 50-200

-100

0

100

200

300

400

500

600 200mT 40W

Etc

h R

ate

/min

)

%H2Flow in CF4 -H2 Mixture

Resist

Silicon

Polymer

(a)

(b)

Figure 6 :(a): The net etch rate of silicon versus percentage of hydrogen in total flow of

CF4-H2 mixture. Negative etch rates indicate deposition. (b): Scanning electron

micrograph of etched edge that was cleaved. Scallops (intentionally exaggerated) and

deposited polymer from the multiple cycles are clearly visible on the vertical edge.

15

Page 16: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Using scanning electron microscopy (SEM), the deposited polymer thickness was

determined by measuring the thickness of cleaved sidewalls with polymer accumulated

after many cycles, as displayed in Fig. 6b. From its outgassing and reaction by-products,

the polymer itself could contribute contaminants to subsequent etches, especially during

the non-polymer based sub-cycle steps. For example, polymer particles from the removal

step were re-deposited onto the substrate to form micro-masks that, with subsequent

etching, left behind individual and clustered micro-pillars, commonly known as “grass” or

“black silicon”. This effect is shown in Figure 7 for a 20µm wide waveguide structure that

was etched in 100 cycles of the conditions designated as Process 2 of Table I, except with

a removal (step C) time that was reduced from 32 to 8 seconds in order to exaggerate the

amount of micro masking that was induced by particles. Other gases, such as C2F4 or

CH3F have been reported to exhibit similar polymer deposition properties and may

substitute for CF4.

2.3 Anisotropic Polymer Removal (STEP C)

During the anisotropic polymer removal step, the protective polymer mask

deposited in step B above was removed on all horizontal surfaces by a high ion-energy,

low-pressure plasma that was produced by lowering the process pressure and increasing

the RF-power. The RIE settings were 100 W power, 5 mTorr pressure, with flow rates of

8 sccm of SF6, and 16 sccm of He, and the self-induced electrode voltage was

approximately 370 V. The timing of this “smash” step duration was critical to minimize

both mask erosion and the amount of residual polymer on the bottom surface of the etch

front. Shorter durations resulted in less mask erosion but left behind a polymer residue,

which ultimately manifested as “grass” or “black silicon”, observable on a blank Si

scavenger wafer underlying and supporting the intended etch wafer. Longer than optimal

etch times prevented the formation of silicon grass but yielded considerable mask erosion.

16

Page 17: Adam MicroDiskFabcyclicRIE After JSK Comments Final

A compromise was found by increasing the step duration until no black grass discoloration

of the scavenger wafer was visible after several etch cycles.

(a)

(b)

(a)

(b)

Figure 7: Scanning electron micrographs of multistep-RIE etched annular ring and linear

structure after several cycles, showing the effects of re-deposited polymer and its

incomplete removal. (a): A clean surface after 73 cycles with optimized polymer removal

times (Process 1 of Table I). Note the proximity of the annulus to the linear structure (b):

Rough surface after 100 cycles of Process 2 in Table I, with an intentionally reduced

polymer removal time of 10 seconds (instead of the optimal time of 32 seconds), and an

etch depth of approximately 8.5µm. Micro-masking as a result of incomplete polymer

removal produced a rough bottom surface with partial polymer coverage (grass), while

larger particles produced pillars having the height of the etch depth.

17

100µm

20µm

Page 18: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Table I: Parameters for the cyclic multistep RIE process that were optimized for minimum

required polymer sidewall protection, minimal bottom surface roughness, and the least

mask erosion. The Polymer Deposition, Anisotropic Polymer Removal, and Isotropic Etch

steps are designated (B), (C), and (A), respectively, as described in the text. The two

processes (1) and (2) are tailored for the use of 2 µm of photoresist as a mask.

18

Sub-

cycle

B C A

Page 19: Adam MicroDiskFabcyclicRIE After JSK Comments Final

A step C(smash) etch time of 18 seconds resulted in grass-free and smooth etch fronts,

while maintaining a sufficient selectivity of silicon over photoresist (20:1) for a polymer

deposition step of 131 seconds (step B in Process 1, Table I). Under these conditions,

after the high energy smash step, the approximately 2.4 nm thickness of polymer

remaining on the sidewalls was able to withstand a high-pressure isotropic etch (step A) of

68-seconds duration that produced an etch depth of 100 nm per cycle. In comparison, a

210-sec and 275-nm deep isotropic etch required a 4-minute deposition step for sidewall

wall protection, during which approximately 4.4 nm polymer accumulated, and at least 32

seconds of high-energy etch were then needed to remove the protective polymer from the

bottom etch-front surfaces. These two cyclic process variations (1) and (2) are described in

Table I.

3. Results and Discussion

Before every cycling experiment, the RIE chamber was pre-cleaned in a 200

mTorr oxygen plasma at 500 W RF-power for at least 1 hr during which all surfaces

exposed to ion flux were cleaned of residual polymers. A three-inch, p-type silicon wafer

(5-10 Ohm-cm) was attached to the aluminum platen (powered bottom electrode) with

conductive carbon paint from the backside, and samples of different sizes to be etched

were placed immediately on top of it. The three process steps (A, B, and C) were cycled

with intermediate evacuation and stabilization steps until the target etching depth was

reached. After every process sub-cycle step, the residual reactants were removed from the

chamber by evacuating the reactor for 10 seconds using the turbo-pump without further

care of final pressure. At the beginning of each processing sub-cycle step, 5 to 15 seconds

were required to reach the desired gas flows and pressures, after which they were allowed

to equilibrate for an additional 3 seconds. During cycling experiments, it was found that

the system was not able to reliably ignite a plasma at low pressures below 5 mTorr.

19

Page 20: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Therefore, prior to etching at low pressure, a short ignition step consisting of 30 mTorr at

10 W was added along with a subsequent transient step during which the RF-power and

the pressure were ramped to the step C process values, for instance 100 W and 5 mTorr,

respectively. As a result, the plasma reliably ignited at 30 mTorr and remained active

during the subsequent steps.

It was found that the initial few cycles of the multi step RIE process produced

relatively higher etch rates and offered less sidewall protection than subsequent cycles.

Jagged features emerged near the top of our structures that were caused by the failure of

the protective polymer on the sidewall. Therefore, a preliminary procedure of four cycles

of polymer deposition (B) and smash removal (C) were processed without any isotropic

etching to establish thicker steady state polymer conditions for subsequent full-step cycles.

In addition, the first isotropic etching step (A) was performed using half the duration of the

remaining etching cycles, which produced a less rounded top edge.

After one hundred cycles of Process 1 in Table I (131 seconds deposition, 18

seconds removal, 68 secconds etching), the etch depth had progressed to 10 µm in the

center and 20 µm at the rim of a 3-inch diameter wafer. While the duration of the

isotropic etch (A) was fixed to achieve a certain ripple period (see Fig. 2), the duration of

the isotropic deposition (B) and anisotropic polymer removal (C) steps were fine-tuned to

realize sufficient sidewall protection, with smooth bottom etch fronts, and minimal mask

erosion. When the duration of deposition STEP B was too short, the temporary sidewall

protection failed during the isotropic etch step resulting in smooth, but undercut

structures. When the deposition duration was increased, jagged undercut occurred partially

protecting some parts and leaving gaps between polymer and sidewall. With further

increase in polymer deposition time, these gaps closed and fully protected the sidewall,

which then appeared to be microscopically smooth (≈ 100nm), as shown in Figure 8.

Increasing the deposition time beyond this point only resulted in a thicker sidewall

20

Page 21: Adam MicroDiskFabcyclicRIE After JSK Comments Final

polymer layers and longer periods were required for anisotropic polymer removal. When

the duration of STEP C (polymer removal) was too long, the photoresist mask eroded

faster, while a downward slope of the horizontal etch front in the direction away from the

bottom of the vertical sidewall was formed due to area-dependent etching effects, as

shown in 8a.

21

Page 22: Adam MicroDiskFabcyclicRIE After JSK Comments Final

Figure 8: Optical microscope digital images of cleaved edges taken at a magnification of

1250x showing cross sections after 60 cycles of MS-RIE with varying step times and

powers to demonstrate the process adjustments (see text). The mask was 2 µm of

photoresist. (a): Removal time (STEP C) was too long and a downward slope in the

horizontal direction away from the walls was visible at the bottom surface of the etch

front. (b): Removal time (STEP C) is slightly too short for complete polymer removal from

the etch front, and an upward slope is produced. While the process still works somewhat,

small amounts of deposit become visible far away from any structure walls. (c): The

polymer is not efficiently removed due to a shorter removal duration, and only trench

etching occurs near the sidewalls, but protective polymer remained on the etch-front

away from the sidewall.

22

(a)

(b)

Deposition: 1 minute 10 seconds 120W

Removal: 40 seconds 100W

Etch: 3 minutes 40 seconds 50W

Deposition: 5 minutes 30 seconds 40W

Removal: 52 seconds 100W

Etch: 1 minute and 15 seconds 50W

(c)

Deposition: 5 minutes 30 seconds 40W

Removal: 50 seconds 100W

Etch: 1 minute 15 seconds 50W

Down

Up

5 µm

Page 23: Adam MicroDiskFabcyclicRIE After JSK Comments Final

If sufficient masking material were available, a long anisotropic polymer removal step (C)

would be preferred to ensure a complete removal of all polymer residue from the bottom

surface of the etch front. In contrast, when STEP C was too short, the polymer removal

was incomplete (see Figure 8b), with reduced etching of the front far from the sidewall. In

the case when STEP C was long enough to puncture the bottom polymer coverage but too

short for its complete removal, “grass” became readily visible after a few cycles. In

addition, an upward slope of the etch front in the direction away from all bottom corners

formed, and typically only a few µm around the structures became “trench-etched”, as

shown in Figure 8c. In extreme cases of short removal (C) times, the mask and silicon

substrate become enveloped in a thick layer of polymer after extensive cycling,

suppressing further etching. The duration of STEP C was carefully increased to a value

where no grass was formed while maintaining an etch selectivity of silicon over

photoresist of  20:1.

The optimized parameters for two different cycle etching processes with

different etch rates are given in Table I. With this cyclic multistep etching technique,

combined with selective wet etching, we have fabricated the free-standing silicon

microdisk shown in Figure. 9. Note the smoothness of the vertical walls along the

periphery of the disk with no scallops, demonstrating the utility of this technique. The

50µm diameter microdisk has periodically spaced elements around the periphery (Fig. 9).

4. Conclusion

We have described a novel multistep etching process, which operates on

conventional RIE tools. The dry etching was developed using a combination of anisotropic

and isotropic methods that were optimized to achieve very smooth and nearly straight

sidewalls. This technique was developed for use with conventional RIE systems and does

not require separate magnets for separate plasma confinement, nor specially licensed

commercial techniques. Etched depths over 100 µm were obtained with this technique.

23

Page 24: Adam MicroDiskFabcyclicRIE After JSK Comments Final

(a)

(b)

(c)

(a)

(b)

(c)

Figure 9: Left: Process flow of structure fabrication. (a): After photolithography and MS-

RIE to a depth of 10 m. (b): 40 m porous silicon was electrochemically formed where

highly doped silicon is exposed to the electrolyte. (c): Porous silicon was selectively

oxidized by anodic current in oxidizing liquid and subsequently removed in an HF:C2H5OH

solution. Right: SEM photograph of finished microdisk (50 µm diameter) with periodic

perforations (5 µm wide) around circumference. [15]

ACKNOWLEDGEMENTS

We gratefully acknowledge the support from the Sarnoff Corporation [19]

for the CVD grown layers. This research was supported by DARPA-funded Air Force

Contract No. F19628-00-C-0005 under the Terahertz program, by Air Force Office of

Scientific Research Contract No. F49620-01-1-0042, and by the National Science

Foundation under Grant No. 9815775. We would like to express special thanks to S.

Saddow, J. Suehle, and N Sustersic for useful advice.

24

Page 25: Adam MicroDiskFabcyclicRIE After JSK Comments Final

REFERENCES

[1] F. Laermer, A. Schilp, “Method for anisotropic plasma etching of substrates”, US.

Patent Number 5,498,312, 12 March, 1996

[2] S. K. Ghandi, “VLSI Fabrication Principles”, J. Wiley, New York, 1994

[3] Q. B. Vu, D. A. Stricker, P. M. Zavracky, “Surface Characteristics of (100) Silicon

Anisotropically Etched in Aqueous KOH“, Journal of the Electrochemical Society,

Volume 143, Issue 3, April 1996, pp. 1372

[4] J. G. Smits, “Methods for anisotropic etching of (100) silicon”, US. Patent Issue

5,441,600, 15 August, 1995

[5] A. K. Chu, K. M. Lee, I. J. Lan, “Silicon V grooves fabricated using Ta2O5 etch mask

prepared by room-temperature magnetron sputtering”, Journal of Vacuum Science

and Technology B, Volume 19, Issue 4, July 2001, pp. 1169

[6] M. Paranjape, A. Pandy, S. Brida, L. Landsberger, M. Kahrizi, M. Zen, “Dual-doped

TMAH silicon etchant for microelectromechanical structures and systems

applications”, Journal of Vacuum Science and Technology A, Volume 18, Issue 2,

March 2000, pp. 738

[7] I. L. Berry, A. L. Caviglia, “High resolution patterning of silicon be selective gallium

doping”, Journal of Vacuum Science and Technology B, Volume 1, Issue 4, October

1983, pp. 1059

[8] M. A. Blauw, T. Zijlstra, E. van der Drift, “Balancing the etching and passivation in

time-multiplexed deep dry etching of silicon”, JVSTB 19(6), November 2001, pp.

2930

[9] M. J. Buie, J. T. P. Pender, M. Dahimene, “Characterization of the etch rate non-

uniformity in a magnetically enhanced reactive ion etcher”, Journal of Vacuum

Science and Technology A, Volume 16, Issue 3, May 1998, pp. 1464

[10] M. W. Geis, G. A. Lincoln, N. Efremow, W. J. Piacentini, “A novel anisotropic dry

etching technique”, Journal of Vacuum Science and Technology, Volume 19, Issue 4,

November 1981, pp. 1390

25

Page 26: Adam MicroDiskFabcyclicRIE After JSK Comments Final

[11] T. I. Cox, V. G. I. Deshmukh, “Use of optical emission spectroscopy to study

hexafluoroethane reactive ion beam etching of silicon in the presence of oxygen”,

Applied Physics Letters, Volume 47, Issue 4, 15 August, 1985, pp. 378

[12] Robert Bosch GmbH, US. Patent Numbers 5,498,312 and 5,501,893, and 4241045C1

(Germany), 1994

[13] A. A. Ayón, R. Braff, C. C. Lin, H. H. Sawin, M. A. Schmidt, “Characterization of a

Time Multiplexed Inductively Coupled Plasma Etcher”, Journal of the Electrochemical

Society, Volume 146, Issue 1, January, 1999, pp. 339

[14] Unaxis USA Inc., Division Semiconductors, 10050 16th Street North, St. Petersburg,

Florida 33716, USA

[15] AZ5214E photoresist vendor: Clariant Corporation, 70 Meister Avenue, Somerville,

New Jersey 08876, USA

[16] Adam, T.N., et al. The Design and Fabrication of Microdisk Resonators for

Terahertz Frequency Operation. in IEEE Lester Eastman Conference on High

Performance Devices. 2002. University of Delaware, Newark, DE 19716

[17] M. J. M. Vugts, M. F. A. Eurlings, L. J. F. Hermans, H. C. W. Beijerinck, “Si/XeF2

etching: Reaction layer dynamics and surface roughening”, Journal of Vacuum

Science and Technology A, Volume 14, Issue 5, September 1996, pp. 2780

[18] NR5 photoresist vendor: Futurrex Inc, 12 Cork Hill Road, Franklin, New Jersey

07416, USA

[19] Sarnoff Corporation, 201 Washington Road, Princeton, New Jersey 08543-5300,

USA

26