ald/cvd applications, equipment and precursors in high ... · cvd pecvd ald sod pvd total...

24
ALD/CVD applications, equipment and precursors in high volume manufacturing Jonas Sundqvist, Ph.D, Fraunhofer IKTS / TECHCET CA LLC 0 500 1000 1500 0% 20% 40% 60% 80% 100% 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 High-k Material IP (Applications) Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total

Upload: voquynh

Post on 04-Apr-2019

295 views

Category:

Documents


6 download

TRANSCRIPT

Page 1: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

ALD/CVD applications, equipment and precursors in high volume manufacturing

Jonas Sundqvist, Ph.D, Fraunhofer IKTS / TECHCET CA LLC

0

500

1000

1500

0%

20%

40%

60%

80%

100%1

99

3

19

94

19

95

19

96

19

97

19

98

19

99

20

00

20

01

20

02

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

High-k Material IP (Applications)

Al2O3 TiO2 HfO2 ZrO2

REO STO, BST etc. Ta2O5 Total

Page 2: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Introduction of ALD in 300mm HVM

200mm

Page 3: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

CMC Seminar / ICMtia Joint Conf 10/2018

The driver behind ALD & CVD 300mm Equipment fab invest

Page 4: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

ALD Equipment Market <25% of the total CVD Wafer Equipment market

• Tokyo Electron has passed ASM in revenue 2017

• 90% of the market is 300mm wafer processing equipment

• TEL and Hitachi Kokusai dominates Large Batch ALD

• 300 mm Spatial ALD and Multi wafer tools continues taking market shares vs. Single wafer & Large Batch

4

25%

24%

16%

11%

7%

5%

3%

9%

ALD Equipment Market Estimate 2017US$ 1.8 to 1.9 B

(Semi, PV, Display, MEMS, R&D)

Tokyo Electron

ASM International

Hitachi Kokusai Electric

Lam Research

Wonik IPS

Jusung Engineering

Applied Materials

Other

Page 5: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Trend in IP Filing for Spatial ALD

0%

5%

10%

15%

20%

25%

30%

35%

IP Applications for Spatial ALD

Source: Fraunhofer IKTS

Page 6: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Trend in IP Filing for Spatial ALD

0%

5%

10%

15%

20%

25%

30%

35%

IP Applications for Spatial ALD

TEL NT333 for thermal and plasmaALD of SiO2, SiN, High-k

Source: Fraunhofer IKTS

Page 7: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Wafer forecast – growth drivers for ALD & CVD

For more information on TECHCET’s Wafer reports please go to www.techcet.com

CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo

• Advanced logic benefits as 10 nmfollowed by 7 nm moves fromqualification into production

• Multiple patterning (SADP, SAQP)for logic and memory

• Completion of the NAND to 3D-NAND transition as 3D willaccount for 2/3 of the capacity in2018 (VLSI Research, April 2018)

• Continued high demand for DRAM

• China investments in new Fabsand expansions continue for logicand memory

• Niche memory technologies likespin-transfer torque MRAM (STT-MRAM), Resistive RAM (RRAM),Ferroelectric FET (FeFET) andRAM (FRAM), and Cross-Pointmemory will grow over the coming5 years

Page 8: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Dielectric & High-k Materials IP Trends

8

0

200

400

600

800

1000

1200

1400

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

19

93

19

94

19

95

19

96

19

97

19

98

19

99

20

00

20

01

20

02

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

High-k Material IP (Applications)

Al2O3 TiO2 HfO2 ZrO2 REO STO, BST etc. Ta2O5 Total

0

500

1000

1500

2000

2500

3000

3500

4000

4500

5000

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

19

93

19

94

19

95

19

96

19

97

19

98

19

99

20

00

20

01

20

02

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

Dielectric & High-k Process IP (Applications)

CVD PECVD ALD SOD PVD Total Dielectric Deposition

Al2O3

TiO2

HfO2

ZrO2REOSTO, BST, PZT

Ta2O5

ALD

PECVDCVD

SODPVD

Ta2O5, SrTiOx, BaSrTiOx and PZT 15 to 20 years ago.Today most IP concerning the metaloxides of Al, Hf, Ti, Zr and Rare Earth elements (SiO2 and SiN excluded)

ALD and PECVD are domianting filed IP in deposition of dielectrics and high-k dielectrics (includeing SiO2 and SiN)

Source: Fraunhofer IKTS

Page 9: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Leading Semiconductor Materials Suppliers ($M’s)

Source: TECHCET Critical Materials Reports™

Excluding Silicon Wafers

0

200

400

600

800

1000

1200

1400

1600

1800

Up

Ch

em

Hay

war

d

Toku

yam

a

Ente

gris

KFM

I

Ferr

ote

c

AZ

/Mer

ck

Wo

nik

QP

Asa

hi G

lass

Wo

nik

Kyo

cera

Do

ngW

oo

Ava

nto

r

Mac

Der

mid

Kan

to

Ad

eka

Fujim

i

KM

G

Ho

ney

wel

l

NG

K

Her

aeu

s /

Shin

-Ets

u

Her

aeu

s /

Shin

-Ets

u

Toso

h

BA

SF

JX N

ipp

on

Co

ors

Tek

Air

Pro

du

cts

Hit

ach

i

TNSC

-Mat

hes

on

Shin

-Ets

u-P

R

Toky

o O

hka

Ko

gyo

Sum

ito

mo

Cab

ot

Ver

sum

Do

w C

hem

Fujif

ilm JSR

Air

Liq

uid

e

Lin

de

/ P

raxa

ir

Leading Materials Suppliers 2017 Revenue / Ranking

Ceramics Quartz Gases Precursors/SOD Photoresists/Anc. CMP Targets Wet Chem/Cleans Metal Chemicals

CMC Seminar / ICMtia Joint Conf 10/2018, Ningbo

Bulk/Rare Gases

7%

Spec Gas16%

Liquide Precursors

5%

Sputter Targets

3%

Metal Chemicals

(FE)2%

Wet Chemicals

8%CMP Pads & Slurry13%

Photoresists / Ancillaries

27%

Eqt Parts: CMP 4%

Eqt Parts: Si3%

Eqt Parts: Quartz

5%

Eqt Parts: SiC / Ceramics

7%

Page 10: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

ALD/CVD Metal & High-k Precursors

10

TECHCET Critical Materials Report(TM)

• The combined markets for ALD and CVD metal precursors are estimated to be approximately US$460M 2018 and above US$620M by 2023.

• Average long term CAGR of 8% over 2013 to 2023

TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com

Page 11: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

ALD/CVD/SOD Dielectric Precursors

• Dielectric precursors show growth in all segments for the next 5 years reaching US$660 in 2023 M

• As for metal precursors, the market has over an extended period of time had a double digit growth and we expect the market to continue to develop as the transition to 10 nm and 7 nm node logic and 3D-NAND take place with an average long term CAGR of 9% over 2015 to 2023

11

TECHCET Critical Materials Report(TM)

TECHCET CA LLC Copyright 2018 all rights reserved www.techcet.com

Page 12: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

FinFET logic at the 10/7 nm node

Intel 10 nm

TSMC 7 nm

Samsung 7 nm

Globalfoundries7 nm

Process Name P1276 (CPU), P1277 (SoC)

7FF, 7FF+, 7HPC 7LPE 7LP

1st Production 2018 1Q/2018 2019 Cancelled

Lithography 193 nm Immersion 193 nm Immersion /EUV

EUV 193 nm Immersion

Exposure SAQP SAQP/SE SE SAQP

Contacted Gate Pitch (CPP)

54 54 54 56

Minimum MetalPitch (MMP)

36 40 36 40

Source : WikiChip

Page 13: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Multiple patterningCritical Materials Conference, April 26-27, Phoenix AZDavid Bloss, Vice President, Technology Manufacturing Group and Director of Lithography Technology Sourcing, Intel Corporation

Page 14: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Multiple patterningCritical Materials Conference, April 26-27, Phoenix AZDavid Bloss, Vice President, Technology Manufacturing Group and Director of Lithography Technology Sourcing, Intel Corporation

Page 15: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Lithography beyond 7nm for <24nm pitch

• No single lithography technology can create <24nm pitch patterns in a single pass except for direct-write e-beam which is too slow and expensive for HVM.

• Multi-patterning process flows can be scompared by Cost Per Wafer Pass (CPWP), a term defined as the cost-of-ownership (CoO)

Modeling for the evaluation of alternate 1D and 2D patterning paths

Source: Ed Korczynski, TECHCETCMC Conference 2018, April 27 2018, Phoenix

ALD

Page 16: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Alternatives – Directed self assembly (DSA)IBM Research at Albany NanoTech, TEL Technology Center, America in Albany, GlobalFoundries, and IBM Research TJ Watson in Yorktown Heights and IBM Research Almaden, San Jose.

Nature Electronics volume 1, pages562–569 (2018)

ALD

ALD ALD

Page 17: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

I AIR LIQUIDE, THE WORLD LEADER IN GASES, TECHNOLOGIES AND SERVICES FOR INDUSTRY AND HEALTH

∙Critical Material Conference, Phoenix April 26th, 2018 •

THIS DOCUMENT IS ••PUBLIC

Cobalt applications and requirements

BEOL Cu metallization: 22nm →

Co capping layer✓ Prevents Cu EM

Co liner✓ Improve wettability✓ Prevents voids

Source: Applied Materials Inc.http://www.appliedmaterials.com/products/endura-volta-cvd-cobalt

Challenges • Conformality, void-free deposition• Contact resistance

MOL contact fill: <10nm →

Cu

Low-k

Co liner

Co cap

Barrier

Seed

Source: Applied Materials Inc.

Challenges for <10nm integration• Conformality (low stability precursors)• Adhesion becomes more challenging• Selectivity

7

Page 18: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Cobalt IP Filing Trends for Chemical Compounds

Increased filing activity for Cobalt chemical compounds starting 2012. Applications focusing on Li-batteries & Electronic applications (Plating chemicals, Precursors)

2012

Prices for Cobalt cathodes have increased by 60% in 2017 but appear to be stabilized but volatile.

Page 19: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Cobalt IP Filing Trends for Chemical Compounds

Increased filing activity for Cobalt chemical compounds starting 2012. Applications focusing on Li-batteries & Electronic applications (Plating chemicals, Precursors)

2012

Prices for Cobalt cathodes have increased by 60% in 2017 but appear to be stabilized but volatile.

Higher demand from Cobalt use in Electric Vechicles

Page 20: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

Competeing Industries

Competing industries for ALD precursors and the most important industries in high volume manufacturing today are:

• Non-Semi Wafer based (e.g. MEMS and LED)

• QD-OLED and AMOLED Flexible display

• Photovoltaic

• R&D Equipment

20

From an ALD equipment perspective these markets account for 10 to 15% of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B). NCD Cluster system for Lucida™ GD series

OLED Encapsluation6G half (1500mmX925mm)

Page 21: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

PV – Back side passivation for PERC cells driving TMA use in PECVD & ALD

Equipment & Process Market Share Estimate (%)

OEM Process

TMA

consumption

[mg / wafer]

2017 2018 2020 2022 2025 2028

Fullshare PECVD 9

90 92 86 87 87 83Centrotherm PECVD 5

Meyer Burger PECVD 2.9

Ideal Energy ALD 4

6 6 11 12 13 16

SoLayTec ALD 3

NCD ALD 2

Levitech Spatial ALD 1.6

Leadmicro Spatial ALD 1

Other PECVD SiNx n/a 4 2 2 1 0 0

21

TMA consumption and Al2O3 thickness for APCVD, PECVD and ALD Tools (Adapted from TaiyangNews 2018).

The low TMA consumption is a reason why ALD tools are slowly taking market share from PECVD, especially in China

Page 22: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

MEMS

In MEMS applications that consist of numerous 3D structures, narrow cavities and the need of conformal coatings even in large buried structures ALD is optimal for deposition in of layers with very specific properties or combination thereof:

[email protected] 10/1/2017

22

Large volume of MEMS for Smartphone market (Gyro, Sensors, Speakers, Microphones)Leading MEMS and companies have implemented ALD i HVM

o Diffusion barrierso Adhesion layerso Charge dissipative layerso Layers lowering frictional wearo Optical layerso Coatings for hermetical sealing

o Hydrophobic layers to decrease stictiono Conformal, thermally conductive layerso Conductive seed layers for plating purposeso Etch masks and etch stop layerso Conformal, electrically insulating layers

Page 23: ALD/CVD applications, equipment and precursors in high ... · CVD PECVD ALD SOD PVD Total Dielectric Deposition Al2O3 TiO2 HfO2 REO ZrO2 STO, BST, PZT Ta2O5 ALD PECVD CVD SOD PVD

New 200 mm ALD Wafer Cluster Tools

PicosunSingel WaferMini Batch

VeecoMini Batch

BeneqMini Batch

Oxford InstrumentsPlasmaPro Cluster PECVD, ALD & ALE