introduction to structured vlsi designlab preparation read the lab manual carefully to understand...

Post on 17-Mar-2020

10 Views

Category:

Documents

0 Downloads

Preview:

Click to see full reader

TRANSCRIPT

1ni.com

Introduction to Structured VLSI DesignEITF35

LAB 3

Simple ALU

Arturo Prietoarturo.prieto@eit.lth.se

Introduction

❑ Arithmetic Logic Unit (ALU) is the fundamentalbuilding block of the CPU in a computer.

▪ “Heart” of a processor

▪ Each processor needs at least one ALU

❑ ALU is a digital circuit that performs:

▪ Arithmetic operations (Add, Sub, . . .)

▪ Logical operations (AND, OR, NOT)

2 Lund University / EITF35/ 2019

Objective of Lab3

❑ Design a simple ALU to perform the followingfunctions for its inputs (i.e. A, B):

▪ Addition: (A + B)

▪ Subtraction: (A - B)

▪ Modulo 3: (A mod 3)

3 Lund University / EITF35/ 2019

❑ It should support:

▪ Sign/unsigned operations

▪ Overflow detection

Inputs/Outputs of Design

➢Inputs:

▪ 8 bits for input operands

DIP Switches (SW7..SW0)

▪ 3 control signals

Push buttons (BTNL, BTNC, CPU Reset)

▪ 100 MHz Clock

4 Lund University / EITF35/ 2019

➢Outputs:

▪ 7-segment display

Assignment

5 Lund University / EITF35/ 2019

BTNC

Assignment

6 Lund University / EITF35/ 2019

BTNC

Assignment

7 Lund University / EITF35/ 2019

A + BBTNC

Assignment

8 Lund University / EITF35/ 2019

A + B

A - BBTNC

Assignment

9 Lund University / EITF35/ 2019

A + B

A - B

mod(A,3)BTNC

Assignment

10 Lund University / EITF35/ 2019

A + B

A - B

mod(A,3)

BTNC

Assignment

11 Lund University / EITF35/ 2019

❑Signed representation for

Negative results

❑Overflow F

Top Module

12 Lund University / EITF35/ 2019

ALU_top.vhd

➢ Generate a proper .xdc file

based on the I/Os.

➢ Instantiate the Debouncer

block in the top design.

ALU Architecture

13 Lund University / EITF35/ 2019

ALU_ctrl.vhd

14 Lund University / EITF35/ 2019

FN [3 : 0] Operation

0000 Input A

0001 Input B

0010 Unsigned (A + B)

0011 Unsigned (A - B)

0100 Unsigned (A) mod 3

1010 Signed (A + B)

1011 Signed (A - B)

1100 Signed (A) mod 3

ALU Controller

ALU Architecture

15 Lund University / EITF35/ 2019

binary2BCD.vhd

To do Arithmetic Operations

ALU.vhd

Separate Seq. & Comb. Logic

regUpdate.vhd

Similar Blocks in Lab 2

7SegDriver.vhd

Lab Preparation

❑ Read the lab manual carefully to understand all the details.

❑ Read the checklist file and prepare the requirements of Lab 3.

❑ Read the Modulo3.pdf paper to design the modulo 3 operation.

❑ Design a hardware-friendly architecture for Binary to BCD

conversion.

16 Lund University / EITF35/ 2019

Some Notes

➢Think about each block and its functionality before coding!

➢Start early!

➢Do the simulation as much as possible for your design and

sub blocks.

17 Lund University / EITF35/ 2019

top related