anamorphic high na optics enabling euv lithography with...

35
Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution October 7th, 2015 · Maastricht, Netherlands Tilmann Heil, Bernhard Kneer, Sascha Migura, Johannes Ruoff, Matthias Rösch, Jens Timo Neumann, Winfried Kaiser (ZEISS); Jan van Schoot (ASML). 2015 International Symposium of Extreme Ultra Violet Lithography

Upload: others

Post on 01-Jun-2020

35 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

October 7th, 2015 · Maastricht, Netherlands

Tilmann Heil, Bernhard Kneer, Sascha Migura, Johannes Ruoff, Matthias Rösch, Jens Timo Neumann, Winfried Kaiser (ZEISS); Jan van Schoot (ASML). 2015 International Symposium of Extreme Ultra Violet Lithography

Page 2: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

2 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

This talk is about resolution

NA Resolution = k1

λ ·

NA 0.33 … 0.4 … 0.5 … 0.6

Resolution @ k1=0.3 single exposure / nm 12.3 … 10.1 … 8.1 … 6.8

How does a High-NA EUV Optics look like? How does it image? Can we further optimize system performance?

EUV 13.5nm

High-NA

NA > 0.5 enables

(sub) 8nm

Page 3: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

3 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Structure of presentation

1

2

3

Anamorphic Imaging

Optical Solutions for High NA EUV Lithography

Lens Magnification Options

Page 4: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

4 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

The EUV Optical System: The finer the resolution, the larger the angles!

Page 5: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

5 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Higher NA increases the light cone above the wafer, and…

M5

M6 M6

M5

Medium NA High-NA

NA

NA

Page 6: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

6 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

…increases the angles on M5, and…

M5

M6 M6

M5

Medium NA High-NA

Page 7: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

7 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

…increases the angular spread on M5.

M5

M6 M6

M5

Medium NA High-NA

Page 8: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

8 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Multi-layer coatings set limits for angles & angular spread on EUV mirrors.

Standard EUV coatings are not able to reflect the combination of large angles and large angular spreads on M5 needed for high-NA.

Angles must be reduced for high-NA EUV optics.

Page 9: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

9 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

There is a solution: Obscuration – We drill a hole into the mirror.

M5

M6 M6

M5

The obscuration massively reduces angles & angular spread on the mirrors…

…which significantly increases the transmission of the optical

system vs. 3300!

Page 10: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

10 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

The EUV Optical System

Page 11: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

11 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Fields and light cones at reticle and wafer are connected via MAG.

@ wafer @ reticle

y

z

NA

2 x CRAO (Chief Ray Angle @ Object)

0.0825 = 0.33

4x Example NXE:3300

Projection with MAG 4x

CRAO 6° NA 0.33

104 mm

132

mm

x

y

26 mm

33 m

m

slit

NA @ reticle = NA

MAG

Page 12: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

12 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Increasing NA, light cones @ reticle start to overlap.

@ reticle

104 mm

132

mm

26 mm

33 m

m

y

z @ wafer

Projection with MAG 4x

CRAO 6° NA 0.5

x

y

Page 13: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

13 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

High-NA EUV – Conventional approaches fail

MAG 4x, CRAO 9°

FF

@ wafer @ reticle

MAG 8x, CRAO 6°

QF

Shadowing Bad imaging

MAG 8x, CRAO 6°

FF

small field Bad Tput

No large mask

Page 14: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

14 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Maybe looking at movies helps…

Cinema Widescreen Reality

Record with a conventional

lens

Project with a conventional

lens

Same aspect ratio, same angles. BUT: Bad usage of space, Lower resolution

Film

Image by Bernd Geh

Page 15: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

15 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

…where anamorphic cinematographic lenses are used…

Cinema Widescreen Reality

Project with an Anamorphic

lens

Anamorphic MAG vertically „stretches“ image for good usage of space, lower angles , better resolution

Film

Record with an Anamorphic

lens*

*e.g. a ZEISS Master Anamorphic Lens

Page 16: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

16 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

…to reduce angles at the mask and increase resolution in lithography.

Cinema Widescreen Reality

Wafer Image Electronics Design Mask

„Anamorphic“ Mask writing

Anamorphic Projection

Same image on wafer, but much lower angles in stretching direction!

Film

Page 17: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

17 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

The solution: Reducing the angles by increasing MAG only in the direction that matters...

104 mm

132

mm

y

z

x

y

@ wafer @ reticle

Page 18: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

18 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

MAG 4x in x MAG 8x in y

104 mm

132

mm

y

z

26 mm

16.5

mm

QF CRAO 6° NA >0.5

x

y

Anamorphic Projection, e.g. with

…enables a High-NA EUVL optical system with a 26mm slit and Half-Field.

@ wafer @ reticle

Page 19: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

19 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

The Anamorphic High-NA EUV Projection Optics with obscuration…

Design examples

Wafer level

Reticle level

NA 0.25 NA 0.33 NA > 0.5

Page 20: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

20 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

…is a big optical system using large mirrors with extreme aspheres and accuracy requirements.

Extreme aspheres enabling further improved wavefront /imaging performance

Big last mirror driven by High NA

Large overall size of optical system

Tight surface specifications enabling low straylight / high contrast imaging

Challenge to optics technology and manufacturing, but no fundamental limits

Obscuration enables significantly higher optics transmission vs. 3300 Optimized Productivity

Page 21: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

21 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Structure of presentation

1

2

3

Anamorphic Imaging

Anamorphic High-NA EUV Optics enables sub 8nm resolution EUVL with 26mm slit @wafer and 6’’ mask

Lens Magnification Options

Page 22: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

22 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Compare given NA=0.33 Isomorphic imaging vs. Anamorphic High-NA with obscuration

Isomorphic, Unobscured, NA=0.33

Anamorphic, Obscured, NA > 0.5

Page 23: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

23 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Obscuration may lead to an application dependent contrast loss…

unobscured pupil

obscured pupil

this part lacks its corresponding 1st

order

red colored parts of 0th order can

interfere with corresponding

1st order

0th diffraction order

±1st diffraction orders

obscuration blocks parts

of 1st diffraction

orders

contrast loss

Page 24: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

24 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

...which can be tolerated if kept below ~20% radius.

Generic Lines & Spaces through pitch simulation

unobscured pupil

obscured pupil

Page 25: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

25 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Focus [nm] -50 0 -50 -25 25

NA=0.55, 12 nm spaces 4x/8x Anamorphic, 20% obscuration

H V

1.0

1.8

I 0 [a.

u.]

1.4

1.6

1.2 H-V, through pitch,

small annular

Excellent Imaging Scaling with NA – Obscuration and Anamorphicity have no visible impact on process window

Focus [nm] -75 -150 0 -150 75 1.0

1.8

I 0 [a.

u.]

NA=0.33, 20 nm spaces

1.4

1.6

1.2

H V

Focus axis scales according to familiar 1/NA² behaviour. Good Imaging scaling with NA No obscuration effects, no orientation dependence (H-V) due to Anamorphicity

H-V, through pitch, Small annular

Page 26: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

26 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Structure of presentation

1

2

3

The considered High-NA concept shows excellent imaging in line with the expected NA scaling

Anamorphic High-NA Optics enables sub 8nm resolution EUVL with 26mm slit @wafer and 6’’ mask

Lens Magnification Options

Page 27: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

27 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

The Optics can be designed in various ways to fit the (26 x 16.5)mm wafer field on the (132 x 132)mm reticle

(132 x 132) mm reticle field

Quality area with 14mm process radius

(104 x 132) mm reticle field

(26x16.5) mm wafer field

(132 x 104) mm reticle field

(26x16.5) mm wafer field

(123.8 x 123.8) mm reticle field

(26x16.5) mm wafer field

Mag: 5.1x/6.3x

Mag: 4.8x/7.5x

Mag: 4x/8x

NXE:33xy reticle field

Page 28: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

28 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

(132 x 132) mm reticle field

Quality area with 14mm process radius

(104 x 132) mm reticle field

(26x16.5) mm wafer field

(132 x 104) mm reticle field

(26x16.5) mm wafer field

(123.8 x 123.8) mm reticle field

(26x16.5) mm wafer field

Mag: 5.1x/6.3x

Mag: 4.8x/7.5x

Mag: 4x/8x

NXE:33xy reticle field

Shadowing

The Optics can be designed in various ways to fit the (26 x 16.5)mm wafer field on the (132 x 132)mm reticle

Page 29: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

29 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

First evaluation of Anamorphicity Options via MEF*

MEF* measures directly the impact of mask errors on wafer errors.

No normalization with MAG. Hence, MEF* is different for H- and V- structures in Anamorphic Lithography

Page 30: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

30 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

12nm spaces through pitch, small annular setting

A MAG of 4.8x/7.5x improves the critical Mask Error Sensitivity MEF* by ~20% for vertical structures…

Highest MEF* is driving mask requirements

~20% improvement

MEF

* [n

m]

MEF

* [n

m]

MEF* of Horizontal structures remains uncritical

Page 31: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

31 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

12nm spaces, Horizontal & Vertical, through pitch, small annular setting

…while imaging and process windows using 4x/8x and 4.8x/7.5x optical systems are very similar.

Both MAG options are comparable in terms of optical design complexity, optics technology and manufacturing.

12nm spaces H-V, through pitch, small annular

12nm spaces H-V, through pitch, small annular

Page 32: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

32 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Structure of presentation

1

2

3

The considered High-NA concept shows excellent imaging in line with the expected NA scaling

Anamorphic High-NA Optics enables sub 8nm resolution EUVL with 26mm slit @wafer and 6’’ mask

Further optimization of MAG Ratio is being studied – 4.8x/7.5x seems favorable from mask point of view.

Page 33: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

33 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

In conclusion…

• Anamorphic Lithography with Half Field is making High-NA EUVL economically feasible with NA >0.5 and utilizing the existing 6‘‘ mask infrastructure.

• Simulations based on the considered High-NA concept show excellent imaging performance in line with the expected NA scaling.

• An optimization of the optics MAG ratio is under investigation and may lead to a further improved overall system performance.

Page 34: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

34 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015

Acknowledgements

Bundesministerium für Bildung und Forschung - For funding of the projects 13N8088, 13N8837, 13N9112 and

13N10567 in the framework of the MEDEA+ / CATRENE programs

EUV Teams at ZEISS & ASML and our partners

Page 35: Anamorphic High NA Optics enabling EUV Lithography with ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Anamorphic High NA Optics enabling EUV Lithography with sub 8nm Resolution

35 Carl Zeiss SMT GmbH, Tilmann Heil et al. 2015 EUVL Symposium Maastricht October 7th, 2015