applied physics reviews—focused review spectral tailoring … · applied physics...

14
APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics Qiushi Huang, 1,2 Viacheslav Medvedev, 3,4 Robbert van de Kruijs, 1 Andrey Yakshin, 1 Eric Louis, 1,a) and Fred Bijkerk 1 1 Industrial Focus Group XUV Optics, MESAþInstitute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede, The Netherlands 2 Key Laboratory of Advanced Micro-Structured Materials MOE, Institute of Precision Optical Engineering, School of Physics Science and Engineering, Tongji University, Shanghai 200092, China 3 Institute for Spectroscopy, Russian Academy of Science, Fizicheskaya Str. 5, Troitsk, Russia 4 ISTEQ, High Tech Campus 84, 5656 AG Eindhoven, The Netherlands (Received 23 November 2016; accepted 14 February 2017; published online 21 March 2017) Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant devel- opment over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer mono- chromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new free- dom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advan- tages and disadvantages, respectively. Multilayer diffraction optics are also developed for spec- tral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement of the spectral tailoring multilayer optics, the remaining challenges and opportunities for future researches are discussed. V C 2017 Author(s). All article content, except where otherwise noted, is licensed under a Creative Commons Attribution (CC BY) license (http:// creativecommons.org/licenses/by/4.0/).[http://dx.doi.org/10.1063/1.4978290] TABLE OF CONTENTS I. INTRODUCTION ............................ 2 II. PLANAR MULTILAYER OPTICS FOR SPECTRAL TAILORING..................... 2 A. Multi-channel multilayer mirror ........... 2 B. Broadband multilayer mirror .............. 3 1. Broadband multilayer polarizer ......... 4 2. Broadband multilayer for high temporal resolution ........................... 4 C. Narrowband multilayers .................. 5 D. High spectral purity ML mirrors .......... 5 1. UV anti-reflection .................... 5 2. IR antireflection ...................... 6 III. THREE DIMENSIONAL MULTILAYER OPTICS ................................... 7 A. XUV spectrometer/monochromator based on multilayer gratings.................... 7 1. Multilayer coated gratings ............. 7 2. Sliced multilayer grating .............. 8 3. Single order lamellar multilayer grating . 8 B. Three dimensional multilayer diffraction optics for spectral purity enhancement ..... 9 1. Blazed grating based SPF.............. 9 2. Lamellar grating based SPF ............ 9 a) Electronic mail: [email protected] 1931-9401/2017/4(1)/011104/14 V C Author(s) 2017. 4, 011104-1 APPLIED PHYSICS REVIEWS 4, 011104 (2017)

Upload: others

Post on 14-May-2020

8 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

APPLIED PHYSICS REVIEWS—FOCUSED REVIEW

Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

Qiushi Huang,1,2 Viacheslav Medvedev,3,4 Robbert van de Kruijs,1 Andrey Yakshin,1

Eric Louis,1,a) and Fred Bijkerk11Industrial Focus Group XUV Optics, MESAþInstitute for Nanotechnology, University of Twente,P.O. Box 217, 7500 AE Enschede, The Netherlands2Key Laboratory of Advanced Micro-Structured Materials MOE, Institute of Precision Optical Engineering,School of Physics Science and Engineering, Tongji University, Shanghai 200092, China3Institute for Spectroscopy, Russian Academy of Science, Fizicheskaya Str. 5, Troitsk, Russia4ISTEQ, High Tech Campus 84, 5656 AG Eindhoven, The Netherlands

(Received 23 November 2016; accepted 14 February 2017; published online 21 March 2017)

Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant devel-

opment over the past few years, particularly on controlling the spectral characteristics of light

for advanced applications like EUV photolithography, space observation, and accelerator- or

lab-based XUV experiments. Both planar and three dimensional multilayer structures have been

developed to tailor the spectral response in a wide wavelength range. For the planar multilayer

optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers

are demonstrated to achieve multi-channel reflection or suppression of the reflective properties.

Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths,

with the possibility of polarization control. The broad wavelength band multilayer is also used

to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer mono-

chromators are delivered to bridge the resolution gap between crystals and regular multilayers.

High spectral purity multilayers with innovated anti-reflection structures are shown to select

spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources

for EUV lithography. Significant progress is also made in the three dimensional multilayer

optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new free-

dom to tune the spectral response. Several kinds of multilayer gratings, including multilayer

coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued

for high resolution and high efficiency XUV spectrometers/monochromators, with their advan-

tages and disadvantages, respectively. Multilayer diffraction optics are also developed for spec-

tral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full

suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the

present achievement of the spectral tailoring multilayer optics, the remaining challenges and

opportunities for future researches are discussed. VC 2017 Author(s). All article content, exceptwhere otherwise noted, is licensed under a Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/). [http://dx.doi.org/10.1063/1.4978290]

TABLE OF CONTENTS

I. INTRODUCTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

II. PLANAR MULTILAYER OPTICS FOR

SPECTRAL TAILORING. . . . . . . . . . . . . . . . . . . . . 2

A. Multi-channel multilayer mirror . . . . . . . . . . . 2

B. Broadband multilayer mirror . . . . . . . . . . . . . . 3

1. Broadband multilayer polarizer . . . . . . . . . 4

2. Broadband multilayer for high temporal

resolution . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

C. Narrowband multilayers . . . . . . . . . . . . . . . . . . 5

D. High spectral purity ML mirrors . . . . . . . . . . 5

1. UV anti-reflection . . . . . . . . . . . . . . . . . . . . 5

2. IR antireflection . . . . . . . . . . . . . . . . . . . . . . 6

III. THREE DIMENSIONAL MULTILAYER

OPTICS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

A. XUV spectrometer/monochromator based

on multilayer gratings. . . . . . . . . . . . . . . . . . . . 7

1. Multilayer coated gratings . . . . . . . . . . . . . 7

2. Sliced multilayer grating . . . . . . . . . . . . . . 8

3. Single order lamellar multilayer grating . 8

B. Three dimensional multilayer diffraction

optics for spectral purity enhancement . . . . . 9

1. Blazed grating based SPF. . . . . . . . . . . . . . 9

2. Lamellar grating based SPF. . . . . . . . . . . . 9a)Electronic mail: [email protected]

1931-9401/2017/4(1)/011104/14 VC Author(s) 2017.4, 011104-1

APPLIED PHYSICS REVIEWS 4, 011104 (2017)

Page 2: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

3. Multilayer zone plate for OoB recycling . 10

4. Diffraction pyramids . . . . . . . . . . . . . . . . . . 10

IV. PROSPECTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

I. INTRODUCTION

Most progress in modern science relies on observing

and manipulating matter at the molecular or atomic scale.

This requires probing tools with relevant resolution in space,

energy, and time. Light is one of the most powerful tools to

open up the “nanoworld” due to its resolving ability that

scales up with shorter wavelength. Particularly interesting is

the extreme ultraviolet and soft x-ray wavelength range

(XUV), with a wavelength from several tens to few tenths of

nanometers and a photon energy of tens of electronvolts to

several kilo-electronvolts. The short wavelength enables

imaging and manufacturing at the nanometer scale,1,2 while

the high photon energy makes it a unique tool to identify the

composition3 or capture ultrafast processes in matter.4 To

realize this, light needs to be controlled in an exquisite way.

XUV light of different wavelengths has to be selected and

transported with the desired characteristics through the use

of high precision XUV optics.

A multilayer (ML) or multilayer interference coating is

a vital optical element in the XUV region. It consists of a

periodic layer structure with each layer thickness being only

a few nanometers, according to the Bragg condition.

Through constructive interference of the reflection from dif-

ferent interfaces, it enables the reflection of the short wave-

length light at non-grazing angles of incidence. Driven by

demanding applications like EUV photo-lithography, accel-

erator based XUV sources, astronomy telescopes, and soft

x-ray microscopy, tremendous progress of the multilayer

technology has been achieved during the last three decades.

Both high reflectance and flexible spectral response were

realized for different wavelength regions. A detailed discus-

sion on the multilayer physics and deposition techniques can

be found in the review papers.5–9 Here, we mainly focus on

methods to tune and manipulate the spectral properties of

multilayer optics.

Conventional periodic multilayers can only work in a nar-

row wavelength range at a specific incidence angle.9 Its band-

width is inherently limited by the saturated number of

bilayers.10 To support some advanced XUV applications,

spectral properties, including broadband response, high spec-

tral resolution, mitigation of out-of-band (OoB) spectral com-

ponents, etc., are required. These can involve the need for a

particular polarization or phase characteristics. For example,

broad wavelength band reflection is needed to increase the

integral flux or extend the reflection band. A broad angular

reflection is necessary for high numerical aperture (NA) opti-

cal systems. On the other hand, high spectral resolution is cru-

cial to study the elemental or atomic structure of matter using

spectroscopic techniques. Suppressing out-of-band radiation

in the background is essential for spectroscopy and imaging

applications, so that detection sensitivity and resolution are

not degraded. To provide the required spectral response, the

standard, one dimensional periodic multilayer structure can be

modified to many different layered schemes or combined with

three dimensional structures. This paper will discuss the recent

progress of multilayer optics with tailored spectral properties

that can strongly promote the development of many EUV and

soft x-ray applications.

II. PLANAR MULTILAYER OPTICS FOR SPECTRALTAILORING

It is straightforward to overcome the narrow spectral

band limitation of a periodic multilayer by converting it to a

structure with more than a single periodicity. Combined with

the proper choice of materials, different parts of the multi-

layer can respond to different wavelengths. This provides a

solution to various spectral requirements of the applications,

like multi-band or broadband reflectivity, high spectral

purity, and so on.

A. Multi-channel multilayer mirror

The ability to work with multiple wavelength bands

with a single optical component is desirable for many appli-

cations. This feature is widely applied in astronomical obser-

vations, e.g., a multilayer coated crystal was used to collect

both the soft x-ray and hard x-ray emission lines simulta-

neously.11 For solar physics12 and earth’s plasmasphere stud-

ies13 in the EUV region, a series of discrete lines needs to be

detected by the telescope. A single mirror with multiple

reflection bands, or channels, is a great advantage in space

missions since the mass of the optics can be reduced signifi-

cantly. A common method is to coat different sectors of the

mirror with different multilayers corresponding to the target

wavelengths.14 In this case, the throughput of each wave-

length channel is limited by the sector area. A multilayer

structure with a multi-band response is a good alternative.

Different Bragg orders of a periodic multilayer can be

directly used to achieve this if the wavelengths of various

emission lines match the different orders.15 This concept was

applied in a triple-band Mg/SiC multilayer by Fern�andez-Perea et al.: the first three Bragg peaks were optimized for

76.9 nm, 46.8 nm, and 33.1 nm light, respectively, as shown

in Figure 1.16 A stack of multilayers with different periods

can be used to reflect at several wavelengths17 or to further

enhance the reflectance of each channel.18 A buffer layer can

be inserted in between different periodic multilayers to tune

FIG. 1. Experimental reflectance of a triple band Mg/SiC multilayer working

in the 25–80 nm region. (Reprinted with permission from Fern�andez-Pereaet al., Opt. Express 20, 24018 (2012). Copyright 2012 OSA Publishing.)16

011104-2 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 3: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

the Bragg peak positions further. This hybrid structure was

demonstrated in the work of Hecquet et al. to collect the

emission lines from Fe and He in the range of 17.1–33.5 nm

where the 1st order Bragg peak can be shifted by �5 nm.15

For longer wavelengths, less bilayers are needed to reflect

the light so that the top multilayer (optimized for the longer

wavelength) can be reduced to a single layer.19

A similar structure as described above can be used to

further suppress the background radiation at other wave-

lengths. For instance, the buffer layer method can introduce

extra reflectivity minima using the interference effects

between the top and bottom stacks.15 A different scheme

based on enhanced absorption was developed by Suman

et al.20 In this scheme, a capping layer structure consisting

of absorbing and spacing layers was added on top of the mul-

tilayer. The high reflectance periodic ML generates a stand-

ing wave in the structure for both the target wavelength and

the wavelength of the background radiation. If the capping

layers are designed such that for the unwanted wavelength,

the antinode of the standing wave is very close to the absorb-

ing layer (inside the capping structure), the absorption will

be enhanced and a high suppression can be achieved.20,21

This principle is very suitable to reject features adjacent to

the peak wavelength. A high reflectance at 28.4 nm (Fe-XV

line) with strong suppression at around 32.5 nm has been

demonstrated using a periodic Mo/Si multilayer with a Mo/

Si capping layer structure, as shown in Figure 2.20 More

methods for spectral purity enhancement will be discussed in

Section II D. Apparently, a fully aperiodic multilayer with

optimization of each layer thickness can also be designed as

a multi-channel mirror, although it will introduce difficulties

in fabrication.22,23 Aperiodic or depth-graded multilayer

designs are more suitable and powerful to fabricate broad-

band mirrors.

B. Broadband multilayer mirror

Different from the multi-channel mirror, in which high

(or low) reflectivity is obtained at specific wavelengths, a

broadband multilayer structure provides high reflectivity

over a continuous wavelength or angle range. This is crucial

for applications that require a wide operational wavelength

band, high integral flux, and for optical systems with a high

numerical aperture (NA). The broadband response can be

realized by aperiodic or depth-graded multilayers. In this

case, light of different wavelengths is reflected at different

depths in the stack. Similarly, monochromatic light is

reflected over a range of incident angles. Several approaches

to obtain such depth-graded structures were considered theo-

retically, based on numerical optimization24–27 or a combina-

tion of analytical designing and numerical optimization.28–31

In both methods, solving the so-called inverse problem is

usually required as the final step, which consists of the mini-

mization of a certain merit function that characterizes the

deviation of the calculated reflectivity profile from the

desired one. In this procedure, the thicknesses of the layers

are considered as variables, and a set of layer thicknesses

will be found that provides a sufficiently deep minimum of

the merit function used. This scheme has been extensively

applied in the soft x-ray and EUV region to increase both the

angular and wavelength bands.32–38 Note that the increase of

the reflection bandwidth is unavoidably connected to a

decrease of the maximum reflectivity, due to the fact that the

layer thicknesses do no longer perfectly match the interfer-

ence conditions. Furthermore, the absorption in the layers

can be enhanced for different wavelengths/angles. For

instance, EUV reflectivity in the range of 50%–60% was

achieved at k¼ 13.5 nm for the incidence angles from 0� to

16� (Ref. 37), while 70% reflectivity can be obtained for

periodical ML stacks. An even larger angle range from 0� to20� is possible although that resulted in a reduction of the

reflectivity to about 30%–36%.34,35

A realistic layer structure has to be taken into account

during the design of such a broadband multilayer, including

interlayer formation, a variation of the layer density, effects

of local crystallization, etc, in order to achieve the desired

optical response.37 Among these factors, the naturally

formed interlayer between the main pair of constituent mate-

rials is a dominant issue. These interlayers act effectively as

additional layers which can obviously deform the reflectivity

profile of the ideal layered structure. The “real structure”

design method was demonstrated in the work of Refs. 36 and

37 in which, respectively, a broadband EUV mirror

(12.7–15.6 nm) for 45� incidence angle and a 13.5 nm EUV

mirror for 0�–16� incidence angle were successfully fabri-

cated. In the latter work, 0.8 nm thick Mo5Si3 and MoSi2interlayers were introduced at the boundaries between Mo

and Si in the design, according to the previous characteriza-

tion. An interface roughness of 0.2 nm was assumed. The

designed layer thicknesses of Mo and Si and the experimen-

tally achieved reflectivity profile are displayed in Figures

3(a) and 3(b), respectively. The experimental reflectivity is

very close to the design value.37 The layer thickness varia-

tion of a broadband multilayer should also be minimized

FIG. 2. Experimental (symbols) and designed reflectance results (lines) of a

high spectral purity Mo/Si multilayer with an optimized capping layer struc-

ture. (a) is on a linear scale while (b) is on a logarithmic scale. (Reprinted

with permission from Suman et al., Appl. Opt. 48, 5432 (2009). Copyright

2009 OSA Publishing.)20

011104-3 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 4: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

during the design. This is not only for easy thickness control

in the fabrication, but also to keep the internal layer structure

the same over the whole stack, and thus close to the design.

This issue was solved by Kozhevnikov et al. using a new

merit function including a factor to constrain the layer thick-

ness variation.39 Broad angle multilayers providing an

almost constant reflectivity of 50% in the 0�–16� interval of

incidence angle (k¼ 13.5 nm) were designed with a layer

thickness variation not exceeding 0.39 nm.39

1. Broadband multilayer polarizer

The wide bandpass of the depth-graded multilayer can

be further combined with polarization control. A periodic

multilayer working at the quasi-Brewster angle provides a

high degree of polarization, and a phase shift between the s-

and p-polarized light can be introduced when the multilayer

Bragg peak is designed near 45� for both reflection and trans-mission geometries.40–42 Therefore, multilayers are com-

monly used as a polarizer or phase retarder in the XUV

region.43–47 To extend the working bandwidth of polarizers

in applications, the aperiodic multilayer system can be used

as an alternative for the double-polarizer scheme48 or the lat-

erally graded multilayer.49 The polarization degree or phase

shift has to be taken into account in the merit function during

multilayer design to achieve the broadband effect.50–52 A

high polarization degree of up to 98.7% with an average

reflectance for s-polarized light of 5.5% to 6.1% has been

demonstrated over the wavelength range of k¼ 8.5–11.7 nm

by Wang et al. An aperiodic Mo/Y multilayer was used in

this experiment and the results are shown in Figure 4.52 A

multilayer transmission phase retarder with 42� phase shift

in the range of k¼ 13.8–15.5 nm was also realized using Mo/

Si multilayers.50

2. Broadband multilayer for high temporal resolution

The broad wavelength band multilayer is also vital for

studying ultrafast time-resolved phenomena. It helps to gen-

erate attosecond (1 as¼ 10�18 s) pulses which enable the

observation of electron dynamics in atoms or molecules.4

Such ultrashort pulses are produced by high harmonics gen-

eration (HHG) sources that are based on the nonlinear inter-

action of a femtosecond laser with noble gases53 or solid

materials.54 According to the Fourier transform theory, the

shortest possible pulse length Ds is limited by its spectral

bandwidth DE as55

Ds � DE � 1:8 eV � fs: (1)

Therefore, the selection and transportation of attosecond

pulses demand optics with a broadband response. Moreover,

the phases of different frequencies within the pulse, u(x),have to be aligned to remove any group-delay dispersion

(GDD ¼ u00ðxÞ), also referred as chirp, in order to reach the

bandwidth-limited pulse duration.55

An aperiodic multilayer can be optimized to tailor both

the spectral and temporal properties of the pulse due to its

very flexible design structure. Besides the broad bandwidth

with a specific reflectance profile as mentioned above, a lin-

ear or non-linear phase response can be achieved.56,57 The

latter one is based on the different penetration depth inside

the multilayer for different frequency components of the

incoming light, so that a negative or positive chirp can be

achieved to compensate the intrinsic chirp among the har-

monics and further compress the pulse.55,58 Based on this

idea, aperiodic chirped multilayers were first designed with

FIG. 3. The design layer thickness distribution (a) and the design (green

line) and the measured (red dots) reflectivity curve (k¼ 13.5 nm) (b) of a

Mo/Si multilayer mirror. (Reprinted with permission from Yakshin et al.,Opt. Express 18, 6957 (2010). Copyright 2010 OSA Publishing.)37

FIG. 4. Measured polarization degree P (a) and s-polarized reflectance Rs

(b) of three Mo/Y multilayer analyzers in the wavelength region of

8.5–11.7 nm. A and B are aperiodic multilayers; C is a periodic multilayer

for comparison. (Reprinted with permission from Wang et al., Appl. Phys.Lett. 89, 241120 (2006). Copyright 2006 AIP Publishing.)52

011104-4 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 5: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

the desired phase characteristics and for these designs an

attosecond-level pulse duration was predicted.57,59 With the

advancement of the deposition techniques and various meth-

ods of phase measurements, significant progress has been

achieved in chirped multilayer mirrors over the past few

years.60 Short pulses with 170–130 as duration have been

demonstrated in the region of 75–105 eV using Mo/Si multi-

layers with a reflectivity of 5%–10%.61,62 Dispersion control

above 100 eV can be achieved using different multilayers

like Mo/La63 and Mo/B4C58 to avoid a discontinuous

response at the Si-L absorption edge (100 eV). An extremely

short pulse duration of sub-50 can be achieved by using a

Mo/B4C/Si/B4C aperiodic multilayer with the spectrum from

20 to 112 eV, as shown in Figure 5.64 This technology is fur-

ther extended to the “water window” region with higher pho-

ton energies using a Cr/Sc chirped multilayer.38 A first phase

measurement of the soft X-ray multilayer mirror has also

been demonstrated recently using photocurrent measure-

ments near 360 eV.65 Compared to a pulse compressor based

on filters, the aperiodic ML can be applied to a broader spec-

tral range with higher integral efficiency.60,66

Compared with the broadband reflectance mirror dis-

cussed above, any structural imperfections, like layer thick-

ness deviation, interlayer formation, surface oxidation, etc.,

are even more critical for usage. This is because the phase-

shift is more sensitive to these imperfections than the reflec-

tivity since it will deteriorate the pulse duration and shape.57

C. Narrowband multilayers

Multilayers with a narrow spectral bandwidth find use in

monochromators. These primarily aim to cover the gap in

spectral resolution between a regular high reflectance multi-

layer mirror (DE/E¼�2%) and a natural crystal (DE/E� 10�4) monochromator, so that experiments like micro-

imaging,67 fluorescence analysis,68 and crystallography68

can be performed with much higher flux at an adequate reso-

lution. The multilayer bandwidth can be reduced by several

methods: using small d-spacing, low optical contrast materi-

als, or high reflection orders in order to increase the number

of bilayers that participate in the Bragg reflection. High reso-

lution multilayers used in the x-ray region were developed

by Platonov et al.,69 Morawe et al.,70 and Rack et al.,67,71

while a spectral resolution of 0.2%–0.5% has been achieved.

In the EUV region, a small thickness ratio (absorption layer

to period thickness ratio) and high Bragg orders were often

used and a small bandwidth down to 0.077 nm at k¼ 13.5 nm

has been demonstrated.72,73 Nevertheless, all these methods

result in a loss of peak reflectance already in theory com-

pared to a regular multilayer mirror. In the section of three

dimensional multilayers, an alternative method with both a

small bandwidth and a high reflectance will be discussed.

D. High spectral purity ML mirrors

Apart from reflecting the particular XUV wavelength

region the multilayer is designed for, it also reflects longer

wavelength due to the large optical contrast of materials.

Sources like lasers or discharge produced plasmas, solar

sources, and high harmonic generation sources all basically

have a broadband emission spectrum. They contain out-of-

band (OoB) components that extend into the UV, visible, or

even infrared region. This light can be reflected by a single

layer and is difficult to be filtered out by a standard multi-

layer mirror. For instance, the EUV telescope for solar obser-

vation has to reject certain longer wavelength emission

lines74,75 or the whole range from UV to visible light76 to

block the background. High harmonic sources require dedi-

cated optics to select specific XUV spectral components,

while rejecting the drive laser light and low orders of har-

monics.77,78 The spectral purity of EUV plasma sources, and

the mitigation of UV and IR have actually become two of

the challenges in the development of EUV photo-lithogra-

phy.79 A multilayer mirror combined with different filters

can be used to improve the spectral purity,80–82 but it usually

has a poor EUV transmission and a free-standing filter might

be prone to damage. Recently, several new schemes of spec-

tral purity filters (SPF) integrated with multilayer structures

have been developed which show a high suppression factor

at the unwanted wavelengths at much higher EUV

efficiency.

1. UV anti-reflection

A common method to suppress the reflectivity at a cer-

tain wavelength is to use an anti-reflection coating (ARC). It

is based on the destructive interference of the reflections

from the top and bottom of the ARC. If the two reflections

have equal amplitude and opposite phase, the unwanted radi-

ation will be transmitted into the substrate instead of

reflected back. In this case, thick substrates can be used that

will also make the thermal problem easier to deal with com-

pared to thin transmission filters.83

Antireflection coatings tailored for the (deep) UV wave-

length range are applied in different cases, for lithography

systems, high power lasers,84 or solar cell applications.85,86

To achieve the desired refractive index or index profile, spe-

cial materials with a tailored composition or nanostructures

were developed.87 For EUV lithography systems, a UV ARC

is considered as part of the high reflectance multilayer coated

FIG. 5. (a) A typical pulse profile of the attosecond source (solid curve) and

the associated Fourier transform limited pulse (dashed curve); (b) pulse pro-

file after compression by a Mo/B4C/Si/B4C multilayer chirped mirror.

(Reprinted with permission from Bourassin-Bouchet et al., New J. Phys. 14,023040 (2012). Copyright 2012 IOP Publishing.)64

011104-5 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 6: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

optics.88 The challenge in designing and engineering UV

ARCs specifically for that purpose lies in the fact that the

EUV transmission (e.g., around 13.5 nm) cannot be compro-

mised. This limits the available range of materials for the

antireflection coatings to those with very low absorption in

the EUV range.

Several endeavors have been made to demonstrate such

EUV ARCs. For the 100–200 nm wavelength range, the opti-

cal properties of Si3N4 are favorable for a single layer ARC

design and the development of a 7 nm-thick Si3N4 on top of

a high reflectance Mo/Si multilayer has been described in

Ref. 88. The relatively weak absorption of Si3N4 at 13.5 nm

limits the loss of EUV reflectivity to only 4%, while reduc-

ing the UV reflectivity by a factor of 5.

For the wavelength range above 200 nm, there are no

materials readily available with low UV reflectivity and high

EUV transparency. Huber et al. describes a numerical

approach to derive optimal optical constants and layer thick-

ness for an ARC applied on top of a Mo/Si multilayer, in

order to obtain full suppression of reflectivity in the UV

wavelength region. An experimental optimization of the

ARC material composition was also presented to obtain the

designed optical constants.89 A proof of principle Mo/Si

multilayer with a Si0.52C0.16N0.29 ARC on top shows 50%

reflectance at 13.5 nm with a factor of �200 suppression of

the reflectivity at 285 nm, as depicted in Figure 6.

Development of predictive models for the optical constants

of the ARC material will be a key issue in further develop-

ment of UV anti-reflection coatings for EUV applications.

2. IR antireflection

The wavelength of the OoB radiation can even extend

into the infrared region, as can be the case in an Extreme

Ultraviolet Photolithography (EUVL) tool or with high har-

monic generation sources.78,79 For instance, the typical laser

produced Sn-plasma source used in EUVL has a wide spec-

trum which also includes a large amount of infrared power

from the drive laser (k¼ 10.6 lm) scattered by the tin

plasma. This IR light will be highly reflected by the metal in

the multilayer mirror and propagated into the optical system

causing heat load problems.90 Several methods have been

proposed to block the IR transmission including a foil fil-

ter,82 a grid filter,91 or a gaseous filter.92 Grating based EUV

reflectors can also be used to separate the IR and EUV

light93–95 which will be further discussed in Section III. An

antireflection coating has been pursued as a straightforward

method without adding new optical elements. For this appli-

cation, the design described in Section II D 1 is not applica-

ble because the IR requires a thick AR layer on top of the

EUV mirror, and that will heavily absorb the EUV light.

However, IR transparent materials can be used to construct

the EUV multilayer, which can form the top part of a hybrid

antireflection optics.

Several designs of such hybrid optics have been pro-

posed.96–98 Soer et al. gives the first proof of principle by

using diamond-like carbon and silicon as IR transparent mate-

rials for the EUV reflective ML on top of an ARC which pro-

vided a reflectance of 42.5% and 4.4% for EUV and IR light,

respectively.96 A more elegant design was developed by

Medvedev et al.,98 of which the layer structure is schemati-

cally shown in Figure 7. The top periodic multilayer acts as a

Bragg reflector for EUV radiation and at the same time it

forms an IR antireflection coating together with a metal layer

underneath. The top multilayer stack is effectively perceived

by the incident IR as a homogeneous medium. In this case,

the intensity of the wave reflected by the entire structure is

governed by the interference of the reflection from the multi-

layer surface (R1) and from the multilayer/metal interface

(R2). If the total thickness of the top multilayer is optimized

to introduce a 180� phase shift between R1 and R2, a near-

zero IR reflectance can be achieved. Compared to the alterna-

tive designs,96,97,99 such a scheme does not require additional

thick (few hundred nm) AR layers, while the choice of the

substrate material is also free, e.g., Si, SiO2, or SiC can be

used.8 Medvedev et al. experimentally demonstrated the

described design for 13.5 nm EUVL optics. In this work, a

B4C/Si multilayer was used as the IR transparent multilayer

FIG. 6. Calculated (solid lines) and

measured (symbols) EUV (a) and UV

(b) reflectance of a Mo/Si multilayer

mirror without (red markers) and with

a 20 nm film of Si0.52C0.16N0.29 on top

(blue markers) (Reprinted with permis-

sion from Huber et al., Opt. Express22, 490 (2014). Copyright 2014 OSA

Publishing.)89

FIG. 7. Schematic design of a hybrid multilayer coating combining high

reflectance at an EUV wavelength with the antireflection effect at another,

longer wavelength. (Reprinted with permission from Medvedev et al., Opt.Lett. 37, 1169 (2012). Copyright 2012 OSA Publishing.)98

011104-6 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 7: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

Bragg reflector. A Mo film of 10 nm thickness was applied

in between the Si substrate and the B4C/Si stack. An EUV

peak reflectance of 45% was measured together with an IR

suppression by more than two orders of magnitude (Figure

8).98 Similar designs based on LaN/B and LaN/B4C were

also proposed for a possible lithography system operating

at about 6 nm wavelength.99

III. THREE DIMENSIONAL MULTILAYER OPTICS

Besides the various layered schemes, there is a second

way to overcome the limited spectral response of a regular

multilayer and meet the demands from some advanced appli-

cations. That method consists of making three dimensional

structured multilayer optics. Micro- or nano-structures like

gratings, zone plates, or holograms are known to disperse,

focus, or image light. Combining such diffractive structures

with a standard multilayer structure will provide a more flexi-

ble way to select the different wavelengths and modify the

responses, especially compared to the limitation of tailoring

the optical constants of a thin film. In this way, ultrahigh spec-

tral resolution, high spectral purity with high EUV efficiency,

and accurate control of the amplitude and phase of an XUV

pulse can be realized. With the rapid development of nanopat-

terning and -fabrication technologies, significant progress of

the micro- or nano-structured multilayer optics has been

made. This will be discussed in Section IIIA and III B.

A. XUV spectrometer/monochromator based onmultilayer gratings

1. Multilayer coated gratings

The multilayer coated grating was first proposed in the

1980s, initially driven by the demand for a normal incidence

EUV spectrometer for astronomy.100 Compared to the single

layer coated grating, its benefits are manifold: orders of mag-

nitude higher efficiency (for a normal incidence EUV spec-

trometer and a grazing incidence soft X-ray monochromator),

less imaging aberration, less stringent requirement on the sub-

strate due to the reduced size, and higher spectral resolu-

tion.101,102 Thus, multilayer gratings have been widely applied

in astronomical observations103 and soft x-ray imaging and

spectroscopy experiments, either in synchrotron beam-

lines101,104,105 or in electron microscopes.106,107 A lamellar

phase grating coated with a multilayer, also named as alter-

nate multilayer grating (AMG), is an example.105 Its absolute

diffraction efficiency has reached up to 27% (Ref. 104) and

47% (Ref. 106) at E¼ 2.2 keV and 6 keV, respectively. To

further improve the efficiency, a multilayer blazed grating

(MBG) has to be used since its maximum groove efficiency

(grating efficiency normalized by the corresponding multi-

layer reflectivity) in theory can reach 100% which cannot be

realized with an AMG.108,109 However, the challenge is the

fabrication of the sharp and smooth triangular grooves with a

perfect multilayer coating on top. Seely et al., cooperatingwith Carl Zeiss in Germany, have made remarkable progress

on MBGs based on holographic pattering and ion etch-

ing.102,110–112 An absolute diffraction efficiency of 30% (with

a groove efficiency of 53%) was demonstrated using a Mo2C/

Si coated blazed grating at k¼ 15.79 nm.108

To further reduce the discrepancy between the mea-

sured efficiency of MBG and theory, the groove profile

needs to be improved. An anisotropic chemical etching

process of crystalline silicon is a promising method to

make ideal blazed facets.113–115 With a large groove den-

sity and high diffraction orders, an ultrahigh spectral reso-

lution and high efficiency grating can thus be realized. This

is of particular interest for advanced spectroscopy techni-

ques such as resonant inelastic x-ray scattering (RIXS) and

angle-resolved photoemission spectroscopy (ARPES),

which require a resolving power of 10 000–100 000.116–118

Voronov et al. have made significant development in high

groove density (small period) MBGs.119–123 A record of

52% diffraction efficiency at k¼ 13.4 nm was achieved

using the 2nd order of a Mo/Si coated MBG with a groove

density of 2525 lines/mm (Figure 9).121 A 10 000 line/mm

MBG with 13.2% efficiency at normal incidence was dem-

onstrated at k¼ 19.2 nm.122 One of the hurdles in develop-

ing this grating is that the small period saw-tooth profile

can be smoothened by the growth of a high reflectivity

multilayer which severely decreases the efficiency.124–126

A new deposition process needs to be developed to solve

this issue.

FIG. 8. High EUV reflectance (a) with

suppressed IR reflection (b) from a

100-bilayer (B4C/Si) periodic multi-

layer on top of 10 nm Mo on a crystal-

line Si substrate.98

011104-7 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 8: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

Besides the multilayer coated gratings, two other struc-

tured multilayer gratings were developed providing high res-

olution and high efficiency: the sliced multilayer grating

(SMG) and the single-order lamellar multilayer grating

(SLMG). These two types of gratings will be discussed in

the following subsections III A 2 and III A 3. A unified ana-

lytical theory based on a coupled wave approach was devel-

oped by Kozhevnikov et al. to describe the efficiency of

these types of gratings (including the MBG) and understand

the relationship between structural parameters and effi-

ciency.127 The basic theory was further extended with

numerical solutions to analyze wideband multilayer gratings

in the EUV range.128

2. Sliced multilayer grating

A sliced multilayer grating (SMG) uses the cross-section

structure of a periodic multilayer as the diffraction grating

(Figure 10).129 It can be made by asymmetric cutting and sur-

face polishing of a multilayer. The periodicity of the layer

structure acts as the grating, while the period is determined by

the layer d-spacing and the cutting angle. Thus, it can reach a

much smaller period compared to the lithography-made gra-

tings, and correspondingly results in a very high angular disper-

sion. This is useful for XUV monochromators or ultrafast pulse

shapers.130 If the grating equation and multilayer Bragg condi-

tions are satisfied simultaneously, it can provide a very high

efficiency.127,131,132 An SMG can be used in both

reflection130–134 and transmission mode,135–137 while the reflec-

tion SMG is essentially similar to an ideal multilayer coated

blazed grating, as shown in Figure 10. A Mo/Si based SMG

with 2020 bilayers and a corresponding grating density of

19 700 line/mm was fabricated by Bajt et al., showing a mea-

sured absolute efficiency of 51.4% at k¼ 13.2 nm.130 The

achieved efficiency is similar to the best result of an MBG.121

To further increase the collection aperture and the resolving

power of a single cut SMG, one can deposit the multilayer on

a saw-tooth substrate and then polish the surface to a flat sur-

face, so that the grating area is much increased by the repeti-

tive facets of the substrate.138 This method was recently

improved by Bajt et al. and a 27 060 line/mm multilayer grat-

ing was fabricated on a saw-tooth substrate achieving 30% dif-

fraction efficiency.139

3. Single order lamellar multilayer grating

The single order lamellar multilayer grating (SLMG) is

based on forming deep grating structures into the multilayer

(Figure 11). In this case, part of the material is removed

resulting in less XUV absorption. Therefore, more bilayers

contribute to the Bragg reflection process, which accordingly

reduces the bandwidth.140–142 In the first designs, the deep

lamellar grating was etched into the multilayer without strict

requirements on the grating period and the lamella width.

Although the 0th order bandwidth was reduced by a factor of

two to five,143–145 the achieved efficiency was also reduced

FIG. 9. Transmission electron microscopy images of the cross section of a

2525 lines/mm blazed grating coated with a 40 bilayer Mo/Si multilayer.

(Reprinted with permission from Voronov et al., Opt. Lett. 39, 3157 (2014).

Copyright 2014 OSA Publishing.)121

FIG. 10. A schematic sketch of the

sliced multilayer grating (a) and a SEM

image (b) of the surface layers of the

extended asymmetric-cut Mo/Si multi-

layer grating.130,139 (Reprinted with

permission from Bajt et al., J. Opt. Soc.Am. A 29, 216 (2012). Copyright 2012

OSA Publishing; and Prasciolu et al.Opt. Express 23, 15195 (2015).

Copyright 2015 OSA Publishing.)

FIG. 11. SEM image of a single-order LMG with a grating period of 200 nm

and a lamella width of 60 nm. The depth of the lamellas is 1 lm. (Reprinted

with permission from Van der Meer et al., AIP Adv. 3, 012103 (2013).

Copyright 2013 AIP Publishing.)149

011104-8 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 9: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

by 38% to even 85% relative to a standard ML mirror.143,144

Kozhevnikov et al. then identified the single-order operating

regime for the multilayer grating.146,147 In this scheme, only

one diffraction order will be excited and the reflected power

is concentrated in this single order, if the angular width of

the zeroth or higher order peak is much smaller than the

angular distance between the adjacent orders. Thus, an

SLMG can achieve the same maximum reflectance as a stan-

dard multilayer mirror, while the bandwidth is reduced by a

factor of C, with C being the lamella-to-period ratio of the

grating, assuming that the number of bilayers is increased by

a factor 1/C.147 This is a unique advantage compared to other

methods to reduce the bandwidth of a ML, like using a small

d-spacing with low contrast materials or using higher Bragg

orders as discussed in Section II C.

A high quality SLMG with a grating period down to

200 nm and aspect ratio of �17:1 (grating depth to lamella

width ratio) has been successfully fabricated by Van der

Meer et al. (Figure 11).148 The zeroth order reflectance mea-

sured at E¼ 525 eV is only 21% less (relatively) compared

to a reference multilayer mirror (W/Si), while a maximum

bandwidth reduction of 3.8 times was achieved.149 In princi-

ple, there is no physical limitation on the ultimate resolution,

e.g., a 10 times reduction of the bandwidth would be possi-

ble.150 Nevertheless, making the ultra-high aspect-ratio

structure and depositing thousands of layers with perfect

periodicity would impose a challenging technical task.149,151

Given the fast development of the different types of

multilayer gratings with constantly increasing efficiency and

line density, a review of some best experimental data of the

different multilayer gratings is listed in Table I.

B. Three dimensional multilayer diffraction optics forspectral purity enhancement

The angular dispersion of different wavelengths from

3D multilayer structures provides a natural mechanism to fil-

ter out unwanted radiation from various XUV sources. In

this section, some recently developed 3D multilayer struc-

tures used as spectral purity filters will be discussed.

1. Blazed grating based SPF

Blazed gratings were proposed for XUV spectral purity

enhancement both working at grazing and normal inci-

dence.153–156 For the normal incidence case, a multilayer

coated blazed grating with a medium grating period, e.g.,

1 lm, can be used. The light from the UV to the IR range

can be fully separated from XUV radiation since the XUV

light is diffracted at a different angle. This was proposed and

developed by Naulleau et al. and Liddle et al. to purify the

spectrum for EUV lithography and an absolute EUV effi-

ciency of 41% was measured.152,155,156 Unfortunately, the

OoB filtering results are not shown in their papers. If the

grating period is very large (p> 100 lm), the XUV light will

be reflected by the facets of the grating while the longer

wavelength light (e.g., IR) is diffracted in another direction.

Van den Boogaard et al. proposed to use such a large period

blazed grating to eliminate the CO2 driver laser radiation

from a laser plasma EUV source.157 However, the unavail-

ability of a large-period blazed grating with high quality

grooves still limits the achieved EUV reflectivity.

2. Lamellar grating based SPF

A lamellar grating is somewhat easier to produce as

compared to a blazed grating. With a large period of tens of

microns, the XUV light will be concentrated around the

zeroth order which ensures a high efficiency. To fully sup-

press the zeroth order of the OoB radiation, the grating

height must be designed as a quarter of the unwanted wave-

length and the top to bottom surface area ratio must be 1:1

(Figure 12). Thus, the reflection from top and bottom of the

grooves will destructively interfere, and most of the OoB

radiation is then diffracted to higher orders.

This type of phase shift grating was used to suppress the

reflection of UV light. A 70 nm-height multilayer grating was

made by Van den Boogaard et al. which generated a 30 times

suppression at k¼ 280 nm (Fig. 13), with an EUV reflectance

of 64%.158 The wavelength of maximum suppression can be

tuned by changing the grating height. One advantage of the

TABLE I. Overview of the experimentally achieved efficiency of different multilayer gratings.

Type Period (nm) ML k (nm) D.E.a (G.E.)b order References

MBG 333 Mo2C/Si 15.8 30% (53%) 2nd 108

MBG 190 Mo/Si 13.1 44% (71%) 1st 119

MBG 100 Al/Zr 17.2 24% (42%) 1st 122

MBG 396 Mo/Si 13.4 52% (78%) 2nd 121

MBG 1000 Mo/Si 13.4 41% (63%) 1st 152

AMG 420 Mo2C/B4C 0.564 �27% 1st 105

AMG 830 Co/SiO2 0.206 47% 1st 106

AMG 830 W/C 0.155 38% 1st 106

LMG 1000 Mo/B4C 0.83 7.5% (62%) 0th 144

SLMG 300 W/Si 2.36 7.5% (78%) 0th 149

… 0.99 22.5%

SMG 50.7 Mo/Si 13.2 51.4% (95%) 1st 130

SMG 36.9 Mo/Si 13.2 29.7% 1st 139

(59%)

aD.E. is the absolute diffraction efficiency.bG.E. is the groove efficiency.

011104-9 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 10: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

grating methods is that most the OoB power is diffracted to

other directions instead of being absorbed by the multilayer or

substrate, as is the case for an anti-reflection coating. This can

be beneficial to avoid heat loads.

The phase shift lamellar multilayer grating was also

used to suppress IR light. In this case, the grating period can

be much larger, e.g., hundreds of microns, so that the EUV

light is simply reflected by the grating terraces. Such a phase

shift multilayer grating was demonstrated by Medvedev

et al. for the IR suppression at k¼ 10.6 lm.93 The grating

has a period of 100 lm which shows a 70� suppression of

the reflection of IR light combined with an EUV reflectance

of 61%.93 Given the high EUV efficiency and the relatively

simple implementation, this method was applied in the col-

lector mirror for EUV lithography by Trost et al. and Kriese

et al.94,95

3. Multilayer zone plate for OoB recycling

For the above mentioned phase shift grating method, the

OoB radiation is diffracted away from the EUV light but still

within the main optical system. If the OoB radiation is very

strong, as is the case of scattered IR light from the drive laser

in an EUV laser plasma source, it may still induce heating of

the optical system. It is therefore more efficient to re-direct

the diffracted IR light back to the plasma79,159 and further

heat it to increase the EUV emission power of the source.

This can be achieved by patterning a zone plate structure in

the collector surface.

As shown in Figure 14, such a zone plate structure for

the infrared wavelength is added onto the collector surface

and coated with a multilayer structure. As a result, the infra-

red light scattered to the collector will be refocused back to

the plasma source, while the EUV light is still reflected by

the multilayer. The 0th order reflection of IR light can be

suppressed by optimizing the zone height. A theoretical

design of such a structure has been done by Bayraktar

et al.160 and shows good refocusing properties. A multi-level

zone structure can be further introduced to improve the

focusing/recycling efficiency.161

4. Diffraction pyramids

Although the phase shift lamellar grating and the anti-

reflection coating mentioned above have achieved high effi-

ciency in suppressing OoB radiation, the bandwidth of the

suppression is still limited due to the principle of destructive

interference. A broadband solution can be realized by modi-

fying the groove shape of the grating from a rectangular pro-

file to a tapered one. In this case, the OoB radiation over a

broad wavelength range will be diffracted to higher orders

by the tapered facets and the XUV light is still reflected by

the overall periodic multilayer. This method was demon-

strated by Huang et al.162,163 The surface tapered structure

can have various forms, e.g., blazed grating shapes or sym-

metric pyramids, in one (1D) or two dimensions (2D). It can

be made with a single material which is reflective for the

OoB radiation and transparent for XUV, or consists of the

multilayer structure itself (Figure 15).

A detailed optimization of the structural shape can be

found in Ref. 162. The first demonstration was given with

two dimensional Si pyramids on top of a Mo/Si multilayer.

The pyramids with a height of 100 nm were distributed on

the multilayer with a periodicity of 26 lm. It suppressed the

reflectance of the full UV band (k¼ 100–400 nm) down to

below 10% as shown in Figure 16.162 To avoid the XUV

absorption in the silicon, a multilayer pyramid structure can

be used (Figure 15(b)). In this case, the XUV light is also

reflected by the multilayer within the pyramids in principle

resulting in a lossless system. The demonstrated multilayer

pyramid structure showed almost the same UV suppression

as the Si pyramids, and resulted in a high EUV efficiency of

64.7%.163 It is worth noting that there is a common issue

with the different multilayer composed grating structures

FIG. 12. A schematic structure of the lamellar multilayer grating based SPF.

(Reprinted with permission from Van den Boogaard et al., Opt. Lett. 37, 160(2012). Copyright 2012 OSA Publishing.)158

FIG. 13. UV reflectance measurements of a phase shift grating (circles) and

a reference unstructured multilayer mirror (squares) and calculations for the

two cases (solid lines). (Reprinted with permission from Van den Boogaard

et al., Opt. Lett. 37, 160 (2012). Copyright 2012 OSA Publishing.)158

FIG. 14. Schematic design of an infrared refocusing method for an IR-laser

produced plasma source, consisting of an IR zone plate structure coated with

a multilayer on top of the collector mirror. (Courtesy of M. Bayraktar.)

011104-10 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 11: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

that use the zeroth order reflection of XUV light. The XUV

diffraction effects cannot be fully neglected if the source is

partially coherent. In this case, part of the reflected XUV

light will be distributed to the neighboring orders and the far

field intensity distribution around the zeroth order has to be

taken into account.163

IV. PROSPECTS

As we have reviewed so far, both planar and three

dimensionally structured multilayer optics have experi-

enced significant development in the past few years. They

provide the required spectral response on bandwidth, spec-

tral resolution, purity, etc., albeit that not all specifications

can be met simultaneously. These optics have tremendously

boosted the various XUV applications. Nevertheless, new

opportunities as well as challenges exist, not in the least

pushed forward by the availability of high brightness sour-

ces with high optical quality. New generations of XUV

sources, including Diffraction-limited Storage Rings

(DLSR),164 Free Electron Lasers (FEL),165,166 High

Harmonic Generation sources,167,168 and high power EUV

lithography sources, have been or are coming on line. The

new DLSR and FEL sources will provide 3–10 orders of

magnitude higher brightness with much better coherence

than the current generation of storage rings.169 To gain the

full benefits of these new light sources, the greatly

increased photon flux needs to be preserved and an accurate

control of the light pulses needs to be achieved to provide

the desired spectral/temporal and polarization properties for

the different applications.

Multilayer coatings are demanded with extremely high

accuracy over the lateral dimension and across the interfaces

to match the wavelength or incidence angle and maintain the

coherence of the source.170–172 For imaging systems, periodic-

ity control of the multilayers becomes critical when narrow

band sources like FELs are used or in the case of the latest

lithography optics with high numerical apertures. Multilayer

gratings with both ultrahigh spectral resolution and high effi-

ciency are required to resolve the different elementary excita-

tions in matters. Pulse shaping techniques used in the XUV

region have to be developed to control the full characteristics

of the femto- or atto-second pulses.38,58,173–175 Development

of some of these optics has begun, but there is much more to

achieve which requires innovative solutions and much

improvement of the deposition and nanofabrication technolo-

gies. Advancement of these high precision optics will enable

and push forward a range of frontier techniques, like resonant

inelastic x-ray scattering,116 nanoscale spectroscopy,176,177

ultrafast dynamics study,178–180 and quantum control.181,182

On the other hand, the extremely bright XUV sources

will also cause other problems for the optics, like a limited

lifetime. Surface contamination and degradation from carbon

and oxygen can be much intensified under intense high energy

photon irradiation.7,183 Thermal load on the mirrors will accel-

erate interdiffusion and phase changes of the materials.184 The

unprecedented high brightness of a FEL with ultrashort pulses

of only tens of femtoseconds can cause surface nano-dot

growth,185 structural modification, or even melting of

layers.186–190 These will all significantly deteriorate the per-

formance of multilayer or single layer optics. Damage resis-

tant multilayer mirrors or structures and high efficiency

refurbishment techniques are needed to face this challenge.

ACKNOWLEDGMENTS

The authors acknowledge the support of the Industrial

Focus Group XUV Optics enabled by the University of

Twente, the MESAþ Institute for Nanotechnology, the

Province of Overijssel, ASML, Carl Zeiss SMT AG,

PANalytical, DEMCON, SolMateS, as well as FOM (Stichting

voor Fundamenteel Onderzoek der Materie) and NWO

(Nederlandse Organisatie voor Wetenschappelijk Onderzoek)

through the Industrial Partnership Programme CP3E, and the

EU Programme CATRENE through the ACHieVE project, the

support of the Radiometer Laboratory of the Physikalisch

Technische Bundesanstalt (PTB), Berlin (Germany), also the

support of National Key Research and Development Program

of China (No. 2016YFA0401304), National Natural Science

FIG. 15. Schematic design of Si pyra-

mids (a) and multilayer pyramids

(b).162,163 (Reprinted with permission

from Huang et al., Opt. Lett. 39,1185(2014). Copyright 2014 OSA

Publishing; and Huang et al., Opt.

Express 22, 19365 (2014), Copyright

2014 Publishing.)

FIG. 16. Measured UV reflectance of the fabricated Mo/Si ML mirror

(square), Si pyramid (triangle), and ML pyramid (circle) systems.

011104-11 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 12: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

Foundation of China (No. 11505129), and Shanghai Pujiang

Program (No. 15PJ1408000).

1D. A. Shapiro, Y.-S. Yu, T. Tyliszczak, and J. Cabana, Nat. Photonics 8,765 (2014).

2C. Wagner and N. Harned, Nat. Photonics 4, 24 (2010).3A. Sakdinawat and D. Attwood, Nat. Photonics 4, 840 (2010).4F. Calegari, D. Ayuso, A. Trabattoni, and L. Belshaw, Science 346, 336(2014).

5M. M. Barysheva, A. E. Pestov, N. N. Salashchenko, M. N. Toropov, and

N. I. Chkhalo, Phys.-Usp. 55(7), 681 (2012).6E. Louis, A. E. Yakshin, T. Tsarfati, and F. Bijkerk, Prog. Surf. Sci. 86,255 (2011).

7S. Bajt, N. V. Edwards, and T. E. Madey, Surf. Sci. Rep. 63, 73

(2008).8R. Soufli, S. L. Baker, E. M. Gullikson, T. McCarville, J. C. Robinson

et al., Proc. SPIE 8501, 850102 (2012).9E. Spiller, Soft X-ray Optics (The International Society for Optics and

Photonics, Bellingham, 1994).10J. H. Underwood and T. W. Barbee, Appl. Opt. 20(17), 3027 (1981).11E. Louis, E. Spiller, S. Abdali, F. E. Christensen, H. J. Voorma, N. B.

Koster et al., Proc. SPIE 2515, 194 (1995).12J. P. Delaboudiniere, G. E. Artzner, J. Brunaud, A. H. Gabriel, J. F.

Hochedez et al., Sol. Phys. 162, 291–312 (1995).13J. L. Burch, S. B. Mende, D. G. Mitchell, T. E. Moore, C. J. Pollock

et al., Science 291, 619 (2001).14P. Boerner, C. Edwards, J. Lemen, A. Rausch, C. Schrijver et al., Sol.Phys. 275, 41 (2012).

15C. Hecquet, F. Delmotte, M. F. Ravet-Krill, S. Rossi, A. J�erome et al.,Appl. Phys. A 95, 401 (2009).

16M. Fern�andez-Perea, R. Soufli, J. C. Robinson, L. Marcos, J. A. M�endezet al., Opt. Express 20(21), 24018 (2012).

17K. Yamashita, H. Kunieda, T. Tawara, K. Tamura, Y. Ogasaka et al.,Proc. SPIE 3766, 327 (1999).

18J. Gautier, F. Delmotte, M. Ravet, A. Jerome, F. Bridou et al., Opt.Commun. 281, 3032 (2008).

19T. Ejima, Y. Kondo, and M. Watanabe, Jpn. J. Appl. Phys., Part 1 40, 376(2001).

20M. Suman, M. Pelizzo, D. L. Windt, and P. Nicolosi, Appl. Opt. 48, 5432(2009).

21A. Corso, P. Zuppella, D. L. Windt, M. Zangrando, and M. G. Pelizzo,

Opt. Express 20, 8006 (2012).22S. Lunt, R. S. Turley, and D. D. Allred, J. X-Ray Sci. Technol. 9, 1(2001).

23J. Zhu, Z. Wang, Z. Zhang, F. Wang, H. Wang et al., Appl. Opt. 47, C310(2008).

24K. D. Joensen, P. Voutov, A. Szentgyorgyi, and J. Roll, Appl. Opt.

34(34),7935 (1995).25P. Loevezijn, R. Schlatmann, J. Verhoeven, B. A. Tiggelen, and E. M.

Gullikson, Appl. Opt. 35(19), 3614 (1996).26Z. Wang and A. G. Michette, Proc. SPIE 4145, 243 (2001).27Y. Yao, H. Kunieda, H. Matsumoto, K. Tamura, and Y. Miyata, Appl.

Opt. 52(27), 6824 (2013).28V. V. Protopopov and V. A. Kalnov, Opt. Commun. 158(1–6), 127

(1998).29A. V. Vinogradov and R. M. Faschenko, Nucl. Instrum. Methods Phys.

Res. A 448(1–2), 142 (2000).30I. V. Kozhevnikov, I. Nbukreeva, and E. Ziegler, Nucl. Instrum. Methods

Phys. Res. A 460(2–3), 424 (2001).31Y. Yao, H. Kunieda, and Z. Wang, Opt. Express 21(7), 8638 (2013).32Z. Wang and A. G. Michette, J. Opt. A: Pure Appl. Opt. 2, 452 (2000).33T. Kuhlmann, S. A. Yulin, T. Feigl, N. Kaiser, H. Bernitzki et al., Proc.SPIE 4688, 509 (2002).

34S. Yulin, T. Kuhlmann, T. Feigl, and N. Kaiser, Proc. SPIE 5037, 286(2003).

35T. Feigl, S. Yulin, N. Benoit, and N. Kaiser, Microelectron. Eng. 83(4–9),703 (2006).

36A. L. Aquila, F. Salmassi, F. Dollar, Y. Liu, and E. M. Gullikson, Opt.

Express 14(21), 10073 (2006).37A. E. Yakshin, I. V. Kozhevnikov, E. Zoethout, E. Louis, and F. Bijkerk,

Opt. Express 18(7), 6957 (2010).38A. Guggenmos, R. Rauhut, M. Hofstetter, S. Hertrich, B. Nickel et al.,Opt. Express 21(19), 21728 (2013).

39I. V. Kozhevnikov, A. E. Yakshin, and F. Bijkerk, Opt. Express 23(7),9276 (2015).

40J. B. Kortright and J. H. Underwood, Nucl. Instrum. Methods Phys. Res.

291, 272 (1990).41J. B. Kortright, Proc. SPIE 2010, 160 (1993).42F. Sch€afers, H. C. Mertins, A. Gaupp, W. Gudat, M. Mertin et al., Appl.Opt. 38(19), 4074 (1999).

43J. B. Kortright, M. Rice, and R. Carr, Phys. Rev. B 51(15), 10240(1995).

44H. Kimura, T. Hirono, Y. Tamenori, Y. Saitoh, N. N. Salashchenko et al.,J. Electron Spectrosc. Relat. Phenom. 144–147, 1079 (2005).

45M. A. MacDonald, F. Schaefers, R. Pohl, I. B. Poole, A. Gaupp et al.,Rev. Sci. Instrum. 79, 025108 (2008).

46H. Wang, S. S. Dhesi, F. Maccherozzi, and K. J. S. Sawhney, J. Appl.

Phys. 111, 123117 (2012).47S. Yamamoto, Y. Senba, T. Tanaka, H. Ohashi, T. Hirono et al.,J. Synchrotron Radiat. 21, 352 (2014).

48M. Yanagihara, T. Maehara, H. Nomura, M. Yamamoto, and T.

Namioka, Rev. Sci. Instrum. 63(1), 1516 (1992).49J. B. Kortright, M. Rice, and K. D. Franck, Rev. Sci. Instrum. 66(2), 1567(1995).

50Z. Wang, H. Wang, J. Zhu, Z. Zhang, Y. Xu et al., Appl. Phys. Lett. 90,031901 (2007).

51Z. Wang, H. Wang, J. Zhu, Z. Zhang, F. Wang et al., Appl. Phys. Lett.90, 081910 (2007).

52Z. Wang, H. Wang, J. Zhu, Z. Zhang, Y. Xu, S. Zhang et al., Appl. Phys.Lett. 89(24), 241120 (2006).

53G. Sansone, E. Benedetti, F. Calegari, C. Vozzi, L. Avaldi et al., Science314(5798), 443 (2006).

54J. A. Wheeler, A. Borot, S. Monchoc�e, H. Vincenti, A. Ricci et al., Nat.Photonics 6, 829 (2012).

55A. Aquila, Ph.D. thesis, University of California Berkeley, 2004.56M. Hofstetter, PhD dissertation, Fakult€at f€ur Physik, LMU M€unchen,2011.

57A. Wonisch, U. Neuh€ausler, N. M. Kabachnik, T. Uphues, M. Uiberacker

et al., Appl. Opt. 45(17), 4147 (2006).58M. Hofstetter, M. Schultze, M. Fieß, B. Dennhardt, A. Guggenmos et al.,Opt. Express 19(3), 1767 (2011).

59A. S. Morlens, P. Balcou, P. Zeitoun, C. Valentin et al., Opt. Lett. 30(12),1554 (2005).

60M. Chini, K. Zhao, and Z. Chang, Nat. Photonics 8, 178 (2014).61M. Schultze, E. Goulielmakis, M. Uiberacker, M. Hofstetter, J. Kim

et al., New J. Phys. 9, 243 (2007).62M. Suman, G. Monaco, M. G. Pelizzo, D. L. Windt, and P. Nicolosi, Opt.

Express 17(10), 7922 (2009).63M. Hofstetter, A. Aquila, M. Schultze, A. Guggenmos, S. Yang et al.,New J. Phys. 13, 063038 (2011).

64C. Bourassin-Bouchet, S. de Rossi, J. Wang, E. Meltchakov, A. Giglia

et al., New J. Phys. 14, 023040 (2012).65S. de Rossi, C. Bourassin-Bouchet, E. Meltchakov, A. Giglia, S.

Nannarone et al., Opt. Lett. 40, 4412 (2015).66K. T. Kim, C. M. Kim, M. G. Baik, G. Umesh, and C. H. Nam, Phys.

Rev. A 69(5), 051805 (2004).67A. Rack, H. Riesemeierc, P. Vagovic, T. Weitkamp, F. Siewert et al., AIPConf. Proc. 1234, 740 (2010).

68A. Kazimirov, D. M. Smilgies, Q. Shen, X. Xiao, Q. Hao et al.,J. Synchrotron Radiat. 13, 204 (2006).

69Y. Platonov, V. Martynov, A. Kazimirov, and B. Lai, Proc. SPIE 5537,161 (2004).

70C. Morawe, J. C. Peffen, E. Ziegler, and A. K. Freund, Proc. SPIE 4145,61 (2001).

71See www.axo-dresden.de for Applied X-ray Optics (AXO).72S. Yulin, T. Feigl, N. Benoit, and N. Kaiser, Proc. SPIE 5645, 289

(2005).73Y. C. Lim, T. Westerwalbesloh, A. Aschentrup, O. Wehmeyer, G. Haindl

et al., Appl. Phys. A 72(1), 121 (2001).74B. R. Sandel, A. L. Broadfoot, C. C. Curtis, R. A. King, T. C. Stone et al.,Space Sci. Rev. 91(1), 197 (2000).

75R. Soufli, E. Spiller, D. L. Windt, J. C. Robinson, E. M. Gullikson et al.,Proc. SPIE 8443, 84433C (2012).

76M. Vidal-Dasilva, M. Fern�andez-Perea, J. A. M�endez, J. A. Azn�arez, andJ. I. Larruquert, Opt. Express 17(25), 22773 (2009).

77Th. Westerwalbesloh, U. Kleineberg, Y. C. Lim, P. Siffalovic, M.

Drescher et al., Ultrafast Optics IV 95, 229 (2004).

011104-12 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 13: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

78Q. Zhang, K. Zhao, J. Li, M. Chini, Y. Cheng et al., Opt. Lett. 39(12),3670 (2014).

79V. Y. Banine, K. N. Koshelev, and G. H. P. M. Swinkels, J. Phys. D:

Appl. Phys. 44, 253001 (2011).80T. A. Johnson, R. Soufli, E. M. Gullikson, and M. Clift, Proc. SPIE 5538,119 (2004).

81B. M. Lairson, D. Grove, R. Smith, H. Lopez, T. Ayers, B. L. Gantner,

and M. N. Beasley, Proc. SPIE 7732, 77322G (2010).82N. I. Chkhalo, N. Drozdov Mikhail, E. B. Kluenkov, A. Ya Lopatin, V. I.

Luchin et al., J. Micro/Nanolith. MEMS MOEMS 11(2), 021115 (2012).83S. A. Gusev, M. N. Drozdov, E. B. Kluenkov, A. Ya Lopatin, V. I.

Luchin et al., J. Surf. Invest.: X-Ray 6(3), 482 (2012).84C. J. Stolz, Proc. SPIE 7842, 784206 (2010).85Y. Kanamori, M. Sasaki, and K. Hane, Opt. Lett. 24(20), 1422 (1999).86A. Bahrami, S. Mohammadnejad, N. J. Abkenar, and S.

Soleimaninezhad, Int. J. Renewable Energy Res. 3(1), 79 (2013).87S. Chattopadhyay, Y. F. Huang, Y. J. Jen, A. Ganguly, K. H. Chen et al.,Mater. Sci. Eng. R 69(1–3), 1 (2010).

88M. M. J. W. Herpen, R. W. E. Kruijs, D. J. W. Klunder, E. Louis, and A.

Yakshin, Opt. Lett. 33(6), 560 (2008).89S. P. Huber, R. W. E. van de Kruijs, A. E. Yakshin, E. Zoethout, K. J.

Boller et al., Opt. Express 22(1), 490 (2014).90R. Moors, V. Banine, G. Swinkels, and F. Wortel, J. Micro/Nanolithogr.

MEMS MOEMS 11(2), 021102 (2012).91W. A. Soer, M. J. J. Jak, A. M. Yakunin, M. M. J. W. Herpen, and V. Y.

Banine, Proc. SPIE 7271, 72712Y (2009).92C. Mbanaso, G. Denbeaux, F. Goodwin, A. Hershcovitch, and A. Antohe,

SPIE Newsroom (2011).93V. V. Medvedev, A. J. R. van den Boogaard, R. van der Meer, A. E.

Yakshin, E. Louis et al., Opt. Express 21(14), 16964 (2013).94M. Trost, S. Schr€oder, A. Duparr�e, S. Risse, T. Feigl et al., Opt. Express21(23), 27852 (2013).

95M. Kriese, Y. Platonov, B. Ehlers, L. Jiang, J. Rodriguez et al., Proc.SPIE 9048, 90483C (2014).

96W. A. Soer, P. Gawlitza, M. M. J. W. van Herpen, M. J. J. Jak, S. Braun

et al., Opt. Lett. 34(23), 3680 (2009).97V. V. Medvedev, A. E. Yakshin, R. W. E. van de Kruijs, V. M. Krivtsun,

A. M. Yakunin et al., Opt. Lett. 36(17), 3344 (2011).98V. V. Medvedev, A. E. Yakshin, R. W. E. van de Kruijs, V. M. Krivtsun,

A. M. Yakunin et al., Opt. Lett. 37(7), 1169 (2012).99V. V. Medvedev, R. W. E. van de Kruijs, A. E. Yakshin, N. N. Novikova,

V. M. Krivtsun et al., Appl. Phys. Lett. 103, 221114 (2013).100R. A. M. Keski-Kuha, Appl. Opt. 23(20), 3534 (1984).101I. McNulty, Y. P. Feng, S. P. Frigo, and T. M. Mooney, Proc. SPIE 3150,

195 (1997).102J. C. Rife, T. W. Barbee, W. R. Hunter, and R. G. Cruddace, Phys. Scr.

41, 418 (1990).103J. F. Seely, M. P. Kowalski, R. G. Cruddace, K. F. Heidemann, U.

Heinzmann et al., Appl. Opt. 36(31), 8206 (1997).104B. Lagarde, F. Choueikani, B. Capitanio, P. Ohresser, E. Meltchakov

et al., J. Phys.: Conf. Ser. 425, 152012 (2013).105F. Choueikani, B. Lagarde, F. Delmotte, M. Krumrey, F. Bridou et al.,

Opt. Lett. 39(7), 2141 (2014).106M. Ishino, P. A. Heimann, H. Sasai, M. Hatayama, H. Takenaka et al.,

Appl. Opt. 45(26), 6741 (2006).107M. Koike, M. Ishino, T. Imazono, K. Sano, H. Sasai et al., Spectrochim.

Acta, Part B 64(8), 756 (2009).108M. P. Kowalski, R. G. Cruddace, K. F. Heidemann, R. Lenke, H. Kierey

et al., Opt. Lett. 29(24), 2914 (2004).109M. Neviere, J. Opt. Soc. Am. A 8(9), 1468 (1991).110M. P. Kowalski, F. B. Berendse, T. W. Barbee. Jr, W. R. Hunter, K. F.

Heidemann et al., Proc. SPIE 6266, 62660W (2006).111M. P. Kowalski, K. S. Wood, M. A. Barstow, and R. G. Cruddace, Proc.

SPIE 7732, 77322E (2010).112M. P. Kowalski, J. F. Seely, W. R. Hunter, J. C. Rife, T. W. Barbee et al.,

Appl. Opt. 32(13), 2422 (1993).113A. E. Franke, M. L. Schattenburg, E. M. Gullikson, J. Cottam, S. M.

Kahn et al., J. Vac. Sci. Technol., B 15(6), 2940 (1997).114J. H. Underwood, C. Khan Malek, E. M. Gullikson, and M. Krumrey,

Rev. Sci. Instrum. 66(2), 2147 (1995).115D. L. Voronov, M. Ahn, E. H. Anderson, R. Cambie, C. H. Chang et al.,

Proc. SPIE 7802, 780207 (2010).116L. J. P. Ament, M. Veenendaal, T. P. Devereaux, J. P. Hill, and J. Brink,

Rev. Mod. Phys. 83, 705 (2011).

117D. Mills, H. Padmore et al., See http://science.energy.gov/~/media/bes/pdf/

reports/files/X-ray_Optics_for_BES_Light_Source_Facilities_rpt.pdf for

Report of the Basic Energy Sciences Workshop on X-ray Optics for BES

Light Source Facilities, U.S. Department of Energy, Potomac, USA

(2013).118See http://www.phys.lsu.edu/plummer/downloads/ewp_workshop_X-

Ray_Report.pdf for Workshop on “Soft X-Ray Science in the Next

Millennium: The Future of Photon-In/Photon-Out Experiments,”

Tennessee, USA (2000).119D. L. Voronov, E. H. Anderson, E. M. Gullikson, F. Salmassi, T.

Warwick et al., Opt. Lett. 37(10), 1628 (2012).120D. L. Voronov, P. Gawlitza, R. Cambie, S. Dhuey, E. M. Gullikson et al.,

J. Appl. Phys. 111(9), 093521 (2012).121D. L. Voronov, E. M. Gullikson, F. Salmassi, T. Warwick, and H. A.

Padmore, Opt. Lett. 39(11), 3157 (2014).122D. L. Voronov, E. H. Anderson, R. Cambie, S. Cabrini, S. D. Dhuey

et al., Opt. Express 19(7), 6320 (2011).123D. L. Voronov, L. I. Goray, T. Warwick, V. V. Yashchuk, and H. A.

Padmore, Opt. Express 23(4), 4771 (2015).124D. G. Stearns, Appl. Phys. Lett. 62(15), 1745 (1993).125D. L. Voronov, E. H. Anderson, E. M. Gullikson, F. Salmassi, T.

Warwick et al., Appl. Surf. Sci. 284, 575 (2013).126A. J. R. van den Boogaard, E. Louis, E. Zoethout, S. M€ullender, and F.

Bijkerk, J. Vac. Sci. Technol., A 28(4), 552 (2010).127X. Yang, I. V. Kozhevnikov, Q. Huang, and Z. Wang, J. Opt. Soc. Am. B

32(4), 506 (2015).128X. Yang, I. V. Kozhevnikov, Q. Huang, and H. Wang, Opt. Express

24(13), 15079 (2016).129A. V. Vinogradov, Proc. SPIE 2515, 22 (1995).130S. Bajt, H. N. Chapman, A. Aquila, and E. Gullikson, J. Opt. Soc. Am. A

29(3), 216 (2012).131R. M. Fechtchenkoa, A. V. Vinogradova, and D. L. Voronov, Opt.

Commun. 210, 179 (2002).132D. Hambach, G. Schneider, and E. M. Gullikson, Opt. Lett. 26(15), 1200

(2001).133E. A. Bugaev, R. M. Feshchenko, A. V. Vinogradov, D. L. Voronov, V.

A. Tokarev et al., Proc. SPIE 5918, 591817 (2005).134V. L. Kantsyrev, A. S. Safronova, K. M. Williamson, P. Wilcox, N. D.

Ouart et al., Rev. Sci. Instrum. 79(10), 10F542 (2008).135H. C. Kang, G. B. Stephenson, C. Liu, R. Conley, A. T. Macrander et al.,

Appl. Phys. Lett. 86(15), 151109 (2005).136Q. Huang, H. Li, J. Zhu, X. Wang, Z. Wang et al., Chin. Opt. Lett. 10(9),

090501 (2012).137H. Wen, D. E. Wolfe, A. A. Gomella, H. Miao, X. Xiao et al., Rev. Sci.

Instrum. 84(1), 013706 (2013).138D. L. Voronov, R. Cambie, E. M. Gullikson, V. V. Yashchuk, H. A.

Padmore et al., Proc. SPIE 7077, 707708 (2008).139M. Prasciolu, A. Haase, F. Scholze, H. N. Chapman, and S. Bajt, Opt.

Express 23(12), 15195 (2015).140A. Sammar, M. Ouahabi, R. Barchewitz, J. M. Andr�e, R. Rivoira et al.,

J. Opt. 24(1), 37 (1993).141V. V. Martynov and Y. Platonov, Rev. Sci. Instrum. 73(3), 1551

(2002).142V. V. Martynov, H. A. Padmore, A. Yakshin, and Y. A. Agafonov, Proc.

SPIE 3150, 2 (1997).143J. M. Andr�e, R. Benbalagh, R. Barchewitz, M. F. Ravet, A. Raynal et al.,

Appl. Opt. 41(1), 239 (2002).144R. Benbalagh, J. M. Andr�e, R. Barchewitz, P. Jonnard, and G. Juli�e, Nucl.

Instrum. Methods Phys. Res. A 541(3), 590 (2005).145P. Jonnard, K. Le Guen, J. M. Andr�e, J. R. Coudevylleb, and N. Isac, X-

Ray Spectrom. 41(5), 308 (2012).146I. V. Kozhevnikov, R. van der Meer, H. M. J. Bastiaens, K. J. Boller, and

F. Bijkerk, Opt. Express 18(15), 16234 (2010).147I. V. Kozhevnikov, R. van der Meer, H. M. J. Bastiaens, K. J. Boller, and

F. Bijkerk, Opt. Express 19(10), 9172 (2011).148R. Van der Meer, B. Krishnan, I. V. Kozhevnikov, M. J. De Boer, and B.

Vratzov, Proc. SPIE 8139, 81390Q (2011).149R. Van der Meer, I. Kozhevnikov, B. Krishnan, J. Huskens, P. Hegeman

et al., AIP Adv. 3, 012103 (2013).150R. Van der Meer, Ph.D. thesis, University of Twente, 2013.151R. Van der Meer, I. V. Kozhevnikov, H. M. J. Bastiaens, K. J. Boller, and

F. Bijkerk, Opt. Express 21(11), 13105 (2013).152P. P. Naulleau, J. A. Liddle, E. H. Anderson, E. M. Gullikson, P.

Mirkarimi et al., Opt. Commun. 229, 109 (2004).

011104-13 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)

Page 14: APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring … · APPLIED PHYSICS REVIEWS—FOCUSED REVIEW Spectral tailoring of nanoscale EUVand soft x-ray multilayer optics Qiushi

153H. Kierey, K. Heidemann, B. Kleemann, R. Winters, W. Egle et al., Proc.SPIE 5193, 70 (2004).

154L. I. Goray, J. Surf. Invest.: X-ray, Synchrotron Neutron Tech. 1(3), 362(2007).

155P. P. Naulleaua, C. Sweatt, and D. A. Tichenor, Opt. Commun. 214, 31(2002).

156J. A. Liddle, F. Salmassi, P. P. Naulleau, and E. M. Gullikson, J. Vac.

Sci. Technol., B 21(6), 2980 (2003).157A. J. R. Van den Boogaard, E. Louis, F. A. van Goor, and F. Bijkerk,

Proc. SPIE 7271(2), 72713B (2009).158A. J. R. Van den Boogaard, F. A. van Goor, E. Louis, and F. Bijkerk,

Opt. Lett. 37(2), 160 (2012).159K. M. Nowak, T. Ohta, J. Fujimoto, H. Mizoguchi, and A. Endo, Towards

20 kW CO2 laser system for Sn-LPP EUV source—Review of develop-

ments at Gigaphoton. EUVL Workshop, Dublin (2011).160M. Bayraktar, F. A. van Goor, K. J. Boller, and F. Bijkerk, Opt. Express

22(7), 8633 (2014).161J. Fonollosa, R. Rubio, S. Hartwig, S. Marco, J. Santander et al., Sens.

Actuators, B 132(2), 498 (2008).162Q. Huang, D. M. Paardekooper, E. Zoethout, V. V. Medvedev, R. W. E.

van de Kruijs et al., Opt. Lett. 39(5), 1185 (2014).163Q. Huang, M. Boer, J. Barreaux, R. V. D. Meer, E. Louis et al., Opt.

Express 22(16), 19365 (2014).164M. Eriksson, J. F. van der Veen, and C. Quitmann, J. Synchrotron Radiat.

21(5), 837 (2014).165J. N. Galayda, J. Arthur, F. R. Daniel, and W. E. White, J. Opt. Soc. Am.

B 27(11), B106 (2010).166E. Allaria, R. Appio, L. Badano, W. A. Barletta, S. Bassanese et al., Nat.

Photonics 6, 699 (2012).167R. A. Bartels, A. Paul, H. Green, H. C. Kapteyn, M. M. Murnane et al.,

Science 297(5580), 376 (2002).168J. Seres, E. Seres, A. J. Verhoef, G. Tempea, C. Streli et al., Nature 433,

596 (2005).169G. Geloni, E. Saldin, L. Samoylova, E. Schneidmiller, H. Sinn et al., New

J. Phys. 12(3), 035021 (2010).170M. Osterhoff, C. Morawe, C. Ferrero, and J. P. Guigay, Opt. Lett. 38(23),

5126 (2013).

171S. Bajt, H. N. Chapman, E. Spiller, J. B. Alameda, B. W. Woods et al.,Appl. Opt. 47(10), 1673 (2008).

172A. Rack, T. Weitkamp, M. Riotte, D. Grigoriev, T. Rack et al.,J. Synchrotron Radiat. 17(4), 496 (2010).

173A. M. Weiner, Opt. Commun. 284, 3669 (2011).174M. Mero, F. Frassetto, P. Villoresi, L. Poletto, and K. Varj�u, Opt. Express

19(23), 23420 (2011).175A. Aquila, M. Drescher, T. Laarmann, M. Barthelmeß, H. N. Chapman

et al., Int. J. Opt. 2011, 417075 (2011).176H. Ade and H. Stoll, Nat. Mater. 8(4), 281 (2009).177P. Guttmann, C. Bittencourt, S. Rehbein, P. Umek, X. Ke et al., Nat.

Photonics 6, 25 (2012).178H. N. Chapman, A. Barty, M. J. Bogan, S. Boutet, M. Frank et al., Nat.

Phys. 2, 839 (2006).179A. Barty, J. K€upper, and H. N. Chapman, Annu. Rev. Phys. Chem. 64,

415 (2013).180A. L. Cavalieri, N. M€uller, Th. Uphues, V. S. Yakovlev, A. Baltu�ska

et al., Nature 449, 1029 (2007).181C. Brif, R. Chakrabarti, and H. Rabitz, New J. Phys. 12, 075008

(2010).182P. Nuernberger, G. Vogt, T. Brixner, and G. Gerber, Phys. Chem. Chem.

Phys. 9(20), 2470 (2007).183T. E. Madeya, N. S. Faradzheva, B. V. Yakshinskiya, and N. V. Edwards,

Appl. Surf. Sci. 253(4), 1691 (2006).184J. B. Kortright, S. Joksch, and E. Ziegler, J. Appl. Phys. 69(1), 168 (1991).185I. V. Kozhevnikov, A. V. Buzmakov, F. Siewert, K. Tiedtke, M. Stormer

et al., J. Synchrotron. Radiat. 23(1), 78 (2016).186A. R. Khorsand, R. Sobierajski, E. Louis, S. Bruijn, E. D. van Hattum

et al., Opt. Express 18(2), 700 (2010).187R. Sobierajski, S. Bruijn, A. R. Khorsand, E. Louis, R. W. E. van de

Kruijs et al., Opt. Express 19(1), 193 (2011).188R. A. Loch, R. Sobierajski, E. Louis, J. Bosgra, and F. Bijkerk, Opt.

Express 20(27), 28200 (2012).189J. Gaudin, C. Ozkan, J. Chalupsk�y, S. Bajt, T. Burian et al., Opt. Lett.

37(15), 3033 (2012).190T. Koyama, H. Yumoto, Y. Senba, K. Tono, T. Sato et al., Opt. Express

21(13), 15382 (2013).

011104-14 Huang et al. Appl. Phys. Rev. 4, 011104 (2017)