athena_users

430
SILVACO International 4701 Patrick Henry Drive, Bldg. 1 November 1998 Santa Clara, CA 94054 Telephone (408) 567-1000 FAX: (408) 496-6080 ATHENA User’s Manual 2D PROCESS SIMULATION SOFTWARE

Upload: api-3712372

Post on 16-Nov-2014

311 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: athena_users

SILVACO International4701 Patrick Henry Drive, Bldg. 1 November 1998Santa Clara, CA 94054 Telephone (408) 567-1000FAX: (408) 496-6080

ATHENA User’s Manual 2D PROCESS SIMULATION SOFTWARE

Page 2: athena_users

ATHENA User’s Manual

Copyright 1998SILVACO International4701 Patrick Henry Drive, Building 1Santa Clara, CA 95054

Phone: (408) 567-1000FAX: (408) 496-6080

Page 3: athena_users

SILVACO International iii

The information contained in this document is subject to change without notice.

SILVACO International MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THISMATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTY OF FITNESSFOR A PARTICULAR PURPOSE.

SILVACO International Inc. shall not be liable for errors contained herein or for incidental orconsequential damages in connection with the furnishing, performance, or use of this material.

This document contains proprietary information, which is protected by copyright. All rights are reserved.No part of this document may be photocopied, reproduced, or translated into another language withoutthe prior written consent of SILVACO International.

Simulation Standard, TCADDrivenCAD, Virtual Wafer Fab, Analog Alliance, Legacy, ATHENA, ATLAS,FASTATLAS, ODIN, VYPER, CRUSADE, RESILIENCE, DISCOVERY, CELEBRITY, Production Tools,Automation Tools, Interactive Tools, TonyPlot, DeckBuild, DevEdit, Interpreter, ATHENA Interpreter,ATLAS Interpreter, Circuit Optimizer, MaskViews, PSTATS, SSuprem3, SSuprem4, Elite, Optolith,Flash, Silicides, SPDB, CMP, MC Deposit, MC Implant, Process Adaptive Meshing, S-Pisces, Blaze,Device3D, Thermal3D, Interconnect3D, Blaze 3D, Giga3D, MixedMode3D, TFT, Luminous, Giga,MixedMode, ESD, Laser, FastBlaze, FastMixedMode, FastGiga, FastNoise, MOCASIM, UTMOST,UTMOST II, UTMOST III, UTMOST IV, PROMOST, SPAYN, SmartSpice, MixSim, Twister, FastSpice,SmartLib, SDDL, EXACT, CLEVER, STELLAR, HIPEX, Scholar, SIREN, Escort, Starlet, Expert,Savage, Scout, Guardian, and Envoy – are trademarks of SILVACO International.

© 1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998 by SILVACO International, Inc.

We welcome your evaluation of this manual. Your comments and suggestions help us to improve ourpublications. If you have any responses to the questions below, please let us know. Write yourobservations, complaints, bug reports, suggestions or comments below.

• Is this manual technically accurate?

• Are the concepts and wording easy to understand?

• Is the size of this manual convenient for you?

• Is the manual's arrangement convenient for you?

• Do you consider this manual to be easily readable?

• Please add any additional relevant comments.

Please FAX your comments to SILVACO International, Attention Technical Publications at (408) 496-6080.

Notice

Page 4: athena_users

iv SILVACO International

Intended Audience

The information presented is based on the assumptions that the reader is (1) familiar with the basicterminology of semiconductor processing and semiconductor device operation, and (2) understands basicoperation of the computer hardware and operation system being employed.

Introduction

The ATHENA Two-Dimensional Process Simulation Framework is a comprehensive software tool formodeling semiconductor fabrication processes. ATHENA provides facilities to perform efficient simulationanalysis that substitutes for costly real world experimentation. ATHENA combines high temperatureprocess modeling such as impurity diffusion and oxidation, topography simulation, and lithographysimulation in a single, easy to use framework.

Editions are recorded below under History, and are individually listed as Editions 1 through 6. The basicissue of the manual is Edition 1. The date is also noted. A completely revised manual results in a newedition.

History• Edition 1 - July 1, 1993

• Edition 2 - March 1, 1994

• Edition 3 - June 1, 1994

• Edition 4 - October 30, 1997

• Edition 5 - April 30, 1997

• Edition 6 - November 30, 1998

Reader Comment Sheet

Page 5: athena_users

Table of Contents

SILVACO International v

Chapter 1:Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1

ATHENA User’s Manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1Overview Of ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1

How To Use This Manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1Organization Of This Manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2Technical Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2

Features and Capabilities Of ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3Using ATHENA With Other Silvaco Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4

The Value Of Physically-Based Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5

Chapter 2:Tutorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2

Getting Started . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1Running ATHENA Under DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1Loading And Running ATHENA Standard Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1

Online Help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3

Creating a Device Structure Using ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4Overview of the Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4ATHENA Input/Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4

Input Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4Output Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5Standard Structure File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5

Creating An Initial Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5Defining Initial Rectangular Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5Defining the Initial Substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11Simple Film Depositions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14Simple Geometrical Etches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18Reducing Grid Points in Non Essential Areas using the Relax Parameter . . . . . . . . . . . . . . . . . . . . . . . . 2-20Reflecting a Structure in the “Y” Plane using the “Mirror” Parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24Specification of Electrodes in ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24Saving a Structure File for Plotting or Initializing an ATHENA Input file for Further Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27

CHOOSING MODELS IN SSUPREM4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29Implantation, Oxidation, RTA, Diffusion and Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29Why is There More Than One Model for Each Process? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29Choosing an Appropriate Model Using the Method Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29When to Change the METHOD Statement During the Process Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30 Modeling the Correct Substrate Depth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-31

Simulating Ion Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-33Simulating Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-36

Important Notes for Simulating Rapid Thermal Anneals (RTA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-38Simulating Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-39

Page 6: athena_users

ATHENA User’s Manual

vi SILVACO International

Simulating the Epitaxy Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-40Calibrating ATHENA for a Typical MOSFET Process Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-42

Input Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-42Tuning Oxidation Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-43Tuning Implantation Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45Tuning Diffusion Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45PMOS Tuning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-46Related Issues on using the Device Simulator ATLAS for MOS Process Tuning . . . . . . . . . . . . . . . . . . . 2-46Checking the Predictive Powers of Tuned Process Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-47Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-47

Calibrating ATHENA for a Typical Bipolar Process Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-47 Tuning Base and Collector Currents – All Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49Tuning the Base Current – All Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49Tuning the Collector Current – All Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49The Base Current Profile – Medium Injection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-50The Base Current Profile – Low Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51Conclusions: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51

Using Advanced Features of ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52Structure Manipulation Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52

Using the Structure FLIP Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52Using the Stretch Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52Using ATHENA In 1D Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-53

Deposition and Wet/Dry Etching using the Physical Models in ATHENA/ELITE . . . . . . . . . . . . . . . . . . . . . . . 2-54Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54Modifying ATHENA/ELITE Default Machines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-55Defining ELITE Deposition Machines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-56Defining ELITE Etch Machines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58Using A Specified Etch Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-59

MaskViews Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-60Defining Initial Rectangular Grid Using MaskViews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-60Using MaskViews for Generating Masks in ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-66

Using ATHENA/OPTOLITH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68Creating A Mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68

MaskViews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69Mask Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71

Illumination System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71The Projection System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-73Imaging Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-74Defining Material Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-77Structure Exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-77

Post Exposure Bake . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-78Development . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-79Post Development Bake . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-79

CD Extraction, Smile Plots, And Looping Procedures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-79

Adaptive Meshing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-80Introduction to Mesh Adaption . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-80

Adaption During Ion Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81

Page 7: athena_users

SILVACO International vii

Table of Contents

Adaption During A Heat Cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81Adaptive Meshing Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-83The Mechanics of the Base Mesh Formation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-84

Interface Mesh Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-84

Modes of Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90Interactive Mode With DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90Batch Mode With DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90No Windows Batch Mode With DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90Running ATHENA inside DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91

Running ATHENA In Standalone Mode (Without Deckbuild) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91

Frequently Asked Questions and Answers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92ATHENA TOPICS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92ATHENA/SSUPREM4 Topics 2-93 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

Chapter 3:SSUPREM4 Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1

Diffusion Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1Pair Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1Mathematical Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3

Generic Equations: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4The Fermi Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5

Impurity Segregation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7The Two Dimensional Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8

Dopants. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8The Fully Coupled Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14

Other Diffusion Models and Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15

Electrical Deactivation and Clustering Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18Time Step Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-19Materials and Impurities Handled by ILFEM Numerics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20CNET High Concentration Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21

Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21Model Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22

Neutrality Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24Effective Diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25Percolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28Pairing Coefficients under the CNET model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-29

Grain-based Polysilicon Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-30Two Stream Polysilicon Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-30Grain Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-31

Oxidation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33Numerical Model Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-35

Compress Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-35Viscous Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-37Linear Rate Constant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-39

Page 8: athena_users

ATHENA User’s Manual

viii SILVACO International

Parabolic Rate Constant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46Mixed Ambient Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-47

Analytical Oxidation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48Recommendations for Successful Oxidation Simulations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48

Growing Thin Oxides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48Implantation Through Thermally-Grown Oxides and Dopant Loss During Subsequent Annealing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-49Oxidation Enhanced Diffusion (OED) / Oxidation Retarded Diffusion (ORD) . . . . . . . . . . . . . . . . . . . . . . 3-50

Silicidation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-53Ion Implantation Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55

Analytic Implant Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55Gaussian Implant Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55Pearson Implant Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55Dual Pearson Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-57SIMS-Verified Dual Pearson (SVDP) Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-58

Multi-Layer Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-59DOSE.MATCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-59RP.SCALE and MAX.SCALE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-60MOM.SCALE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-60

Creating Two-Dimensional Implant Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-61Convolution Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-61Depth-Independent Lateral Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62Gaussian Lateral Distribution Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62Specification of Lateral Standard Deviation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62Parabolic Approximation of Depth-Dependent Lateral Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62Non-Gaussian Lateral Distribution Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-63Specification of Implant Parameters in the Moments Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-64USE of PRINT.MOM for Extraction of Spatial Moments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65

Monte Carlo Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65Nature of the Physical problem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65Method of Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-66Nuclear Stopping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-66Interatomic Potential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-67Electronic Stopping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-68Damage Accumulation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-69Implantation Geometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-70Amorphous Material Monte Carlo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-71Crystalline Material Monte Carlo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72

Ion Implantation Damage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72Plus 1 Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72<311>Cluster Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-73Dislocation Loops Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-73C-Interpreter Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74

Deposition Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74Deposition of Doped Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74Grid Control During Deposit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74

Etching Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-75Epitaxy Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-75

Page 9: athena_users

SILVACO International ix

Table of Contents

Chapter 4:ELITE Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1String Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1Deposition Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2

Conformal Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3CVD Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3Unidirectional Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3Dual Directional Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4Hemispheric Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5Planetary Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6Conical Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8Monte Carlo Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9

Etch Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10Isotropic Etch Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10RIE Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11

Simulation of Incoming Ions and Neutrals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14Calculation of Ion and Neutral Fluxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14Calculation of Polymer Fluxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16Calculation of Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17C-Interpreter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17Surface Movement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18

REFLOW Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18Chemical Mechanical Polish (CMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19

Hard Polish Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19Soft Polish Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20

Chapter 5:OPTOLITH Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1The Imaging Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1Optical System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6

Digitization Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7Computation Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7

The Exposure Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7Photoresist Bake Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9The Development Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9

Dill’s Development Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10Kim’s Development Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10Mack’s Development Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11Trefonas’ Development Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11Hirai’s Development Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11

Page 10: athena_users

ATHENA User’s Manual

x SILVACO International

Chapter 6:FLASH Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1Diffusion Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1

Default Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1Poisson Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2GaAs Diffusion Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2

Donor Type Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2Acceptor Diffusion Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-3

Ion Implantation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-3

Chapter 7:Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1

Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1Abbreviations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1Continuation Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2Comments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2General Syntax Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2

Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3DeckBuild Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3Command Line Parsing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4

ATHENA Statements List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4Structure Initialization Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4Structure Manipulation Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4Simulation Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5Model Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5Special Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6Post-processing Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6

ABERRATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8

ADAPT.MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10

ADAPT.PAR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-12

ANTIMONY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14

ARSENIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15

Page 11: athena_users

SILVACO International xi

Table of Contents

Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-16

BAKE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17

BASE.MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18

BASE.PAR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19

BORON . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-21

BOUNDARY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22

CLUSTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23

%DEFINE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24

DEPOSIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25

Grid Control Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25Parameters specific to Depositing Doped Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-26Parameters specific to ELITE depositions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-26Conformal Deposition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-26Example Depositing Doped User-defined Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-27Grid Control Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-27ELITE Machine Deposition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-27

DEVELOP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28

DIFFUSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29

Parameter to define the Diffusion Cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29

Page 12: athena_users

ATHENA User’s Manual

xii SILVACO International

Parameters to define the Diffusion Ambient . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29Numerical Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30Parameters related to File Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30Parameter to select Diffusion Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30Parameters used in the C-Interpreter Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30Predeposition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-31Oxidation Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-31Gas Flow Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-31File Output Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-31

DISLOC.LOOP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-32Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-32Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-32Dislocation Loop Generation Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-32

ECHO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33

ELECTRODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34

Metal Region Electrode Definition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34Substrate Definition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34

EPITAXY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35

Doping Related Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35Gridding Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35Deposition Rate Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35Time and Temperature Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-36Non-uniform Grid Control Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-36

ETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37

Parameters used for Geometrical Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37Parameters used only with physical etching in the ELITE module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-38Parameters used only with RIE, WET.ETCH, and PLASMA models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-38Parameters used only with MC.PLASMA model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-38 Simple Geometrical Etch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-39Arbitrary Geometrical Shape Etch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-39Anisotropic Geometrical Etch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-39Physical Etch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-39

EXPOSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-40Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-40Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-40Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-41

EXTRACT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-42

Page 13: athena_users

SILVACO International xiii

Table of Contents

FOREACH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-43Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-43Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-43Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-43

GO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-44Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-44

HELP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-45Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-45Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-45Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-45

ILLUM.FILTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-46Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-46Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-46Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-47

ILLUMINATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-48Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-48Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-48Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-48

IMAGE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-49Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-49Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-49Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-50

IMPLANT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-51Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-51Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-51

Model Selection Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-51Parameters applicable only for analytical implant models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-52Parameters Applicable for Both Monte Carlo and BCA Implant Models. . . . . . . . . . . . . . . . . . . . . . . . . . 7-53Parameters applicable only for Monte Carlo implant model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-53Analytical Implant Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54SVDP Boron Implant Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54Monte Carlo Implant Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54Crystalline Monte Carlo Implant Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54Implant Damage Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54

IMPURITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-55Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-55Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-55

Diffusion Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-56Activation Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-56Interface Transport Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-56Polysilicon Diffusion Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-57CNET Diffusion Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-57

Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-57

INITIALIZE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-59Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-59

Material Related Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-59Dopant Related Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-59Dimensionality of Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-60

Page 14: athena_users

ATHENA User’s Manual

xiv SILVACO International

Initialize from a File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-60Grid and Structure Related Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-60Example starting from a file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-60Example using an oxide substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-61Initialization with Adaptive Mesh Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-61

INTERSTITIAL, VACANCY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-62Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-62

Defect Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-63Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-63Defect Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-63Defect Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-63CNET Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-64

Basic Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-64Defect Injection during Oxidation Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-64Surface Recombination Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-65CNET Model Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-65

LAYOUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-66Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-66Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-66Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-66

LINE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-67Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-67Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-67Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-67

MASK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-69Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-69Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-69Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-69

MATERIAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-70Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-70Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-70

Parameters related to Material Stress and Viscosity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-70Parameters related to Material structure during IMPLANT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-71Parameters related to the Polysilicon Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-71Parameter related to REFLOW calculations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72Parameters related to the CNET Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72Parameters related to the grid control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72NO.FLIP specifies that triangle flipping procedure should not be applied to the specified material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72

Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72

METHOD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-73Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-73Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-73

Parameters related to DIFFUSION models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-74Parameters related to OXIDATION models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-74Parameters Related to Timestep Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-75Parameters related to Solution Numerics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-76Parameters related to Grid Control during Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-77

Page 15: athena_users

SILVACO International xv

Table of Contents

Parameters related to Grid Control during ETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-78Parameters used in the Adaptive Meshing Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-78Parameters related to the CNET models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-78Parameters related to the ILFEM numerical method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-79

Example setting tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-79Example setting numerical techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-79Example setting diffusion model for power devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-80Example setting the CNET Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-80Example setting diffusion models for RTA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-80Example setting and disabling the ILFEM method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-80

MOMENTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81

Parameters used to select Moment Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81Implant Definition Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81Parameters used for specification of Spacial Moments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-82Reset Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-82Implant Damage Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-82

Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-83

OPTICAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-84Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-84Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-84Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-84

OPTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-85Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-85Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-85Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-85

OXIDE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-86Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-86Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-86

Oxide Growth Rate Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-86Parameters related to Grid Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-88Parameters related to the Analytical Oxidation Models (ERF*) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-89

Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-89

PAUSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-90PHOSPHORUS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-91

Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-91Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-91Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-92

POLISH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-93Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-93Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-93Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-93

PRINT.1D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-94Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-94Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-94Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-95

Page 16: athena_users

ATHENA User’s Manual

xvi SILVACO International

PRINTF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-96PROFILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-97

Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-97Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-97

PROJECTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-99Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-99Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-99Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-99

PUPIL.FILTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-100Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-100Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-100

RATE.DEPO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-101Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-101Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-101Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-102

RATE.DEVELOP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-103 Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-103Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-103Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-104

RATE.DOPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-105Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-105Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-105

RATE.ETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-106Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-106Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-106

Parameters used for all models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-106Parameters used for RIE and WET.ETCH models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 -107 Parameters used for Plasma Etch Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-107Parameters used for Monte Carlo Plasma Etch Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-108

RATE.POLISH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-109Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-109Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-109Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-109

REGION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-111Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-111Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-111Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-111

RELAX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-113Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-113Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-113Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-113

SELECT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-114Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-114Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-114Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-115

SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117

Page 17: athena_users

SILVACO International xvii

Table of Contents

Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117Job Execution Option Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117

Numerical Variable Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117String Variable Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117

SILICIDE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-119Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-119Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-119Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-119

SOURCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-120Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-120Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-120Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-120

STRESS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-121Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-121Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-121Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-121

STRETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-122Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-122Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-122Stretch Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-122

STRIP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-124Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-124Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-124Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-124

STRUCTURE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-125Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-125Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-125Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-125

SYSTEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-127Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-127

TONYPLOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-128Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-128

TRAP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-129Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-129Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-129Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-129

%UNDEF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-130Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-130Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-130Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-130

UNSET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-131Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-131Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-131Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-131

VACANCY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-132

Page 18: athena_users

ATHENA User’s Manual

xviii SILVACO International

Appendix A:C-Interpreter Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .A-1

C-Interpreter Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1Known Bugs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-4

Appendix B:Default Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .B-1

IMPORTANT NOTE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1Oxidation Rate Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1

Dry Ambient For <111> Orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1Wet Ambient for <111> Orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1Orientation Factors For Linear Coefficients (both Ambients) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1Pressure Dependence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2Chlorine Dependence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2Doping Dependence Of Oxidation Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-3Coefficients for the Analytical Guillemot Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-3Numerical Oxidation Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-3Stress-dependent Growth Model Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4Mechanical Parameters For Stress Calculations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4Linear Coefficients Of Thermal Expansion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4Volume Expansion Ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4

Impurity Diffusion Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5Impurity Segregation Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6Interface Transport Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6Solid Solubility In Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6Point Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7Defect Interface Recombination Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8Defect Growth Injection Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9Material Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9Silicide/Metal Surface Reaction Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9Defaults For The Solution Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-10Poly Diffusion Model Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-10

Poly Silicon Material Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-10

Chapter C:Hints and Tips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .C-1

Chapter D:ATHENA Version History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D-1

ATHENA Version History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1ATHENA Version 4.5.0.R Release Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1

Implant Simulation Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1Diffusion Simulation Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1ELITE Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2Generic ATHENA Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2

Page 19: athena_users

SILVACO International xix

Table of Contents

ATHENA Version 4.0.0.R Release Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2Diffusion Simulation Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2Gridding Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-3Implant Simulation Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-3

ELITE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-5OPTOLITH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-5ATHENA Version 3.0.1.R Release Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-5

Chemical Mechanical Polish . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-9

ATHENA Version 2.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-11ATHENA Version 1.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-14SSUPREM4 Version 6.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-14SSUPREM4 Version 5.1.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-15SSUPREM4 Version 5.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-15SSUPREM4 Version 5.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-17Additional SSUPREM4 Changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-18

Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BIB-1

Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Index-1

Page 20: athena_users

ATHENA User’s Manual

xx SILVACO International

[This page intentionally left blank]

Page 21: athena_users

List of Figures

SILVACO International xxi

Caption TitlePage No.

Figure No.

2-1 Main Deckbuild Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-22-2 DeckBuild Examples Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32-3 Commands Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-62-4 ATHENA Mesh Define Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-72-5 View Grid Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-82-6 New Rectangular Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92-6 Inserting New Grid Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92-8 ATHENA Mesh Define Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-102-9 Redefined Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-112-10 Mesh Initialize Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-122-11 Initial Triangular Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-132-12 ATHENA Deposit Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-142-13 Impurity Section of the ATHENA Deposit Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-162-14 Grid Control for Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-172-15 ATHENA Etch Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-182-16 Structure Created by Etch Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-192-17 Arbitrary Etch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-202-18 ATHENA Relax Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-212-19 Spacer Formation using Dry Etch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-222-20 Grids after Various Relax Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-232-21 ATHENA Mirror Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-242-22 ATHENA Reflect Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-252-23 MOSFET Structure with Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-262-24 ATHENA Electrode Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-272-25 ATHENA File I/O Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-282-26 Interstitials can move far into the ] substrate even after a short 10um anneal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32 2-27 Effect on boron diffusion profile when too small a substrate depth is used in the simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-332-28 ATHENA Implant Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-342-29 ATHENA Implant Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-362-30 ATHENA Diffuse Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-372-31 ATHENA Gas Flow Properties menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-392-33 ATHENA Epitaxy Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-412-34 A plot of Threshold Voltage vs. Gate Length for NMOS devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-43

Page 22: athena_users

ATHENA User’s Manual

xxii SILVACO International

Caption TitlePage No.

Figure No.

2-35 A Typical Dependence of Extracted Threshold Voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-442-36 How changing the clust.fact parameter effects the threshold voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-452-37 The effect of changing the mesh spacing at the interface on the simulated drain current. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-472-38 ATHENA Stretch Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-522-39 Using Stretch Function for a MOSFET Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-532-40 Automatic 1D to 2D Conversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-542-41 Use of One Dimensional Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-552-42 ATHENA Deposit Menu with Machine Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-562-43 ATHENA Rate Deposit Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-572-44 ATHENA Rate Etch menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-592-45 ATHENA Parameters to Run the Define Machine Etch Menu Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-602-46 MaskViews Layout Files Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-612-47 MaskViews Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-612-48 Vertical Grid Control Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-622-49 MaskViews: ATHENA Grid Control Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-622-50 ATHENA Cutline Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-632-51 Display Masks Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-632-52 Properties Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-642-53 Properties Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-652-54 ATHENA Mask Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-652-55 MaskViews Files Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-662-56 ATHENA Mask Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-672-57 MaskViews Layers Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-672-58 Using Mask Capability for POLY Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-692-59 MaskViews Properties Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-702-60 OPTOLITH Simulation Control Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-702-61 Layers Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-712-62 Maximum Extent of the Source Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-722-63 Three Different Source Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-722-64 Annular Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-732-65 Projection Pupil . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-742-66 The Image Window can be Placed Anywhere in the XZ Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-752-67 The Computational Window is Always Centered at the Origin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-762-68 Flow Chart of Mesh Adaption Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-812-69 MOSFET Device Mesh Formation Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-852-70 Initial 1D Structure Relationship . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-86

Page 23: athena_users

SILVACO International xxiii

List of Figures

Caption TitlePage No.

Figure No.

2-71 Mesh that is too course leads to Dopant Information Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-872-72 Too Dense Mesh Causes Too Much CPU Time during Subsequent Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-872-73 Large and reasonable Adjacent Triangle Ratios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-882-74 Base Mesh Formation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-882-75 Automatic Base Mesh Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-892-76 Ion Implementation Adaption on New Base Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-893-1 Comparison between experimental and simulated profiles at 900 °C. The experimental results are from References [121,122] and the simulations are performed with the CNET model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-233-2 As in FIgure 3-1 but at 1100 °C. The results from the standard full.cpl are also reported. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-233-3 Resulting Structure from a LOCOS Oxidation step using the COMPRESS Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-373-4 Resulting Structure from a LOCOS Oxidation step using the Stress-Dependent VISCOUS Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-393-5 Silicon Dioxide Thickness versus Time for Different Substrate Orientations and Temperatures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-413-6 Silicon Dioxide Thickness versus Time with Pressure as a Parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-423-7 Silicon Dioxide Thickness Versus Oxidation Time with HCl Percentage and Temperature as Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43 3-8 Ratio versus Doping Concentration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-453-9 Simulated Silicon Dioxide Thickness vs. Doping Concentration for Common Silicon Dopants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-453-10 (a) MOSFET Structure with Proper Gridding in Gate Oxide, (b) MOSFET Structure with Default Grid Spacing in Gate Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-493-11 Comparison of Arsenic Profiles in Silicon with Default Grid Spacing and Improved Grid Spacing in the Growing SiO2 layer. . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-503-12 (a) Boron Concentration Versus Depth (b) Corresponding Interstitial Concentration Versus Depth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-513-13 (a) Antimony Concentration Versus Depth (b) Corresponding Vacancy Concentration Versus Depth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-523-14 The trajectories of the ion (projectile) and the lattice atom (recoil). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-663-15 Implantation geometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-713-16 Cluster Damage Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-744-1 String Model approximation to the Etch Front . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-24-2 Step Profile with a Unidirectional Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4

Page 24: athena_users

ATHENA User’s Manual

xxiv SILVACO International

Caption TitlePage No.

Figure No.

4-3 Step Profile with Dual Source. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-54-4 Step Profile with a Hemispherical Vapor Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-64-5 Illustration of Planetary Evaporator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-74-6 Geometric of Source to Substrate in a Conical Evaporator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-84-7 Deposition and Relaxation Model used in Ballistic Deposition model (MONTE2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-104-8 Segment Point in Case of Isotropic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-114-9 Point Advance due to Directional Influence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-124-10 Regions of Significance of rdir and risc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-124-11 Diagram of Plasma Flux algorithm: (a) including zoom-in of ion reflection models (a & b) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-154-12 Illustration of the Hard Polish Model: Structure before Planarization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-204-13 Illustration of Hard Polish Model: Structure after Planarization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-204-14 Soft Polishing Model: Areas where different components dominate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-225-1 Schematic Diagram of a Generalized Optical System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25-2 The Generated Optical System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6

C-1 Variations in diffusion due to tuning of DAM.FACT parameter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-2C-2 Enhanced diffusion of MOS channel profile. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-2C-3 Simulation of self aligned trench isolation process using

the elite and ssuprem4 modules of athena. SSUPREM4 is used for the LOCOS and trench oxidation. ELITE is used for the trench etch and refill. The interface between ssuprem4 and elite is completely automatic and transparent to the user. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-4

C-4 Simulation of simultaneous dopant diffusion and glass reflow in ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-5C-5 Reflow of a via array . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-6C-6 Threshold voltage vs gate length for various values of implant damage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-8C-7 Threshold voltage vs channel length as a function of KSURF.0 for fixed DAM.FACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-8C-8 Junction depth of an arsenic implant after a fixed diffusion as a function of DAM.FACT and KSURF.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-8C-9 RTA of a 5.0e13 phosphorus implant matched to experimental data in [3]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-10

Page 25: athena_users

SILVACO International xxv

List of Figures

Caption TitlePage No.

Figure No.

C-10 The effect of lower TAU.311.0 is to speed up the diffusion over the initial time period. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-10

C-11 Syntax for extracting analytical implant parameters from a Monte Carlo implant simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-12C-12 Comparison of doping profiles analytical extraction versus Monte Carlo. Analytical implants are run instantaneously whereas Monte Carlo takes up to 30 minutes on Ultrasparc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-12

Page 26: athena_users

ATHENA User’s Manual

xxvi SILVACO International

[This page intentionally left blank]

Page 27: athena_users

SILVACO International xxvii

List of Tables

FigureNo.

Page Table Title No.

2-1 Recommended Method Statements for Typical Processes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-302-2 ATHENA Implant Model Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-352-3 Basic Diffusion and Oxidation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-402-4 Deposition Model Required Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-582-5 Allowable Etch Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-592-6 Summary of Adaptive Meshing Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-833-1 Notational standards in diffusion literature. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33-2 Table of intrinsic pair diffusivities for different pair types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-63-3 System Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-73-4 Parameters for charge statistics and intrinsic point defect concentrations. . . . . . . . . . . . . . . . . . . . . . .3-93-5 Parameters for interstitial traps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-103-6 Parameters for specifying point defect boundary and injection conditions. . . . . . . . . . . . . . . . . . . . . . 3-133-7 The approximate duration of TED at various annealing temperatures. . . . . . . . . . . . . . . . . . . . . . . . . . 3-173-8 Range of Validity of the SVDP Model in ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-587-1 Types of Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17-2 Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37-3 Aberration Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-87-4 Select Operator Variables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1147-5 Select Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-115B-1 Thin oxide coefficients (only for dry ambient) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2B-2 Chlorine dependence of dry coefficients for three temperatures:

900, 1000, 1100ºC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2B-3 These parameters can be accessed by the MATERIAL statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4B-4 Impurity Diffusion Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5B-5 Impurity Segregation Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6B-6 Interface Transport Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6B-7 Impurity Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7B-8 Point Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7B-9 Point Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8B-10 Defect Interface Recombination Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8B-11 Defect Growth Injection Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9B-12 Material Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9B-13 Silicide/Metal Surface Reaction Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9B-14 Impurity Dependent Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-10

Page 28: athena_users

Athena User’s Manual

xxviii SILVACO International

[This page intentionally left blank]

Page 29: athena_users

Chapter 1:Introduction

SILVACO International 1-1

Overview Of ATHENAATHENA is a simulator that provides general capabilities for numerical, physically-based, two-dimensional simulation of semiconductor processing. ATHENA has a modular architecture thatincludes the following licensable tools and extensions:

• ATHENA: Performs structure initialization and manipulation, and provides basic deposition andetch facilities

• SSUPREM4: Is used in the design, analysis, and optimization of silicon semiconductor structures.It simulates silicon processing steps such as ion implantation, diffusion and oxidation.

• ELITE: This tool is a general purpose 2D topography simulator that accurately describes a widerange of deposition, etch and reflow processes used in modern IC technologies.

• OPTOLITH: Performs general optical lithography simulation including 2D aerial imaging, non-planar photoresist exposure, post exposure bake and development.

• FLASH: Is used in the design, analysis and optimization of compound semiconductor structures.It simulates implantation and diffusion in GaAs and other compound semiconductor materials,including SiGe.

ATHENA is designed to be used in conjunction with the VWF INTERACTIVE TOOLS which includesDECKBUILD, TONYPLOT, DEVEDIT, MASKVIEWS, and OPTIMIZER, are documented in a separate manual.

The complete ATHENA documentation consists of the ATHENA User’s Manual (this document) and theVWF INTERACTIVE TOOLS manual.

How To Use This Manual All users should read this Introduction Chapter for an overview of ATHENA. Information on thecurrent version of ATHENA is found in Appendix C, the ATHENA version history. For new users, it isimportant to read Chapter 2 of this manual, especially the tutorial sections that describe the simulatoror modules that you have licensed. After carefully reading the tutorial, you will have acquired a basicunderstanding of what the program can do and how the program is used. The remaining chapters canthen be referred to for a more detailed understanding of the capabilities of ATHENA and how to accessthe capabilities of the program.

ATHENA is supplied with a number of example problem descriptions. These may be accessed throughDECKBUILD, as described in the VWF INTERACTIVE TOOLS Manual. The examples demonstrate many of thecapabilities of ATHENA. The input files provided as part of these examples can provide an excellentstarting point for developing your own ATHENA input files.

Page 30: athena_users

ATHENA User’s Manual

1-2 SILVACO International

Organization Of This ManualThe organization of this manual is as follows:

• Chapter 1 provides a brief overview of ATHENA.

• Chapter 2 is a tutorial which provides an introduction to the practical uses of ATHENA.

• Chapter 3 documents the models and algorithms of SSUPREM4.

• Chapter 4 documents the models and algorithms of ELITE.

• Chapter 5 documents the models and algorithms of OPTOLITH.

• Chapter 6 documents the models and algorithms of FLASH.

• Chapter 7 documents the input syntax for all modules.

• Appendix A describes the C-Interpreter.

• Appendix B summarizes the default coefficients used in ATHENA.

• Appendix C provides a version history for ATHENA.

Technical SupportIf you have difficulties or questions relating to the use of ATHENA, you can communicate with Silvacosupport personnel by sending electronic mail to:

[email protected]

When you send us an e-mail message, please:

1. Explain the problem or question as fully as possible.

2. Include any input files that you have created.

3. Provide us with the version number of ATHENA and the version numbers of the VWF INTERACTIVE

TOOLS that you are using.

4. Include your business telephone number and FAX number.

You will be contacted promptly and your problem will be resolved as quickly as possible.

User feedback drives the further development of ATHENA. Please send your comments on theprograms, suggestions for improvements, and additional feature requests to the electronic mailaddress given above.

Page 31: athena_users

Introduction

SILVACO International 1-3

Features and Capabilities Of ATHENAThe features and capabilities available in ATHENA include the following (listed in alphabetic order):

• Bake Time and temperature bake specification

Models photoresist material flow

Models photo-active compound diffusion

• C-Interpreter Allows user access to diffusion coefficient calculation

Allows user access to activation calculation

Allows user access to segregation calculation

• CMP Models Chemical Mechanical Polishing

Hard and soft models or a combination of the two

Includes isotropical etch component

• Deposition Conformal deposition model

Hemispherical, planetary, and conical metallization mod-els

Unidirectional or dual directional deposition models

CVD model

Surface diffusion/migration effects

Ballistic deposition models including atomistic position-ing effects

User-definable models

Default deposition machine definitions

• Development Five different photoresist development models

• Diffusion Impurity diffusion in general 2D structures including diffusion in all material layers

Fully coupled point defect diffusion model

Oxidation enhanced/retarded diffusion effects

Rapid thermal annealing

Models simultaneous material reflow and impurity diffu-sion

Impurity diffusion in polysilicon accounting for grain and grain boundary components.

• Epitaxy 2D epitaxy simulation including auto-doping

• Etch Geometric etch capability

Wet etching with isotropic profile advance

RIE model that combines isotropic and directional etch components

Microloading effects

Angle dependence of etchant source

Page 32: athena_users

ATHENA User’s Manual

1-4 SILVACO International

Using ATHENA With Other Silvaco SoftwareATHENA is normally used in conjunction with the VWF INTERACTIVE TOOLS. These include DECKBUILD,TONYPLOT, DEVEDIT, MASKVIEWS and Optimizer. DECKBUILD provides an interactive run timeenvironment. TONYPLOT supplies scientific visualization capabilities. DEVEDIT is an interactive tool forstructure and mesh specification and refinement, and MASKVIEWS is an IC Layout Editor. TheOPTIMIZER supports black box optimization across multiple simulators.

Default etch machine definitions

Monte Carlo plasma etching

Dopant Enhanced etching

• Exposure Exposure on substrates with arbitrary topography

Defocus and large numerical aperture effects

• Imaging Two dimensional, large numerical aperture, aerial image formation

Up to 9th order imaging system aberrations

Extensive source and pupil plane filtering for enhanced aerial images

Full phase shift and transmittance variation mask capa-bilities

• Implantation Pearson, dual Pearson, and Gaussian analytical models

Extended low energy and high energy implant parameter tables

Fast Monte Carlo implant simulation for amorphous materi-als

Monte Carlo calculations for Crystalline materials

Universal tilt and rotation capability for both analytic and Monte Carlo calculations

Secondary recoil calculation for Monte Carlo damage cal-culation

• Oxidation Compressible and viscous stress dependent models

Separate rate coefficients for silicon and polysilicon materials

HCL and pressure-enhanced oxidation models

Impurity concentration dependent effects

Ability to simulate the oxidation of structures with deep trenches, undercuts, and ONO layers

Accurate models for the simultaneous oxidation and lift-ing of polysilicon regions

• Silicidation Physically-based calculation including simultaneous impu-rity diffusion

Segregation and point defect injection models

Page 33: athena_users

Introduction

SILVACO International 1-5

ATHENA is frequently used in conjunction with the ATLAS device simulator. ATHENA predicts thephysical structures that result from processing. These physical structures are used as input byATLAS, which then predicts the electrical characteristics associated with specified bias conditions.The combination of ATHENA and ATLAS makes it straightforward to determine the impact of processparameters on device characteristics.

ATHENA can also be used as one of the core simulators within VIRTUAL WAFER FAb. VWF makes itconvenient to perform highly automated simulation-based experimentation. VWF is used in a way thatclosely mirrors experimental research and development procedures. It therefore links simulation veryclosely to technology development, resulting in greatly increased benefits from the use of simulation.

The Value Of Physically-Based SimulationATHENA is a physically-based process simulator. Physically-based process simulation is not a familiarconcept for all engineers. A brief overview is provided here to serve as an orientation for new users.Users who are already familiar with process simulation can proceed to the tutorial in Chapter 2.

Physically-based process simulators predict the structures that result from specified processsequences. This is done by solving systems of equations that describe the physics and chemistry ofsemiconductor processes.

Physically-based simulation provides three major advantages: it is predictive, it provides insight, andit captures theoretical knowledge in a way that makes this knowledge available to non-experts.

Physically-based simulation is different from empirical modeling. The goal of empirical modeling is toobtain analytic formulae that approximate existing data with good accuracy and minimum complexity.Empirical models provide efficient approximation and interpolation. They do not provide insight,predictive capabilities, or encapsulation of theoretical knowledge. Physically-based simulation is analternative to experiments as a source of data. Empirical modeling can provide compactrepresentations of data from either source.

Physically-based simulation has become very important for two reasons. First, it is almost alwaysmuch quicker and cheaper than performing experiments. Second, it provides information that isdifficult or impossible to measure. The drawbacks of simulation are that all the relevant physics andchemistry must be incorporated into a simulator, and numerical procedures must be implemented tosolve the associated equations. These tasks have been taken care of for users of ATHENA.

Users of physically-based process simulation tools must specify the problem to be simulated. Users ofATHENA specify the problem by defining:

1. The initial geometry of the structure to be simulated

2. The sequence of process steps (implantation, etching, diffusion, exposure, etc.) that are to be sim-ulated

3. The physical models to be used

The subsequent chapters of this manual describe how to perform these steps.

Page 34: athena_users

ATHENA User’s Manual

1-6 SILVACO International

This page intentionally left blank.

Page 35: athena_users

Chapter 2:Tutorial

SILVACO International 2-1

Getting StartedThis chapter describes the practical use of ATHENA. The aim of the chapter is to help the user startusing the program, by providing a step-by-step tutorial centered on a typical process simulationsequence. It explains how ATHENA uses the VWF Interactive tools i.e., DECKBUILD, TONYPLOT, MASKVIEWS,DEVEDIT, and OPTIMIZER. These tools make ATHENA easier to use, and they provide visualization andinterface capabilities with other Silvaco tools. This tutorial assumes that the user is familiar with thebasic features of the VWF Interactive Tools. For more detailed information refer to the VWF InteractiveTools manual.

This chapter begins by explaining how to start ATHENA, and continues with tutorials you can follow touse the program. The tutorial consists of a number of sections including: Getting Started, UsingATHENA, Using ATHENA/SSUPREM4, Using ATHENA/ELITE, Using ATHENA/OPTOLITH, and AdvancedTopics. We recommend that you first study the Using ATHENA section, and then move on to the sectionappropriate to the particular tool you will be using: SSUPREM4, ELITE, or OPTOLITH. FLASH usersshould study the SSUPREM4 section of this tutorial.

This section explains how to start ATHENA, how to load and run standard examples, and how to use theATHENA online help facility. The following explanations assume that ATHENA has been properlyinstalled. Refer to the Silvaco Installation, Migration and Troubleshooting Guide if you encounterinstallation difficulties.

Running ATHENA Under DeckBuild DECKBUILD is an interactive graphic environment that is used for the following purposes:

• Generating input files for process and/or device simulation

• Running simulations interactively

• Interfacing between different simulators

• Invoking other VWF Interactive tools

To invoke ATHENA under DECKBUILD in interactive mode, enter the UNIX command:

deckbuild -an

After a short delay, the main DECKBUILD window (Figure 2-1) will appear. The lower text window of thiswindow will contain the ATHENA logo and version number, a list of available modules, and a commandprompt. ATHENA is now ready to run. To become familiar with the mechanics of running ATHENA underDECKBUILD you can load and run some of the ATHENA standard examples.

The method described here is the recommended procedure for starting the program. There are othermethods and modes of running ATHENA and these are described in the section entitled “AdvancedFeatures of Athena” of this chapter under the heading “Modes of Operation”.

Loading And Running ATHENA Standard ExamplesDECKBUILD makes it possible to load and run a number of example simulation input files. To access theATHENA examples, open the Main Control menu and select the Examples menu item. TheDECKBUILD:Examples window will appear (Figure 2-2).

Groups of DECKBUILD examples are listed in the Section menu and are grouped according to thesimulator or simulation topic that the example demonstrates. Individual example input files are listedin the Sub-section menu. Examples can be run by selecting one of the sections (e.g.,

Page 36: athena_users

ATHENA User’s Manual

2-2 SILVACO International

ATHENA_SSUPREM4) from the Section menu. This will open a list of input file names. Shortdescriptions of the examples will appear in the Examples window.

Select one of the input files using the Sub-section menu or by double-clicking on the input file name.A description of the selected input file will appear in the examples window.

Press the Load button to load the selected input file into the DECKBUILD text subwindow. The input file,along with other files associated with the input file (structure files, setfiles for TONYPLOT, and layoutfiles for MASKVIEWS) will be copied into your current directory.

Once the input file is loaded into the DECKBUILD text subwindow, you can run the input file by pressingthe Run button on the DECKBUILD window or by following special instructions in the Examples window.

Figure 2-1: Main Deckbuild Window

Most of the ATHENA examples contain preset calls to the graphical postprocessing tool TONYPLOT. Oneor more plots will appear while the selected example is running.

If you are not familiar with DECKBUILD, use a simple example to learn the basic DECKBUILD features andcapabilities. It is important to learn how to control a simulation, how to stop and resume execution,how to reset a current line, how to plot a current structure, how to use the history function, etc. Thiswill assist you in working through the rest of the tutorial. The details of these functions are describedin the VWF INTERACTIVE TOOLS manual.

Page 37: athena_users

Tutorial

SILVACO International 2-3

Online Help

Information on ATHENA commands and syntax can be found using the online help facility. This facilitycan be accessed in interactive mode or through DECKBUILD. Typing help at the ATHENA> prompt eitherin interactive mode or in the DECKBUILD tty subwindow will display a list of valid ATHENA commands.Enter the command:

HELP <command name>

This will give additional information about parameter names, types, initial values, and a description ofthe parameters for the specified command.

Figure 2-2: DECKBUILD Examples Window

To obtain more information on ATHENA default parameters (stored in a special file athenamod), selectthe Models... menu item from the Command menu in the DECKBUILD window. This opens the fileathenamod in a text editing window, making it possible to read the file or copy and paste statementsfrom the file into a DECKBUILD text subwindow. Select the Notes... item from the Command menu toopen a special information file that includes the current release notes as well as a release history.

For more detailed information about ATHENA syntax, commands, parameters, and their defaultvalues, refer to Chapter Seven of this manual.

Page 38: athena_users

ATHENA User’s Manual

2-4 SILVACO International

Creating a Device Structure Using ATHENA

Overview of the ProcedureATHENA is designed as a process simulation framework. The framework includes simulatorindependent operations and simulator specific functions which simulate different process steps (e.g.,implant, RIE, photoresist exposure, etc.). This section of the tutorial describes ATHENA input/outputand basic operations for creating a typical input file:

• Developing a good simulation grid

• Performing conformal deposition

• Performing geometric etches

• Structure manipulation

• Saving and loading structure information

• Interfacing with device simulators

• Using different VWF INTERACTIVE TOOLS

These operations are relevant to all individual ATHENA process simulators. This part of the tutorialshould help users new to each of the process simulators.

Three sections of the tutorial are devoted to individual simulators, SSUPREM4, ELITE, and OPTOLITH,and should be studied by users of each specific simulator.

ATHENA Input/Output

Before proceeding to ATHENA operation, it is important to discuss how to provide ATHENA with inputinformation, and the forms of output information available from ATHENA.

Input Information

The bulk of input information for ATHENA is usually provided in the form of input files. An input file isa text file which may be prepared using DECKBUILD (as it will be described throughout the rest of thetutorial) or any ASCII text editor (such as vi on any UNIX system, or textedit on a SUN system). Theindividual lines of the text file are called statements. Each statement consists of a statement nameand a set of parameters which specify a certain step of a process simulation or model coefficients usedduring subsequent simulation steps. Detailed information on statement syntax can be found inChapter 7 of this manual. The remainder of this tutorial will introduce the user to the task of creatinggood input files.

Since ATHENA uses a great deal of default information, much of the default information is stored inseveral nonuser-specified files:

• The athenamod file includes default parameters of physical models, diffusion and oxidationcoefficients, default parameters of numerical methods, characteristics of predefined depositionand etching machines, and optical parameters of materials for lithography simulation

• The athenaimp file contains ion implantation look-up tables

• The athenares file includes resistivity vs. doping concentration data

It is important to be aware that information from the athenamod file is loaded into ATHENA each timeATHENA starts. Any of the athenamod default parameters can be overridden by specifying analternative parameter in an input file or the entire models file may be specified using -modfile option.

Page 39: athena_users

Tutorial

SILVACO International 2-5

Output Information

All run-time output generated by ATHENA will appear in the tty subwindow when running DECKBUILD,or in the current window (or specified output file) when running ATHENA standalone. Run-time outputcan be grouped into two categories: standard output and standard error output.

Standard output consists of the output of the PRINT.1D statements and/or the EXTRACT statementof DECKBUILD, and the normal information messages generated by ATHENA. The number of messagesgenerated depends on the output mode chosen in the OPTION statement. The QUIET mode is thedefault. Minimum output is generated in this case: all statements are echoed, and the status of a timeconsuming simulation is reported. The NORMAL option produces some additional output information,including information about the current grid (number of nodes, triangles, etc.). VERBOSE and DEBUGmodes are useful for debugging, but these options produce too much output for any other purpose.

Standard Error output consists of the warning and error messages describing syntax errors, fileoperation errors, system errors, and internal inconsistencies.

Standard Structure File

The main channel of information exchange between ATHENA and other simulators and tools is theStandard Structure File format. The Standard Structure File format is a universal file format used bya number of Silvaco simulation programs. The STRUCTURE statement of ATHENA creates a StandardStructure File which contains mesh and solution information, model information and other relatedparameters.

The saved Standard Structure File can be used by:

• ATHENA to re-initialize the structure and continue process simulation

• ATLAS or other device simulators to perform electrical analysis of the structure produced byATHENA

• TONYPLOT to graphically display a solution created by ATHENA

• DEVEDIT to generate an updated mesh and export the mesh and doping back to ATHENA or anyother simulator

Creating An Initial StructureThis section will describe how to use the Commands menu of DECKBUILD to create a typical ATHENAinput file. The goal of this section is not to design a real process sequence, but to demonstrate the useof specific ATHENA statements and parameters, as well as some DECKBUILD features, to create ameaningful input file. You can find many realistic process input files among the examples and usethem as a starting point in your process simulation.

Defining Initial Rectangular Grid

After DECKBUILD has been invoked and the current simulator has been set to ATHENA (see the VWFAutomation Tools manual for further information on this), open and pin the Commands menu. It shouldappear as shown in Figure 2-3. Select the Mesh Define.... menu item. The ATHENA Mesh Definepopup will appear as shown in Figure 2-4. It is recommended that this popup be pinned, because it willbe used often in designing an initial mesh.

Now it is possible to specify the initial rectangular grid. The correct specification of a grid is critical in

process simulation. The number of nodes in the grid has a direct influence on simulation accuracy

and time. A finer grid should exist in those areas of the simulation structure where ion implantationwill occur, where p-n junction will be formed, or where optical illumination will change photoactive

Np

Page 40: athena_users

ATHENA User’s Manual

2-6 SILVACO International

component concentration. The number of arithmetic operations necessary to achieve a solution for

processes simulated using the finite element analysis method could be estimated as ,

where α is of order 1.5 - 2.0.

Therefore, it is obvious that in order to maintain the simulation time within reasonable bounds, thefine grid should not be allowed to spill over into unnecessary regions. The maximum number of gridnodes is 20,000 for ATHENA simulations, but most practical simulations use far fewer nodes than thislimit.

Figure 2-3: Commands Menu

To create a simple uniform grid in a rectangular 1 micron by 1 micron simulation area, click on theLocation field and enter a value of 0.0. Then click on the Spacing field and enter a value of 0.10. Clickon the Insert button in the Mesh Define window. The line parameters will appear in the scrollinglist.

Note: ATHENA coordinate system has positive x axis pointed to the right along the structure surface andpositive y axis pointed down to the depth of the structure.

Np( )α

Page 41: athena_users

Tutorial

SILVACO International 2-7

In the same way, set the location of a second X line to 1.0 with a spacing of 0.1. The values can eitherbe set by dragging a slider or by entering a number directly.

Then select the Y direction and set the lines with the same values as the X direction. Comments can beadded at the Comment line. After the above operations are completed, the ATHENA Mesh Define menushould appear as shown in Figure 2-4.

Figure 2-4: ATHENA Mesh Define Menu

Now it is possible to write the menu-prepared mesh information into the input file. But before doing soit is useful to preview the rectangular grid by selecting the View... button. The View Grid windowwill be displayed as shown in Figure 2-5. Notice that vertical and horizontal grid lines are distributeduniformly, and 121 points and 200 triangles will be generated.

Page 42: athena_users

ATHENA User’s Manual

2-8 SILVACO International

Figure 2-5: View Grid Window

A uniform grid such as the one specified is quite inefficient for performing complex simulations.Therefore the grid must be improved. First, make a better grid in the y-direction. It is usuallynecessary to get better resolution for the depth profile after the ion implantation step. When adaptivegridding capability is not used, you should apply preliminary knowledge of the process you are going tosimulate. Suppose you want to perform a 60 keV boron implant so that the implant peak would bearound 0.2 micron. It is reasonable to make a finer grid at this depth. To achieve this, simply add onemore Y-line, setting Location to 0.2 and Spacing to 0.02. The new rectangular grid is shown inFigure 2-6. Notice that the number of points and triangles are increased to 231 and 400, respectively.

Page 43: athena_users

Tutorial

SILVACO International 2-9

Figure 2-6: New Rectangular Grid

The minimum spacing in the Y-direction is at 0.2 micron, and the spacing gradually increases towardthe bottom and the top of the structure. Because the spacing at y=0 is still 0.1, only 3 grid lines liebetween 0 and 0.2 micron. You may want to make a finer grid at the top of the structure. To do this,select the top line of the Y-Location scrolling list and change the spacing to 0.03, and press the Insertbutton. The selected line will be replaced by Y LOC=0.00 SPAC=0.03. If you then press the View...button, there will be 8 grid lines between y=0 and y=0.2 (Figure 2-7).

Figure 2-7: Inserting New Grid Lines

Page 44: athena_users

ATHENA User’s Manual

2-10 SILVACO International

To improve the initial grid in the x-direction, two main considerations should be kept in mind. First,ensure that a good 2D-profile resolution is specified under the mask edges. Second, it is recommendedthat vertical grid lines be placed along future mask edges. To build half of a 0.6 micron MOS structurewith the center of the gate at x=0 there must be an additional X line at x=0.3, and spacing at this linemust be small enough to obtain good lateral resolution of source/drain implants. To add these items,return to the X direction specification in the Mesh Define menu, and insert an additional X line atx=0.3 with spacing = 0.02.

After this final insertion, and adding any desired Comment information, the Mesh Define menushould appear as shown in Figure 2-8. The grid will have 525 points and 960 triangles (see Figure 2-9).

Figure 2-8: ATHENA Mesh Define Menu

Page 45: athena_users

Tutorial

SILVACO International 2-11

Figure 2-9: Redefined Grid

Finally, write Mesh Define information to the file by pressing the Write button. A set of lines like thiswill appear:

GO ATHENA # NON-UNIFORM GRID LINE X LOC=0.00 SPAC=0.1 LINE X LOC=0.3 SPAC=0.02 LINE X LOC=1 SPAC=0.1 LINE Y LOC=0.00 SPAC=0.03 LINE Y LOC=0.2 SPAC=0.02 LINE Y LOC=1 SPAC=0.1

The first line (GO ATHENA) is called an autointerface statement and tells DECKBUILD that the followingfile should be run by ATHENA.

Defining the Initial Substrate

The LINE statements specified by the Mesh Define menu set only the rectangular base for theATHENA simulation structure. The next step is the initialization of the substrate region with its points,nodes, triangles, background doping, substrate orientation and some additional parameters. Toinitialize the simulation structure, select Mesh Initialize... from the ATHENA Command menu. Itwill appear as shown in Figure 2-10.

Background doping can be set by clicking on the desired impurity box (for example, Boron). Thebackground impurity concentration specification will then become active. (If the None checkbox ischecked, the concentration information becomes inactive and appears grayed out). Select the desiredconcentration using the slider (e.g., 3.0), and select an exponent from the Exp: menu (e.g., 14). Thiswill give a background concentration of 3.0e14 atom/cm3. It is also possible to set backgroundconcentration using the By Resistivity specification in Ohm•cm. For this tutorial, check the 2Dbox. This forces the simulation to be run in a two-dimensional calculation.

Page 46: athena_users

ATHENA User’s Manual

2-12 SILVACO International

Note: Two dimensional mode is used in this tutorial to demonstrate 2D grid generation andmanipulation. However, in most cases it is not necessary to change the Auto default in theDimensionality item of the Mesh Initialize menu. ATHENA will begin in 1D and will automaticallyswitch to 2D mode at the first statement which disrupts the lateral uniformity of the device structure. Thisgenerally results in massive savings of computation time.

Other items on this menu will be discussed in the Advanced Topics section of this chapter. Now meshinitialization information can be written into the file by pressing the Write button. The following twolines will appear in the text subwindow:

# INITIAL SILICON STRUCTURE INIT SILICON C.BORON=3.0E14 ORIENTATION=100 TWO.D

Figure 2-10: Mesh Initialize Menu

Now, run ATHENA to obtain the initial structure. Press the Run button on the DECKBUILD control. Thefollowing output will appear in the simulator subwindow:

ATHENA> # NON-UNIFORM GRID ATHENA> LINE X LOC=0.00 SPAC=0.10ATHENA> LINE X LOC=0.3 SPAC=0.02 ATHENA> LINE X LOC=1 SPAC=0.1 ATHENA> # ATHENA> LINE Y LOC=0.00 SPAC=0.03 ATHENA> LINE Y LOC=0.2 SPAC=0.02 ATHENA> LINE Y LOC=1 SPAC=0.1 ATHENA> # INITIAL SILICON STRUCTURE ATHENA> INIT SILICON C.BORON=3.0E14 ORIENTATION=100 TWO.D ATHENA> STRUCT OUTFILE=.history01.str

Page 47: athena_users

Tutorial

SILVACO International 2-13

The line STRUCT OUTFILE=.history01.str is automatically produced by DECKBUILD via the historyfunction. This function provides an important service when debugging new files, performing “what if”simulations, and visualizing the structure at different steps of simulation. This feature will be usedthroughout the tutorial. Use any of the following methods to visualize the initial structure:

1. Click on the Tools menu button. DECKBUILD will automatically save a temporary standardstructure file and invoke TONYPLOT with this file.

2. Click on the Main Control button. The DECKBUILD:Main Control popup will appear. Then clickon the Plot Current Structure button. DECKBUILD will automatically save a temporarystandard structure file and invoke TONYPLOT with this file.

3. Select (highlight) the name of a structure file (.history01.str in this case), and click on theTools or Plot Current Structure. DECKBUILD will invoke TONYPLOT with the selected structure file.

Figure 2-11: Initial Triangular Grid

After a short delay, TONYPLOT will appear. It will have only regional and material information. Click onthe Plot menu button, and the Display (2D Mesh) popup will appear. Select only the two left icons:Mesh and Edges. The initial triangular grid (Figure 2-11) will appear in TONYPLOT.

The grid in ATHENA consists of points connected to form a number of triangles. Each point has one ormore nodes associated with it. A point within a material region has one node, while a point whichbelongs to several regions has several nodes. A node represents the solution (e.g. doping concentration)in a particular material region at the point. For example, a given node may represent solution values

Page 48: athena_users

ATHENA User’s Manual

2-14 SILVACO International

in silicon at a point with coordinates (0.0, 0.0); an entirely different node may represent solutionvalues in oxide at the same point (0.0, 0.0).

So, the previous INIT statement creates the <100> silicon region of 1.0 x 1.0 size, which is

uniformly doped with boron concentration of 3e14 atom/cm3. This simulation structure is ready for anyprocess step (e.g. implant, diffusion, Reactive Ion Etching, etc.). Before discussing the simulation ofphysical processing using SSUPREM4, ELITE or OPTOLITH modules, it is important to discuss structuremanipulation statements which can precede or alternate with physical process steps.

Simple Film Depositions

Conformal deposition can be used to generate multilayered structures. Conformal deposition is thesimplest deposit model and can be used in all cases when the exact shape of the deposited layer is notcritical. Conformal deposition can also be used in place of oxidation of planar or quasi-planarsemiconductor regions when doping redistribution during the oxidation process is negligible.

To set the conformal deposition step, select the menu items Process - Deposit - Deposit... from theCommands menu of DECKBUILD. The ATHENA Deposit menu (Figure 2-12) will appear.

Figure 2-12: ATHENA Deposit Menu

As shown, conformal deposition is the default. If it is known that the oxide layer thickness grown in aprocess is 200 Angstroms, it is possible to substitute this with conformal oxide deposition. Select

Oxide from the Material menu, and set its thickness to 0.02 . It is always useful to set several gridlayers in a deposited layer. In this case, at least two grid layers are needed in order to simulateimpurity transport through the oxide layer. In some other cases (for example, photoresist depositionover a non-planar structure) a sufficiently fine grid is needed to accurately simulate processes withinthe deposited layer. There are also situations (e.g., spacer formation) when several grid layers in a

µ µ

µ

Page 49: athena_users

Tutorial

SILVACO International 2-15

deposited material region are needed in order to properly represent the geometrical shape of theregion.

The grid in the deposited layer is controlled by “grid specification” parameters in the ATHENA Depositmenu. Set the “Total number of grid layers” to 2, add a Comment, and click on the Write button. Thefollowing lines will appear in the text subwindow:

# GATE OXIDE DEPOSITION DEPOSIT OXIDE THICK=0.02 DIVISIONS=2

The next step will be to deposit a phosphorus doped polysilicon layer of 0.5m thickness. Select thematerial Polysilicon, and set the thickness to 0.5. To add doping, select the Impurities box. Theimpurity concentration section will be immediately added to the ATHENA Deposit menu (Figure 2-13).

Page 50: athena_users

ATHENA User’s Manual

2-16 SILVACO International

Figure 2-13: Impurity Section of the ATHENA Deposit Menu

Click on the Phosphorus checkbox and set the doping level (e.g., 5.0x1019) using the slider and theExp: menu. It is also possible to set a nonuniform grid in the deposited layer by changing the Nominalgrid spacing and the Grid spacing location parameters. To create a finer grid at the

polysilicon surface, set the total number of grid layers to 10, the Nominal grid spacing to 0.02 mand the Grid spacing location to 0.0 (at the surface). Click on the Write button, and the followingdeposition statement will be written in the input file as:

µ

Page 51: athena_users

Tutorial

SILVACO International 2-17

DEPOSIT POLY THICK=0.5 C.PHOSPHOR=5.0E19 DIVISIONS=10 \ DY=0.02 YDY=0.0 MIN.SPACING=0.001

continue the ATHENA simulation using the Cont button. This will create the three layer structureshown in the left plot of Figure 2-14. The parameter MIN.SPACING preserves the horizontal meshspacing for high aspect ratio grids. ATHENA tries to reduce high aspect ratio grids and MIN.SPACINGstops this. To get a finer grid not at the polysilicon surface but for some reason (e.g. ion implantation)

in the middle of polysilicon layer, change YDY to 0.2. This puts on a finer grid at a distance of 0.2 mfrom the surface of the structure. You can do this by positioning the cursor in the input file andbackspacing over existing text, or entering new text.

DEPOSIT POLY THICK=0.5 C.PHOSPHOR=5.0E19 DIVISIONS=10 \ DY=0.02 YDY=0.2

It is possible to see the effect of changing the YDY. parameter within the polysilicon without rerunningthe whole input file. Simply highlight the previous statement (DEPOSIT OXIDE...), Click on the Initfrom History button in the Main Control menu, and then press the Cont button. The new historyfile can then be loaded into TONYPLOT (see the right plot in Figure 2-14 below).

Figure 2-14: Grid Control for Deposition

µ

Page 52: athena_users

ATHENA User’s Manual

2-18 SILVACO International

Simple Geometrical Etches

The next step in the tutorial process simulation is the polysilicon gate definition. (Implant andthermal steps will be discussed in the Using SSUPREM4 section of this tutorial). To set a geometricaletch step, select the chain Process - Etch - Etch... from the Command menu of DECKBUILD. TheATHENA Etch menu (Figure 2-15) appears.

Figure 2-15: ATHENA Etch Menu

The Geometrical etch is the default method. Other methods will be discussed in the ATHENA/ELITEsection of the tutorial. Select Polysilicon from the Material menu. This example will use apolysilicon gate edge at x=0.3 and set the center of the gate at x=0.0 for the initial grid. Thereforepolysilicon should be etched to the right from x=0.3. To do so, select Right from the Geometricaltype, and set the Etch location to 0.3. This will give the following statement:

# POLY DEFINITIONETCH POLY RIGHT P1.X=0.3

The structure created by this ETCH statement is shown in the left hand plot of Figure 2-16.

It is possible to obtain an arbitrary shape of geometrical etching using the Any Shape button. Forexample, to make a tilted etch, specify X and Y locations of four Arbitrary points as shown in Figure 2-17.

The following four etch lines will be inserted into the input file:

# POLY DEFINITIONETCH POLY START X=0.2 Y=-1ETCH CONT X=0.4 Y=1ETCH CONT X=2 Y=1ETCH DONE X=2 Y=-1

Page 53: athena_users

Tutorial

SILVACO International 2-19

Figure 2-16: Structure Created by Etch Statement

If this input file fragment is run instead of the previous one (using the INIT statement from theHistory capability) the structure after this etch sequence will appear as displayed in the right handplot in Figure 2-16. ATHENA etches all polysilicon material within the specified polygon. The polygonetch can consist of any number of points. If the Insert button is used, an additional point will beinserted after the currently selected point.

An additional option for geometrical etching is a dry etch with a specified thickness. This can be used

for spacer formation as follows: deposit an oxide of a specified thickness (e.g. 0.2 m) and then etch thesame thickness again.

# CLEAN GATE OXIDE ETCH OXIDE DRY THICK=0.03# SPACER DEPOSITION DEPOSIT OXIDE THICK=0.2 DIVISIONS=8# SPACER ETCHING ETCH OXIDE DRY THICK=0.23

The dry etching step etches the specified material in the region between the top (exposed) boundary ofthe structure and a line obtained by translating the boundary line down in the Y direction. The etchdistance is specified by parameter THICK. The resulting spacer is shown in Figure 2-19.

µ

Page 54: athena_users

ATHENA User’s Manual

2-20 SILVACO International

Reducing Grid Points in Non Essential Areas using the Relax Parameter

The previous sections of the tutorial demonstrate that the quality of the grid is extremely importantfor ATHENA simulation. The rectangular based grid generated by the INITIALIZE or DEPOSITstatements may remain intact in those areas not involved in the process steps affecting the grid(etching, oxidation, etc.). The Grid Relax capability allows the spacing to be increased in such areas atany point during the simulation. This capability is useful for two reasons. First of all, the initial smallspacings are propagated throughout the structure. For example, the fine grid in the X-direction shownin Figure 2-9 may be needed only in the upper portion of the structure where doping occurs.Eliminating some grid lines and points in the lower portion of the structure will not affect the accuracyof implant and diffusion simulation. Second, it is always necessary to set a fine grid in the area whereion implantation takes place, but the fine grid may not be needed after the profile is leveled-off duringthermal steps. So, relaxation of an initially fine grid may save simulation time during subsequentsteps.

Figure 2-17: Arbitrary Etch

Page 55: athena_users

Tutorial

SILVACO International 2-21

Parameters for the RELAX statement are set from the ATHENA Relax menu (Figure 2-18).

Figure 2-18: ATHENA Relax Menu

This menu is invoked by selecting Relax... under Structure in the DECKBUILD Commands menu. Gridrelaxation can be performed over the whole structure if Entire Grid is selected, or within a selectedrectangular area if Selected is chosen and Locations for Xmin, Xmax, Ymin, and Ymax are specified.Selecting a material from the Material popup menu specifies which material region will be affected bythe grid relax operation. The default is all materials within the specified area. The grid lineelimination can be performed either in one direction or in both directions by selecting X direction, Ydirection, or Both. The X direction, Relax, cannot be performed for individual materials except forthe substrate.

Page 56: athena_users

ATHENA User’s Manual

2-22 SILVACO International

Figure 2-19: Spacer Formation using Dry Etch

To understand how the relax function changes a grid, we will use the structure we have obtained afterspacer formation was specified (Figure 2-19). If we relax the entire grid in both directions (Figure 2-20), the following lines will be inserted into the tutorial input file:

# RELAX EVERYWHERE

RELAX DIR.X=T DIR.Y=T

The resultant grid is shown in the upper-right corner of Figure 2-20.

The total number of grid points is reduced from 708 to 388. When comparing with the grid beforerelaxation (upper-left corner of Figure 2-20), note that the grid within the oxide spacer and polygatehas not changed. This is due to several factors:

• The relax algorithm works only with rectangular base grid

• It never eliminates grid lines adjacent to a region boundary

• The relaxed area should be at least five by five grid points

Within silicon, each second horizontal line is eliminated. The lower part of each second vertical line isalso eliminated. This happens because the algorithm does not allow the formation of obtuse triangles.

Page 57: athena_users

Tutorial

SILVACO International 2-23

Figure 2-20: Grids after Various Relax Operations

If you do not want the grid to be relaxed above y=0.3, you need to specify Selected and set all fourboundaries for the relax box. This will give the following RELAX statement:

# RELAX LOWER HALF OF THE STRUCTURE RELAX X.MIN=0.00 X.MAX=1.00 Y.MIN=0.3 Y.MAX=1.00 DIR.X=T DIR.Y=T

In this case the number of grid points is 567. The grid above y=0.3 remains intact (see the plot inlower-left corner of Figure 2-20), and the elimination in X and Y- directions happens only below y=0.3.

To increase spacing only in the X-direction in the area below y=0.3, select the X direction and leavethe Area and Location Selections as before. This will give the following relax statement:

# RELAX LOWER HALF ONLY IN X-DIRECTION RELAX X.MIN=0.00 X.MAX=1.00 Y.MIN=0.3 Y.MAX=1.00 DIR.X=T DIR.Y=F

Note: The only difference is that instead of DIR.Y=T, the statement contains DIR.Y=F, which preventselimination in Y-direction. This gives 638 grid points and a different pattern of elimination (see the plot inthe lower-right corner of Figure 2-20).

Page 58: athena_users

ATHENA User’s Manual

2-24 SILVACO International

It is also possible to apply several consequent RELAX statements in order to achieve grid elimination indifferent areas of the structure.

An important note about the RELAX capability is that it allows you to avoid creating obtuse trianglesand avoid relaxing directly on the material boundaries. This sometimes results in no relaxation or gridrelaxation in a subset of the desired area. The most desirable method for complete control overgridding is through the use of DEVEDIT as described in the VWF INTERACTIVE TOOLS manual, and asdescribed briefly in this chapter.

Reflecting a Structure in the “Y” Plane using the “Mirror” Parameter

This tutorial has been building one half of a MOSFET-like structure. At some point in the simulation,it will be necessary to obtain the full structure. This must be done prior to exporting the structure to adevice simulator or setting electrode names. In general, structure reflection should be performedwhenever the structure ceases to be symmetrical (e.g., a tilted implant, or an asymmetrical etching ordeposition takes place), or when a reflecting boundary condition is no longer applicable to the sidewhich is going to be the center of the structure.

Using the tutorial structure after spacer formation and grid relaxing (plot in the lower-right corner ofFigure 2-20), this section of the tutorial will explain how to mirror it at its left boundary. SelectMirror under Structure in the Commands menu (Figure 2-21).

Figure 2-21: ATHENA Mirror Menu

Press the Write button to write the following statement to the input file:

STRUCT MIRROR LEFT

The resulting structure is shown in Figure 2-22.

The left half of the structure is a complete mirror copy of the right part, including node coordinates,doping values, etc. Beware of rounding errors when mirroring. If the boundary of reflection is notsmooth to within 0.1 angstroms, some points will be duplicated.

Specification of Electrodes in ATHENA

The ultimate goal of an ATHENA simulation is usually to create a device structure (material layers plusdoping) which then can be used by a device simulator (usually ATLAS) for electrical characterization.Although ATLAS is able to specify the locations of electrodes, in many cases this must be done inATHENA. For example, it is not possible to specify an electrode location in ATLAS when the electrodedoes not consist of straight segments. Also, when specifying electrodes in ATHENA it is useful totransfer electrode layer information from layout to electrical tests in a device simulator (see thedescription of the autoelectrode capability in the MaskViews Chapter of the VWF INTERACTIVE TOOLSmanual).

Page 59: athena_users

Tutorial

SILVACO International 2-25

Figure 2-22: ATHENA Reflect Capability

ATHENA can attribute an electrode to any metal, silicide or polysilicon region. A special case is thebackside electrode which can be placed at the bottom of the structure without having a metal regionthere. If 0.1 m aluminum layer is deposited on the full structure after reflection (Figure 2-22) using:

DEPOSIT ALUMIN THICK=0.1

and then the part of the layer between x=-0.8 and x=0.8 is etched using the Any Shape specification inthe ATHENA Etch menu (as in Figure 2-17):

ETCH ALUMINUM START X=-0.8 Y=-20 ETCH CONT X=-0.8 Y=20 ETCH CONT X=0.8 Y=20 ETCH DONE X=0.8 Y=-20

the structure as shown in Figure 2-23 will be obtained.

Now it is possible to use the ATHENA Electrode menu (Figure 2-24) which is invoked by selectingElectrode... under the Structure submenu of the Commands menu. To set an electrode at aspecified position, select the Specified Position button, type in the X Position (for example, -0.9) and Name (for example, source), then press the Write button. The following statement will appearin the input file:

ELECTRODE NAME=SOURCE X=-0.9

Page 60: athena_users

ATHENA User’s Manual

2-26 SILVACO International

Similarly, specify the drain electrode:

ELECTRODE NAME=DRAIN X=0.9

Figure 2-23: MOSFET Structure with Electrodes

The polysilicon gate electrode specification has the same format. For this structure it can be done thesame way as for source or drain:

ELECTRODE NAME=GATE X=0.0

If the polysilicon layer is not the topmost layer at x=0, the Y Position can be specified. In this case,check the Y Position checkbox and type in a y coordinate within the polygate layer (for example, -0.2).If Y is not specified and the electrode is not on top, ATHENA will “look” for the electrode in theunderlying layers. If it fails an error will be reported.

To specify a backside electrode, select Backside from the Electrode Type (Figure 2-24) and type in aname.

The following backside electrode statement will appear in the input file:

ELECTRODE NAME=BACK BACKSIDE

If an electrode name is not specified, DECKBUILD issues an error message:

NO ELECTRODE NAME SPECIFIED

and the command is not written to the input file.

Page 61: athena_users

Tutorial

SILVACO International 2-27

If an incorrect position for electrode is specified, for example:

ELECTRODE NAME=JUNK X=0.6

Figure 2-24: ATHENA Electrode Menu

ATHENA outputs the following warning message:

Warning: Cannot find the electrode for this structure. Electrode statement ignored and ignores thestatement.

Saving a Structure File for Plotting or Initializing an ATHENA Input file for Further Processing

As mentioned earlier, the DECKBUILD history function saves structure files after each process step.However, in many cases it is necessary to save and initialize structures independently. There areseveral reason for this:

• The stack for the history files is limited (25 by default)

• It is not usually desirable to keep dozens of history files on disc (each of which occupy dozens orhundreds of Kbytes) after the DECKBUILD session ends

• Users often want to save the structure information generated after key process steps (e.g. finalstructure)

To save or load a structure, use the ATHENA File I/O menu (Figure 2-25), which is invoked byselecting File I/O... from the Commands menu. Specify a file name (the file extension .str isrecommended for all ATHENA structure files) and press the Save button. The following line will appearin the input file:

STRUCT OUTFILE=TUTOR.STR

This file (TUTOR.STR) can be reloaded back into ATHENA at any time during the current DECKBUILDsession, or during any subsequent session. To reload the structure file press the Load button on theATHENA File I/O menu. The following INIT statement will appear:

INIT INFILE=TUTOR.STR

Page 62: athena_users

ATHENA User’s Manual

2-28 SILVACO International

Figure 2-25: ATHENA File I/O Menu

Note: Only the structure will be reloaded if ATHENA is restarted before this INIT statement. Anyparameters or coefficients that were set during previous simulations must be reset if they are needed.This structure file can also be used by any device simulator or DEVEDIT.

Page 63: athena_users

Tutorial

SILVACO International 2-29

CHOOSING MODELS IN SSUPREM4

Implantation, Oxidation, RTA, Diffusion and Epitaxy

This section describes how to simulate process steps specific to the SSUPREM4 module of ATHENA:implantation, diffusion/oxidation, epitaxy, and silicidation. Also discussed are the SSUPREM4 modelstatements: METHOD, OXIDE, MATERIAL, IMPURITY, etc.

When simulating any process involving dopant and/or its diffusion, it is absolutely critical forsimulation accuracy to use the appropriate model. Process steps where correct choice of models arevital include implantation, diffusion, rapid thermal annealing, oxidation and epitaxy. This sectionprovides specific advice on which models should be used for each process step.

Why is There More Than One Model for Each Process?The key to simulating any dopant related process is to accurately account for damage in thesemiconductor. In silicon processing for example, typical implantation doses can cause sufficientdamage to the substrate to enhance dopant diffusion rates by three orders of magnitude or more, sothe wrong choice of model in this instance would result in inaccurate results.

Well known device anomalies such as the reverse short channel effect in MOS processing or theemitter push effect in bipolar processing are wholly the result of such damage enhanced diffusion.

Other processes that consume the semiconductor, such as oxidation and silicidation also inject damageinto the substrate. This must be accounted for if accurate dopant profiles are a requirement.

This section aims to provide the user with a set of rules outlined indicating the correct model that canbe used most of the time without the user having a detailed knowledge of the physics involved. Theusual rules of model selection apply here. The more complicated the model, the greater the simulationtime. There is always a compromise between simulation accuracy and simulation time. The followingsections describe when to use the hierarchy of models such that the most complicated models are onlyused when they make a significant difference to the result.

Choosing an Appropriate Model Using the Method StatementThe hierarchy of diffusion and damage models available is broadly related to the maximum level ofdamage already in the semiconductor or the maximum level of damage that the next process step islikely to introduce at any particular time during the process flow. The level of damage in thesemiconductor at any one time is not a static quantity but will depend on when and how much damagewas induced by a process step and how much annealing has occurred in subsequent thermal steps. Therange of models available to the user can account for all of the above effects and allows accuratesimulation of dopant diffusion if appropriate models have been chosen.

The choice of model or combination of models for any of the process steps described above is defined inthe METHOD statement. The METHOD statement serves a number of functions but in the context ofdefining damage models the METHOD statement is used for two purposes:

1. It is used to specify models for how damage is induced during processes such as implantation oroxidation.

2. It is used to specify how that damage anneals and diffuses in subsequent or concurrent thermalprocesses.

It is very important to realize that the METHOD statement must be placed above the line specifying theprocess step or steps to which it refers in the input file. Any number of method statements can be usedin an input file allowing the user to change the models at will during the process flow to optimize thespeed and accuracy of the simulation. The models specified in the method statement will hold true forall processes that follow it, until it is updated by a subsequent method statement.

Page 64: athena_users

ATHENA User’s Manual

2-30 SILVACO International

Table 2-1 below indicates a recommended method statement for typical processes. It should berealized that these statements are hierarchical, so there is no accuracy lost if a higher or morecomplicated model is used where a simpler one would suffice. The only downside here is a longersimulation time. The table below starts off with the simplest of models and progresses to the morecomplicated ones.

There is one exception to the table above, and that is the situation where there was no initial damageto the substrate, yet the dopant concentration is so high, special considerations have to be taken intoaccount. This occurs when doped oxides are used as diffusion sources as used to be the case during theearly days of processing. For doped oxides being used as diffusion sources use the following methodstatement:

method cnet

Modern processes are now predominantly implantation based and so the set of models invoked by the“cnet” parameter is seldom used.

When to Change the method Statement During the Process FlowIt has previously been stated that the disadvantage of using the most advanced and complex models isthe time involved during diffusion cycle simulation. Accordingly, there is an incentive during complexprocess simulations to switch back to a simpler model during a diffusion cycle when the majority of thedamage created by a previous implant, for example, has been annealed. Consequently, the question ofwhen to switch to a simpler model needs some instruction.

If the process being modeled has involved implantation or oxidation at any stage, it would be advisablenot to use a model any simpler than “two.dim” . This is because the accurate simulation of interstitialand vacancy movement would be lost if the simplest (fermi) model was used. An exception to thiswould be in some power devices with very long diffusion times where the exact nature of surfacedamage would have little impact on the final distribution of the dopant, deep in the substrate andsimulation time, is at a premium.

In reality, for most small geometry processes, the question of switching models becomes one of when toadd a new method statement which changes from:

METHOD FULL.CPL CLUSTER.DAM HIGH.CONC

to

METHOD TWO.DIM

after a high dose implant.

Table 2-1. Recommended Method Statements for Typical Processes

Method Statement Syntax Suitability of using this method syntax

method fermi Use ONLY before UNDAMAGED silicon diffusions where doping concentrations are less than 1e20/cm3 and NO OXIDIZING ambient is present.

method two.dim Use before implant doses less than 1e13/cm 2 and for oxidations.

method full.cplcluster.dam high.conc

Use before implant doses greater than 1e13/cm 2

Page 65: athena_users

Tutorial

SILVACO International 2-31

A simple guideline would be the following:Switch back to the TWO.DIM model if the anneal temperature is greater than 900degrees and the device has been annealed for at least one minute following an

implant, where the dose is greater than 1e13/cm2.

For a more accurate guideline, users are referred to the table in the “Models” chapter of this manualunder the section heading “<311>” Cluster Transient Interstitial Bulk Injection Model” The tableshows the anneal temperature/time combinations required for 95% of the clusters formed during highdose implants to dissolve. Modeling these dopant/defect clusters requires the fully coupled (full.cpl)and cluster damage (cluster.dam) models. Only when these clusters have dissolved can the two.dimmodel be used without significant loss of simulation accuracy. As a general rule, it is recommendedthat the method statement be changed to “method two.dim” only after a diffusion time that is atleast two or three times as long as the values quoted in the table.

If the user wishes to be certain of when it is safe to switch models, the recommended procedure is tosave a structure file at the point of interest, load the file into “tonyplot” and perform a 1D cutline.Plot the clusters and interstitials. If the cluster concentration is still visible, it is too early to switchmodels.

For power devices, where simulation time is at a premium, the same method as described in theprevious paragraph should be used, but instead of using the cluster concentration as a guide as towhen to switch models, the interstitial concentration should be used as the guide as to when to switchmodels one more time from the TWO.DIM model to the basic FERMI model. When the interstitialconcentration near the surface during a very long anneal has been reduced to only marginally abovethe background level at the anneal temperature concerned, the method statement can be switched toMETHOD FERMI to greatly reduce the simulation time. The interstitial background level will be thelevel deep in the substrate where little damage has occurred.

Modeling the Correct Substrate DepthAn important and often overlooked aspect of the correct modeling of dopant diffusion is the choice ofsubstrate depth. It has been mentioned previously that the rate of dopant diffusion is highlydependent on the level of damage in the substrate. It follows therefore, that the accurate modeling ofdopant diffusion requires the accurate modeling of substrate damage, particularly the movement ofinterstitials. In general, the interstitials created directly or indirectly by implantation and oxidationtend to diffuse much greater distances than the dopant. The substrate depth chosen for modelingpurposes must therefore be deep enough to allow the interstitial concentrations to return tobackground levels at the bottom of the simulated substrate, even if no dopant diffusion occurs atthis depth.

Page 66: athena_users

ATHENA User’s Manual

2-32 SILVACO International

Figure 2-26: Interstitials can move far into the substrate even after a short 10um anneal

Figure 2-26 shows typical diffusion profiles of interstitials after a 1e15/cm3 20keV Boron implant atvarious anneal times. After only a 10 minute anneal, the interstitials have diffused 8um into thesubstrate.

Interstitials, like dopant, require a concentration gradient in order for overall diffusion to take place. Ifthe concentration gradient of interstitials is removed by having too shallow a substrate depth forexample, the concentration of interstitials will start to pile up because they are no longer beingremoved via diffusion into the bulk of the substrate. If the level of modeled interstitials becomes toohigh, then the diffusion of dopant, even near the surface of the substrate, will also be too high and thesimulation will be inaccurate.

Page 67: athena_users

Tutorial

SILVACO International 2-33

Figure 2-27: Effect on boron diffusion profile when too small a substrate depth is used in the simulation

Figure 2-27 shows the boron profiles for two identical anneals, the only difference being the depth ofthe simulated substrate. It can clearly be observed that a shallow modeled substrate always results inmore total diffusion, even though the substrate depth was greater than the total diffusion depth inboth cases.

Modeling a deep substrate need not involve a huge number of extra mesh points, since the mesh pointsmay be placed quite far apart near the bottom of the substrate. All that is required of the mesh pointsnear the bottom of the substrate is that there be sufficient to model the gradient of interstitials in thisregion. The number of additional mesh points may be further reduced in the X-direction by the use ofseveral RELAX statements.

For normal small geometry MOSFET/Bipolar processing, a substrate depth of 20um should be morethan adequate. This depth could be reduced by plotting the vertical interstitial profiles at variouspoints in the process to find the maximum depth of interstitial diffusion. There is little to be gained byreducing the depth of simulation however, if the combination of large grid spacing, deep in thesubstrate and the RELAX statement is used appropriately.

Simulating Ion Implantation

Ion implantation is the main method used to introduce doping impurities into semiconductor devicestructures. Adequate simulation of the ion implantation process is very important because moderntechnologies employ small CDs and shallow doping profiles, high doses, tilted implants and otheradvanced methods.

The IMPLANT statement can be set using the ATHENA Implant menu (Figure 2-28) invoked byselecting Implant... under Process in the Commands menu.

Page 68: athena_users

ATHENA User’s Manual

2-34 SILVACO International

Figure 2-28: ATHENA Implant Menu

The following list gives the minimum set of parameters that should be specified:

• Name of implant impurity (e.g., boron)

• Implant dose using the slider for the pre-exponential value (e.g., 4.0) and the Exp: menu for theexponent (e.g., 12)

• Implant energy in KeV (e.g. 60)

• Tilt angle in degrees (e.g., 7)

• Rotation angle in degrees (e.g., 30)

All other parameters may use their default values. Press the Write button, and the followingstatement will appear in the input file:

# CHANNEL IMPLANTIMPLANT BORON DOSE=4.0E12 ENERGY=60 PEARSON TILT=7 ROTATION=30 \ CRYSTAL

All of the parameters in the statement above are self-explanatory except CRYSTAL. The CRYSTALparameter indicates that for all analytical models, the range statistics extracted for a single siliconcrystal will be applied (when available). If AMORPHOUS is selected, the range parameters measuredin pre-amorphized silicon will be used (when available). The same parameter CRYSTAL has anothermeaning for the Monte Carlo or BCA implant models. It invokes the Crystalline Material Model whichtakes channeling into account. Note that the latter model is much slower (5 - 10 times) than theAmorphous material model. The Crystalline material Model is the default model for BCA or MonteCarlo simulation. For detailed description of ion implant model selection, see Implantation Modelsin SSUPREM4 Models Chapter.

Page 69: athena_users

Tutorial

SILVACO International 2-35

It is also possible to specify tilt and rotation angles of the ion beam. Positive tilt angles correspond tothe ion beam coming from the top left. Specifying the rotation angle makes sense only for nonzero tiltangles. Zero rotation means that the ion beam vector lies in the plane parallel to the 2D simulationplane; 90 degrees rotation means that the ion beam vector lies in the plane perpendicular to thesimulation plane.

Selecting Continual rotation causes SSUPREM4 to rotate the wafer, i.e., implantation will beperformed at 24 different rotation angles from 0 to 345 degrees, in increments of 15 degrees.

Two damage models are available in SSUPREM4 (see Chapter 3). Only the Unit Damage model can beused with an analytical implant model. Both models are available for Monte Carlo simulation. Use oneof the damage models only when point defects generated during ion implantation may affectsubsequent diffusion steps. When you use UNIT.DAMAGE, you may specify the DAM.FACTOR as well(the default is 0.01). For more details about the damage model and its effect on subsequent diffusion,refer to Chapter 3 of this manual.

When the Monte Carlo model is selected, several additional optional parameters become available forspecification by the user (Figure 2-29). The first three are related to the Damage model. The fourothers control Monte Carlo calculation as well as implant trajectory plots. See Table 2-2 for a quickreference of ATHENA implant models.

Table 2-2. ATHENA Implant Model Reference

Process Model Assumption Recommendation

Implant SIMS Verified Dual Pearson (SVDP) - Default

Empirical See Table 3-1

Single Pearson Analytic All other cases

Monte Carlo Monte or BCA

Statistical Multi-layer structures: angled implants into a structure where many ions could be reflected (trenches); when channeling is not described by SVDP; high or very low energy

Silicon Type

Amorphous No channel-ing effect is included

Most of implant profile is within amorphous materials (oxide, polysilicon, pre-amor-phized silicon); channeling is negligible or not important

Crystal - Default Channeling effect is included

When channeling effects are important: light ions (boron, phosphorus)_, zero or close to zero degrees tilt, implant through thin amorphous layer into crystalline substrate

Page 70: athena_users

ATHENA User’s Manual

2-36 SILVACO International

Figure 2-29: ATHENA Implant Window

Simulating Diffusion

Simulation of thermal process steps is a focal point of SSUPREM4. The hierarchy of diffusion andoxidation models is described in this chapter and in Chapter 3. This section will demonstrate how toset different parameters and models of diffusion, oxidation and silicidation. The last process will takeplace only if at least one refractory metal or silicide layer is present in the structure.

The parameters and models of a diffusion/oxidation step can be prepared from the ATHENA Diffusemenu. (Figure 2-30).

Page 71: athena_users

Tutorial

SILVACO International 2-37

Figure 2-30: ATHENA Diffuse Menu

This menu is invoked by selecting Diffuse... under Process in the DECKBUILD Command menu. TheDiffuse menu has four sections. Only the Time/Temperature and Ambient sections appear initially.The Impurities and Models sections appear only when the corresponding check boxes are selected.

The minimum set of diffusion step parameters is:

• Time (e.g. 60 minutes)

• Temperature (e.g. 1100 degrees Celsius)

• Gas pressure (1 atmosphere is default)

The following input file statements will appear:

# DRIVE-IN DIFFUS TIME=60 TEMP=1100 NITRO PRESS=1.00

If you choose the Ramped box and End Temperature or Temperature rate, a ramped temperaturethermal step is simulated. The temperature rate is a variable by default, but it can be set to a specificconstant temperature rate by selecting Constant in the Rate box. If the End temperature is set to1000, the following lines appear:

# RAMPING DOWN DIFFUS TIME=60 TEMP=1100 T.FINAL=1000 NITRO PRESS=1.00

The same pull down menu used for inert diffusions is also used for oxidations described in the sectionentitled “Simulating Oxidation”. However, since there are special considerations for inert diffusionswhich come under the category of Rapid Thermal Anneals (RTA), the special notes pertaining to thisspecific set of conditions are described in the next section. These notes are very important for accurate

Page 72: athena_users

ATHENA User’s Manual

2-38 SILVACO International

simulation of high temperature, short duration anneals. It is therefore strongly recommended thatthese notes are read before attempting to write the RTA section of the input file.

Important Notes for Simulating Rapid Thermal Anneals (RTA)The usual reason for employing a Rapid Thermal Anneal (RTA) in a process flow is to anneal outdamage in the substrate which has been caused by a previous process step, usually an implant, whileat the same time minimizing dopant diffusion. Dopant activation also occurs during this process.These anneals are usually high in temperature and low in duration for sound device physics reasons.

Once again, the key to accurate simulation of Rapid Thermal Anneals lies in the accurate simulationof substrate damage behavior. The role of interstitials in enhanced dopant diffusion has beenpreviously touched on in this chapter and the reader is strongly advised to read the section entitled“Process Steps Where User Model Selection is Critical for Accurate Results” to becomefamiliar with the role of interstitials during process simulation.

To understand why a Rapid Thermal Anneal usually employs high temperatures and short durations,the following statement must be understood:

For a given high dose implant, if an anneal duration is selected such that a fixed per-centage of the damage is annealed, the lower the anneal temperature, the moredopant diffusion occurs.

The above statement requires an explanation since intuitively, the opposite would seem more likely. Adescriptive explanation of what is happening can be informative if the two extremes of annealtemperature are considered.

For the lowest anneal temperatures, the damage anneal rate is almost zero, so dopant diffusion ratesare enhanced by a factor of 1000 or more for the long time periods required to remove the damage. Thisresults in high total dopant diffusion.

For the highest temperature anneals, a significant percentage of damage removal occurs in a fractionof a second. Almost zero damage enhanced diffusion or total diffusion therefore occurs in this instanceand the anneal time to remove the damage is very short. Extrapolating between these extremesprovides a qualitative explanation of what occurs for intermediate temperature anneals.

Two important points have now been established:

1. For sound device physics reasons, most RTA processes consist of high temperature, short durationanneals.

2. Damage-enhanced diffusion will only occur for a few seconds at typical RTA temperatures.

For accurate simulation of RTA, the second point is most important and often wrongly neglected.Suppose a rapid thermal anneal consists of a 10 second ramp up to 1000C, followed by a 20 secondanneal, followed by a 10 second cool down. From point two above it is apparent that:

Most of the Total Dopant Diffusion Would Have Taken Place During theRamp Up Phase of the Rapid Thermal Anneal.

Therefore, ALWAYS model the temperature ramp up accurately when simulating an RTA process. Inmost cases, the ramp down may be neglected, since all the diffusion has already taken place at thebeginning when the silicon was still damaged.

Page 73: athena_users

Tutorial

SILVACO International 2-39

Simulating Oxidation

It has already been stated that the pull down menu for simulating oxidations is the same as that forsimulating inert diffusions described in the section entitled “Simulating Diffusion”, so refer to thissection for advice on selecting the appropriate pull down menu from DECKBUILD.

The default method for oxidation is Compress. In SSUPREM4 examples there are a number of exampleswhich illustrate the use of different models for different processes and structures.

In our previous example described in the “Simulating Diffusion” section if the next temperature step isgoing to be at a constant temperature of 1000°C in dry O2 with 3% of HCL in the ambient, select theDry O2 box and set HCL% equal to 3 in the Ambient section of the Diffuse menu. The following inputfile fragment will appear:

# GATE OXIDE DIFFUS TIME=60 TEMP=1000 DRYO2 PRESS=1.00 HCL.PC=3

If the ambient is a mixture consisting of more than one oxidant, the total oxidation rate will depend onthe combined effect of all species in the ambient. To specify the contents of the ambient mixture, selectthe Gas Flow button in the Ambient section; an additional ATHENA Gas Flow Properties menuappears:

Figure 2-31: ATHENA Gas Flow Properties menu

If the Gas Flow components are selected, as shown in Figure 2-31, the following statement will begenerated:

# GATE OXIDE DIFFUS TIME=60 TEMP=1000 F.H2O=5.3 F.HCL=0.06 F.O2=8.0 \PRESS=1.00

One or several impurities can be present in the ambient. Ambient can be set in the ImpurityConcentration section of the ATHENA Diffuse menu by checking corresponding checkboxes, and bysetting the values using sliders and the Exp: menus.

For example, by selecting the appropriate boxes and values, the following DIFFUSE statement couldbe inserted into the input file:

# FIELD OXIDE DIFFUS TIME=100 TEMP=850 T.FINAL=1060 WETO2 PRESS=1.00 \HCL.PC=0 C.ARSENIC=9.0E19 C.PHOSPHOR=4.0E20

Several other parameters not included on the menu are available in the DIFFUSE statement. Theyare described in Chapter Seven of this manual. Three of these parameters could be very useful: DUMP,DUMP.PREFIX, and NO.DIFF. DUMP and DUMP.PREFIX can be used to make a movie using

Page 74: athena_users

ATHENA User’s Manual

2-40 SILVACO International

TONYPLOT. The NO.DIFF parameter specifies that impurity redistribution will be neglected. Thisprovides a good approximation for low temperature processes such as silicidation.

Several other model specification statements are important for diffusion processes. These are:

• IMPURITY, INTERSTITIAL, and other impurity and point defect statements, which specifymodel parameters (diffusivity, segregation, etc.) for these species

• The OXIDE statement, which specifies parameters for different oxidation models

• The MATERIAL statement, which specifies some basic parameters for all materials

• The SILICIDE statement, which specifies silicidation coefficients

A table of basic diffusion and oxidation models is shown in Table 2.3.

A detailed description of all diffusion and exidation models can be found in the SSUPREM4 Modelchapter.

Simulating the Epitaxy Process

ATHENA/SSUPREM4 can simulate a high temperature silicon epitaxial processes. The epitaxy process isconsidered as a combination of deposit and diffuse processes. Therefore, processes such as“autodoping” from a highly doped buried layer into a lightly doped epitaxial layer can be simulated.However, diffusion parameters for epitaxial silicon are considered the same as for single crystalsilicon.

The ATHENA Epitaxy menu (Figure 2-32) is invoked by selecting Epitaxy under Process in theCommands menu.

The Epitaxy menu consists of five sections:

• The time/temperature section of the menu selects temperature step parameters in the sameway as in the DIFFUSE statement (see Simulating Diffusion and Oxidation).

• The thickness/rate section selects either the total thickness of the epitaxial layer, or thedeposit rate in microns/minute. In the latter case the total thickness will be determined by therate and time.

Table 2-3. Basic Diffusion and Oxidation Models

Process Model Assumption Recommendation

Diffuse Fermi - Default

Defect in equi-librium

For undamaged substrates in inert ambients

two.dim Transient defect diffusion

during oxidation, and post med. dose implant (e.g., OED)

full.cpl Defect and impu-rity binding energy model

Post high dose implant & co-diffusion effects, but execu-tion time is high

Oxida-tion

Vertical Planar 1D oxidation only (should never be used)

Compress - Default

Non-planar with linear flow

2D oxidation (e.g. birds beak)

Viscous Elastic

Non-planar with non-linear flow

2D oxidation (e.g. birds beak with thick Si3N4, however,

execution time is higher

Page 75: athena_users

Tutorial

SILVACO International 2-41

• The grid specification section specifies the vertical grid structure within the grown epitaxiallayer. All grid parameters are equivalent to those of the ATHENA Deposit menu (Figure 2-12).

• Impurity concentration can be specified in the growing epitaxial layer in the same way as in the DIFFUSE statement.

All parameters in the last three groups are optional. If the parameters of an epitaxial step are setexactly as shown in Figure 2-32, the following statement will appear in the input file:

# EPI-LAYER EPITAXY TIME=30 TEMP=900 T.FINAL=1000 THICKNESS=5 DIVISIONS=20 \ DY=0.05 YDY=0.00

Note: The diffusion during the epitaxy process will use the diffusion model set in the most recentMETHOD statement. If another METHOD statement is needed, include it before the EPITAXY statement.

Figure 2-32: ATHENA Epitaxy Menu

Page 76: athena_users

ATHENA User’s Manual

2-42 SILVACO International

Calibrating ATHENA for a Typical MOSFET Process FlowThis section of the manual provides information on which parameters should be tuned in the input fileto provide predictive simulations using a typical MOSFET process flow. This is not a stand alone textbut presumes the reader is now familiar with the preceding tutorial on the mechanics of making aninput file and using the correct methods and models. In particular, the section entitled “ProcessSteps Where User Model Selection is Critical for Accurate Results” should be totallyunderstood by the user. The incorrect use of the method statement, for example, will invalidate therest of the following section.

The calibration of an ATHENA input file for a typical MOS process flow necessarily involves the devicesimulator Atlas, since electrical measurements from the MOSFETs in question often represents themajority of the physical data available for calibration. It may be thought that this is something of aparadox since Atlas would also have to be correctly calibrated. The reason that this does not presenta problem is discussed below.

An important point to keep in mind when using Technology Computer Aided Design (TCAD) ingeneral, is that the most critical task by far is to accurately model the process flow.

Note: For accurate simulation of MOSFETs, 90% of the time should be invested in achieving anaccurate process simulation, while only 10% of the time should be invested in fine-tuning the devicesimulation.

The reason for this, especially for silicon technologies, is that the device physics is very wellunderstood in general. For silicon, not only is the physics well understood, it is also well characterized,so most of the default values in ATLAS will be correct. The calibration of an ATHENA process file,therefore, does not involve the calibration of well known quantities such as diffusion coefficients.Rather, the calibration involves variables which are process and production line dependent. Forexample, the damage caused by an implant cannot be determined exactly since it is dose ratedependent and can be influenced by beam heating of the substrate, which in turn is dependent on thecarousel rotation speed and the efficiency of the cooling system, etc.

Note: If the process has been correctly modeled, the device simulation will also be accurate ifappropriate models have been chosen.

If a simulated device exhibits electrical characteristics that are totally inaccurate, chances are theuser has done something wrong in the process simulation, not the device simulation. Do not make themistake of changing well known default values in the simulators in order to make a curve fit one set ofresults, as this will lead to poor predictive behavior. Try and find the root cause of a discrepancy.

Input Information

It may seem obvious but it must be stressed that an accurate process flow is vital for simulationaccuracy, especially for rapid thermal anneals (see the section entitled “Important Notes forSimulating Rapid Thermal Anneals”). Other process information required is an accurate cross-section of the oxide spacer. Modeling the spacer profile accurately ensures that the lateral damagedistribution due to the subsequent source-drain implants is correctly modeled.

Turning to electrical data, the most important device electrical data is a plot of threshold voltageversus gate length for the NMOS devices. Typical plots of threshold voltage versus gate length areshown in Figure 2-33. In this figure, the RTA anneal temperature and times were varied to show thevarious profiles that can be expected. A more typical plot is represented by the 1000C RTA profile,showing a peak value around 1-2 microns with a tail off for longer or shorter gate lengths.

Page 77: athena_users

Tutorial

SILVACO International 2-43

Figure 2-33: A plot of Threshold Voltage vs. Gate Length for NMOS devices

Gate oxide thickness measurements are also required. Care must be exercised here if oxide thicknessis measured using capacitance-voltage (C-V) methods, since quantum effects in very thin oxides (lessthan 5nm) can lead to inaccuracies because the actual location of the peak concentration of theaccumulation charge is not at the interface as classic physics predicts, but a short distance into thesilicon. Use the QUANTUM model in ATLAS to match accumulation capacitance with oxide thickness forvery thin oxides.

Other useful electrical input information is data that will not be used now, but later for the calibrationprocess itself, to test the predictive nature of the simulation. Typical electrical data used for predictivetesting includes threshold voltage versus gate length measurements for a non-zero substrate bias.

Tuning Oxidation Parameters

During oxidation, interstitials are injected into the silicon substrate by the advancing interface. Thefirst parameter to tune is the fraction of consumed silicon atoms that are re-injected back into thesubstrate as interstitials. In Athena, the related tuning parameter is called “Theta.0” and is definedin the “Interstitial” statement. Theta.0 has been found to be slightly different for wet and dryoxides. The default value is reasonably accurate for dry oxides but some tuning may be required forwet oxidation.

The major effect of interstitial injection during gate oxidation is to create enhanced diffusion of thethreshold adjust implant. The measured threshold voltage of the final device is very sensitive to thedopant concentration near the silicon-gate oxide interface. Consequently, threshold voltagemeasurements are a sensitive indicator of interstitial behavior. However, oxidation is not the onlysource of interstitial injection. The source-drain and LDD implants also induce a large concentration ofinterstitials. In order to isolate oxidation enhanced diffusion, therefore, the threshold voltage of a long

Page 78: athena_users

ATHENA User’s Manual

2-44 SILVACO International

gate length device is used, preferably where L=20um or more, such that the threshold voltage will belittle influenced by damage near the source-drain regions.

Interstitials injected by source-drain implant damage can travel up to 10um along the surface beforerecombination takes place. A gate length of 20um is therefore recommended as the minimum gatelength for calibration as this allows the interstitials to diffuse 10um along the surface from both thesource and drain ends without effecting diffusion near the center of the device. In summary, tuningTheta.0 involves the comparison of modeled and measured threshold voltage data for a long gate-length device.

Theta.0 can be rapidly tuned by taking a one dimensional (1D) vertical cutline through the center ofthe gate and doing a 1D process simulation. Theta.0 can be tuned manually or may be tuned usingthe “optimize” function in “Deckbuild ”. Theta.0 is simply tuned until measured and simulated dataof long channel threshold voltage correspond. The fine tuning of Theta.0 is performed using a full twodimensional simulation.

Figure 2-34: A Typical Dependence of Extracted Threshold Voltage

Figure 2-34 shows a typical dependence of extracted threshold voltage on the THETA.0 tuningparameter. Realistic values of THETA.0 correspond to the rising part of the curve. The glitch in thecurve is due to rounding errors in the EXTRACT statement used to calculate the threshold voltage dueto the automatic and independent mesh generated in the EXTRACT statement. The mesh can bechanged from it’s default value shown here to eliminate this effect. However close examination revealsthat the error involved is only a few millivolts which is accurate enough for most process parameterextractions.

Page 79: athena_users

Tutorial

SILVACO International 2-45

Tuning Implantation Parameters

Two implantation parameters can now be tuned using the threshold voltage versus gate length data.The peak value of threshold voltage for a given process flow (the reverse short channel effect) will be afunction of the initial implant damage caused by the LDD and source-drain implants. Since theseimplants have a high total dose and therefore damage, the tuning parameter here is the clusteringfactor. In Athena, this parameter is called “clust.fact” and is defined in the “cluster”statement. The higher the clustering factor, the greater the damage, the greater the diffusion, thegreater the reverse short channel effect. Figure 2-35 shows the effect on the threshold voltage ofchanging the clust.fact parameter for a typical process flow.

Figure 2-35: How changing the clust.fact parameter effects the threshold voltage

The second implantation parameter that can now be tuned is the lateral spread of the implant nearthe surface. In Athena , this parameter is called “lat.ratio1” and is defined in the implantstatement. The lateral spread of the source-drain and LDD dopant is responsible for the classical shortchannel effect, where the threshold voltage reduces for very short channel lengths. Simply tune thelat.ratio1 parameter until the onset of classical short channel effects of simulated and measureddata correspond. If the lat.ratio1 is increased, the onset of the classical short channel effect willoccur for longer gate lengths.

Tuning Diffusion parameters

The final part of the threshold voltage versus gate length curve can now be used to tune the surfacerecombination rate of interstitials. In ATHENA, this parameter is called KSURF.0 and is specified in theINTERSTITIAL statement. The surface recombination of interstitials will dictate the roll-off rate ofthreshold voltage from its peak value (reverse short channel effect) to the long gate length value. Once

Page 80: athena_users

ATHENA User’s Manual

2-46 SILVACO International

again, simply tune ksurf.0 until the long channel threshold voltage roll off rate matches that of themeasured data.

PMOS Tuning

PMOS devices are a special case since the boron doped Source/Drain implants overall tend to absorbinterstitials rather than emit them. The reverse short channel effect in buried channel PMOS devicescan be caused by “high angle implants”. If high angle implants are used, the reverse short channeleffect can be tuned using the LAT.RATIO1 parameter in the implant statement.

Related Issues on using the Device Simulator ATLAS for MOS Process Tuning

It should now be apparent that the calibration of an Athena process file involves the use of the devicesimulator Atlas to a significant extent. Hence, it is imperative that the use of the device simulator doesnot create additional errors rendering the process calibration results invalid.

It is fortuitous that the device physics involved in simulating the conditions required to extract athreshold voltage are not demanding. The drain voltage required to extract a threshold voltage is only50-100mV so effects such as impact ionization, etc., can be neglected. The field perpendicular to thegate is also relatively low around the threshold voltage so field effects in this direction will only be ofminor consequence. It is recommended, however, to at least use the models SRH and CVT during thecalculation. Other parameters for silicon are sufficiently well known for silicon to the point that theresults from the device simulator are reliable.

The first important point is to ensure that the user let the device simulator calculate the work functionof the gate electrode from the simulated doping profile rather than assigning a value to it. In practice,this means ensuring that the poly silicon gate is not itself defined as an electrode, but rather a layer ofmetal, usually aluminum, is deposited on top of the poly silicon gate and this metal layer is the filmthat is defined as the electrode. Do not assign a work function to this deposited metal electrode toensure that it behaves as an ohmic contact rather than a Schottky contact. The effective work functionof the poly gate will then be correctly calculated from the doping profile in the polysilicon.

An important area for accuracy in MOSFETs is modeling the inversion region under the gate, as it isthis charge that is responsible for current conduction in the device. The inversion region charge underthe gate-only extends approximately 30 Angstroms into the silicon. The inversion region chargedensity under the gate falls off rapidly with depth into the silicon. It is imperative, therefore, thatthere are several mesh points in the “Y” direction in this inversion region in order to model the draincurrent correctly. Accordingly, it is recommended that the mesh spacing under the gate be no morethan 10 Angstroms (1nm).

It may be thought that a 10 Angstrom mesh under the gate would result in a huge number of meshpoints. However, there only need be approximately three mesh points within the inversion region inthe “Y” direction. The grid spacing can increase rapidly in spacing away from the oxide-siliconinterface. Figure 2-36 shows the effect of changing the mesh spacing at the interface on the simulateddrain current. It can be seen from this figure that too coarse a mesh always results in too high acurrent being simulated.

Page 81: athena_users

Tutorial

SILVACO International 2-47

Figure 2-36: The effect of changing the mesh spacing at the interface on the simulated drain current.

Finally, if contact resistance is a problem, this should be included in the CONTACT statement. Theresistance added to the contact statement should be the measured resistance per contact divided bythe number of contacts on each individual electrode. Obviously, for D.C. measurements, the resistanceon the gate contact will have no effect on the results, since no current flows in this direction.

Checking the Predictive Powers of Tuned Process Parameters

If the process simulation has been correctly tuned, the process and device simulators should havepredictive powers. In order to check the validity of the tuning process, a new set of electrical datashould be used that was NOT used during the tuning process. For example, a good alternative set ofdata is to check the threshold voltage versus gate length for a non-zero voltage applied to the MOSFETbody contact.

Conclusion

In conclusion, using just one set of easily obtained measured electrical data, namely a plot of thresholdvoltage versus gate length, most of the tuning parameters required for accurate process simulation canbe obtained. The other most important piece of data required is an accurate measurement of the gateoxide thickness, which is routinely measured in any instance.

The user has been given specific advice as to which process and device models to use for each processin order to get the best results out of the simulation software. In particular, the correct use of modelsfor the implantation and diffusion processes is stressed, as this has a dramatic effect on MOSFETcharacteristics, especially as anneal times and device dimensions decrease.

Calibrating ATHENA for a Typical Bipolar Process FlowAs with MOS calibration text, the reader is presumed to be familiar with the preceding tutorial on themechanics of making an input file and using the correct methods and models. In particular, the sectionentitled “Process Steps Where User Model Selection is Critical for Accurate Results” should

Page 82: athena_users

ATHENA User’s Manual

2-48 SILVACO International

be totally understood by the user. The incorrect use of diffusion models defined in the methodstatement, for example, would invalidate the remainder of the following section.

Calibrating a bipolar process flow entails matching the two parameters, base current and collectorcurrent versus base emitter voltage, to measured results throughout the full operating range of thedevice. By implication, the current gain of the device (Ic/Ib) will also be matched. All of the followingparagraphs refer to the standard plot of collector and base currents measured against the base-emittervoltage, Vbe, unless it is specifically stated otherwise. This standard IV graph is usually referred to asthe “Gummel Plot”.

Another way of plotting the same information in a different format which can prove useful is a plot ofcurrent gain, hfe, versus the log of the collector current. This graph, however, is a derivation of thesame information which makes it less clear as to which current is increasing or decreasing for eachchange and it is therefore, a less useful graph when it comes to understanding exactly what ishappening to the collector and base currents.

The full operating range of a bipolar junction transistor (BJT) consists of three general regions definedby the current density injected into the base. These three operating regions are usually described aslow, medium and high current injection regimes. The medium injection region is the most importantpart of the curve to model correctly, as this represents the typical operating condition of the BJT. Eachof the three operating regions is dominated by a different physical phenomenon. Successful modelingof a BJT therefore, involves matching both the base and collector currents in each of the three generaloperating regions, making a total of six areas for calibration. The derived parameter, hfe, is also a goodparameter to monitor, since this is sensitive to errors in the ratio of collector to base current.

The following text suggests an approach and describes which of the six regions are effected by eachchange. The general technique is to calibrate the parameters that have the greatest effect on deviceperformance in all regions first and then to move on to more subtle phenomenon that effect certainparts of the base and/or collector currents. In general, matching the collector current for all injectionregions is less problematic than matching the base current at the extremes of the injection regions andconsequently, there are more sections on tailoring these parts of the curve. The text is divided up intothe following sections:

1. Tuning Base and Collector Currents – All Regions 2. Tuning the Base Current – All Regions 3. Tuning the Collector Current – All Regions 4. The Base Current Profile – Medium Injection 5. The Base Current Profile – Low Injection 6. Conclusions

If the above order is followed, there should be a reasonable correlation between measured andsimulated data. However, most of the tuning parameters have some degree of inter-dependency, thedegree of which is also device design specific, so some degree of iteration of the tuning parameters is tobe expected.

When tuning bipolar transistors, there is a greater emphasis in tuning parameters which are accessedusing the device simulator, ATLAS compared to optimizing MOSFETs where most tuning parameters areprocess-related. A powerful combination is the tuning of a BiCMOS process where the MOSFET part ofthe process flow can be used to tune the process parameters while the Bipolar part of the flow is usedto tune the device simulator. This technique should yield a high degree of predictability in the results.

Tuning the process simulator parameters in Athena is mainly required to model effects such as theimplantation induced defect enhanced diffusion, responsible for the “emitter push effect” which isessential to obtain the correct depth of the base-collector junction. The correct process modeling of theout diffusion of dopant from the poly-emitter into the mono-crystalline substrate is also critical toobtaining well matched IV curves. Another critical process modeling area is the base implant, as it isessential to match measured and modeled base resistance for correct modeling of the collector current.These and other issues are discussed in the sections below.

Page 83: athena_users

Tutorial

SILVACO International 2-49

Tuning Base and Collector Currents – All RegionsThe most important parameter to model the general level of base and collector currents is the devicemeasurement temperature. The base and collector currents are strongly influenced by temperaturechanges, as small as a few degrees centigrade. A significant effort should be made to determine theexact temperature of the device during measurements before calibration is attempted and thistemperature should be input into the device simulator, ATLAS, in the MODELS statement using theTEMPERATURE=<> parameter. An increase in temperature will cause an increase in base and collectorcurrents.

Tuning the Base Current – All RegionsA critical region for poly-emitter bipolar devices is the interface between the poly emitter and themono-crystalline silicon. This region is difficult to process simulate directly as the interface betweenthe polysilicon emitter and single crystalline silicon usually consists of a thin, uneven and possibly noncontinuous film of oxide. This is simulated by calibrating the overall effect of this interface, not with aprocess simulator, but with the device simulator, ATLAS. The tuning parameter is the surfacerecombination velocity at this interface for electrons (VSURFN for PNP devices), or holes (VSURFP forNPN devices).

The surface recombination velocity parameter not only effects the base current but it also effects thebase current in all of the operating regions. It is, therefore, a powerful parameter to approximatelymatch the base current and gain throughout the full operating range. In some cases, the base currentmay be less effected in the very high and very low injection regions by changes in the surfacerecombination velocity, adding some scope to fine tuning the profile of the base current versus base-emitter voltage curve.

It is important to realize that the polyemitter MUST be defined as an electrode to be able to define theinterfacial surface recombination velocity, VSURFN and VSURFP, using the CONTACT statement. This isin contrast to the MOSFET calibration text where is strongly advised not to define the polygate as anelectrode. Be sure not to get these two confused. The parameter that activates the recombinationvelocity is SURF.REC which is also in the CONTACT statement. For example, an NPN BJT statementwould be:

CONTACT NAME=emitter N.POLYSILICON SURF.REC VSURFP=1.5e5

A lower value of recombination velocity, VSURFP will reduce the base current and increase the gain,hfe. The converse is also true.

Tuning the Collector Current – All RegionsThe parameter which affects the collector current over the entire range is the intrinsic base resistance.The base resistance is primarily determined by the dose of the base implant(s). An increase in the baseimplant dose will decrease the intrinsic base resistance and decrease the collector current in allinjection regions. In some cases, however, the collector current may be little affected in the very highinjection region giving scope for fine tuning the profile of collector current versus base-emitter voltage.

If the pinched or intrinsic base sheet resistance is a measured parameter, the simplest way to matchmeasured and simulated data is to make slight changes to the base implant dose such that thesimulated dose is not outside the expected error in actual implanted dose in conjunction with the errorin percentage activation.

In some designs, where the base contact is close to the collector contact or the base contact is thesubstrate or is generally wide, the collector current may also in influenced in all current injectionregions by specifying a surface recombination velocity at the base contact. For a typical design with aburied n+ collector and surface contacts, the surface recombination velocity at the base contact mayhave little effect on the collector current

Page 84: athena_users

ATHENA User’s Manual

2-50 SILVACO International

The Base Current Profile – Medium InjectionThere are two major parameters in ATLAS that have a significant effect on the base current in themedium injection regime: the work function of the poly-emitter and the band-gap narrowing effect.These two effects are described in sections (a) and (b) below.

(a). Poly-emitter work function

If the poly-emitter is described as N.POLYSILICON in the CONTACT statement for an NPNdevice as described in section (3) above, the work function of the poly-emitter is set to 4.17V andis correct for saturation doped n++ poly-silicon. If, however, the poly-emitter is not saturation-doped, the work function will differ from this ideal and have a pronounced effect on the basecurrent and current gain in the medium injection regime. The work function of the poly-gatecan vary from 4.17V for n++ poly-silicon to (4.17V + Eg) for p++ polysilicon, depending on theposition of the Fermi-Energy. Changing the workfunction of the poly-emitter by just 0.1V from4.17V to 4.27V can often halve the current gain in the medium injection regime so it is veryimportant to assign the correct value. The contact statement below assigns a workfunction of4.27eV to the poly-emitter, while keeping the other parameters the same as before:

CONTACT NAME=emitter SURF.REC VSURFP=1.5e5 WORKFUN=4.27

The poly-emitter work function can be calculated by measuring the position of the Fermi-Energy at the poly-silicon/silicon interface relative to the conduction band and adding this valueto 4.17V. For example, if the Fermi-Energy is measured as being 0.1eV from the conductionband edge, the work function of the poly-emitter set in the contact statement should be set to4.17 + 0.1 = 4.27V.

(b). Bandgap Narrowing Effects

If the BIPOLAR parameter is stipulated in the MODELS statement in ATLAS, bandgap narrowingis included automatically. The inclusion of bandgap narrowing in the MODELS statement isstrongly advised since this phenomenon has a significant effect on the current gain of the device.However, in order to validate the default Klaassen bandgap narrowing model, the Klaassenmobility model should also be used. This is activated by using the additional keyword KLA to themodels statement. For example:

MODELS BIPOLAR KLA

will correctly activate the Klaassen bandgap narrowing model. The parameters in the Klaassenbandgap narrowing model are user definable in the MATERIAL statement and are described in the“Physics” section of the ATLAS manual. There are three user definable parameters for the Klaassenband gap narrowing model. The BGN.E parameter has a linear dependency on doping concentrationand has the default value of 6.92e-3 volts. BGN.C has a square root dependency with dopingconcentration and has the default value of 0.5. BGN.N is the value of doping where band gapnarrowing effectively starts to take effect and has a default value of 1.3e17/cm3. The equivalentdefault setting consequently should be written as:

MATERIAL BGN.E=6.92e-3 BGN.C=0.5 BGN.N=1.3e17

These parameters can be altered to modify the current gain of the device in the medium injectionregime. For example, reducing the linear parameter from 6.93e-3 to 6.5e-3 is sufficient to cause asignificant reduction in current gain in the medium injection region. Although the bandgap narrowingparameters affect both collector and base currents, the base current is affected to a greater degree. Themost sensitive plot to see the effect of small changes to bandgap narrowing is a plot of current gainversus log of collector current. A reduction in bandgap narrowing will result in an increase in currentgain in the medium current injection region.

Page 85: athena_users

Tutorial

SILVACO International 2-51

The Base Current Profile – Low InjectionThis is one case where there is an interdependency on one parameter, since the intrinsic baseresistance not only effects the collector current in all regions (see above) but it also has an effect on thebase current in the low injection region.

For a small range of implant doses around the optimum, the base doping concentration will also effectthe position of the knee and/or the rate of fall off of the base current in the low injection operatingregion of the device. This is most noticeable as a loss of current gain in the low injection region for thealternative standard plot of current gain versus collector current. An increase in the base implantreduces the intrinsic resistance and typically decreases the base current in the low injection regionresulting in an increase in current gain for very low currents.

A similar effect to increasing the base doping is observed if the base doping is kept constant but theoverall doping is reduced in the mono-crystalline silicon region of the emitter. The doping profile in themono-crystalline region of the emitter can be tuned using three parameters in the process simulator,Athena.

The main physical effect of these ATHENA parameters is to change the doping profile of the emitter inthe mono-crystalline silicon. These three parameters are:

1. The total interstitial concentration in the poly-emitter.

2. The dopant segregation effects in the poly-emitter.

3. The dopant velocity across the silicon/polysilicon boundary.

The first parameter will effect how quickly the dopant in an implanted poly-emitter reaches thesilicon/polysilicon boundary during the RTA diffusion and therefore effects the total diffusion of dopantinto the single crystalline part of the emitter and hence the base width doping profile etc.

The second parameter effects dopant pile-up at the poly-silicon/silicon boundary and hence the sourcedoping concentration at the mono-crystalline interface. Once again, this will effect the overall dopingprofile of the emitter in the mono-crystalline region of the device.

The third parameter effects the velocity of transport of dopant across the polysilicon/silicon boundarywith similar effects to the parameters above.

These parameters can be used to tailor the emitter doping profile in the mono-crystalline silicon regionto match available measured data, usually in the form of SIMS or capacitance information. Anaccurate profile of dopant in the poly-silicon part of the emitter is not too important if measured dataconcerning interfacial dopant concentrations is available. This is because the work function of thepoly-emitter is going to be set in ATLAS by defining the poly-emitter as an electrode. All that isrequired to calculate the correct work function at the poly-silicon emitter, is the interfacial dopingconcentration at the poly-silicon/silicon interface on the poly side of the junction. Setting the correctwork function for the poly-emitter is described in section (4-a) above.

Conclusions:By using a logical combination of tuning parameters available to the user in both the processsimulator (ATHENA) and the device simulator (ATLAS) and with a little experience as to the degree ofinfluence of each parameter, a good match for bipolar transistors should be obtainable for most devicedesigns.

Since it is usually less problematic to match the collector current for all levels of applied base-emittervoltage compared to the matching of base current, the user will probably find that more time is spenttying to match the base current for very small and very large values of applied base-emitter voltage.The user should ensure, however, that a good amount of time is placed on making sure that the correctprocess models are used in the process flow to reduce the overall uncertainty as to which parametersrequire calibration.

Page 86: athena_users

ATHENA User’s Manual

2-52 SILVACO International

Using Advanced Features of ATHENA

Structure Manipulation Tools

Using the Structure FLIP Capability

There is another structure manipulation capability, which allows you to flip the structure about the xaxis. The statement:

STRUCT FLIP.Y

causes the structure to be vertically flipped.

This operation may be useful if some process steps (etching, deposition, or implant) take place from thebackside of the wafer. Using this statement, it is possible to flip a structure, perform these steps andthen flip it back.

Using the Stretch Capability

In some cases, a device characterization as a function of length is of interest. For example, the draincurrent characteristics depend strongly on the gate length. The stretch capability makes it possible togenerate a number of MOSFET structures with different gate lengths from one ATHENA simulation.

The structure obtained so far in this tutorial (Figure 2-22) has a gate length of 0.6 . To increase the

gate length to 1.5 use the STRETCH command as follows. Select Stretch under Structure in theCommands menu. The ATHENA Stretch window will appear as shown in Figure 2-37.

Select Polysilicon from the Stretch Target Material menu, set Stretch Length to 1.5 , choose 10as the number of Grid Divisions, and press the Write button. The following command will appear inthe input file:

# STRETCH TO 1.5 MICRONS STRETCH LENGTH=1.5 POLY SNAP DIVISION=10

As a result, the polygate will be stretched from its initial length of 0.6 (left plot in Figure 2-38) to

1.5 (right plot in Figure 2-38).

µµ

µ

Figure 2-37: ATHENA Stretch Menu

µµ

Page 87: athena_users

Tutorial

SILVACO International 2-53

Ten (10) additional vertical grid lines will be inserted in the center of the gate area. The LENGTHparameter of the STRETCH command could serve as a split parameter for the Virtual Wafer Fab splitexperimentation capability. The stretch function can save a great deal of CPU time.

Another application of the stretch capability is in the simulation of large power device structures,where active areas are uniform everywhere except in close proximity to the mask edges, and areseparated from each other by long nonactive or isolation regions. It is possible to simulate a shrunkenstructure and then stretch the active and/or nonactive areas to the actual widths. This will also save atremendous amount of simulation time.

Using ATHENA In 1D Mode

The speed of a simulation can be greatly increased by running ATHENA in 1D mode. ATHENAautomatically runs in 1D mode by default initially. The simulation will automatically be converted to2D mode when a two dimensional simulation process such as ETCH or EXPOSE is performed. Simpleoperations such as conformal deposits, oxidation, and diffusion run much faster in 1D mode.

Figure 2-38: Using Stretch Function for a MOSFET Structure

Page 88: athena_users

ATHENA User’s Manual

2-54 SILVACO International

The deposition and etch sequences shown in Figure 2-39 show a sequence of 1D depositions with anautomatic conversion to 2D at the first etch.

Figure 2-39: Automatic 1D to 2D Conversion

Another aspect of 1D mode is demonstrated in Figure 2-40. In this case the INITIALIZE command isspecified with the parameters ONE.D and X.LOC=<n> (see INITIALIZE in Chapter Seven of thismanual). ONE.D specifies that a one dimensional calculation is to be done at the location X.LOC.

In the case of Figure 2-38 1D profiles are generated at different X locations of a complicated BiCMOSstructure. This allows quick check of the overall process using the 1D mode.

Deposition and Wet/Dry Etching using the Physical Models in ATHENA/ELITE

Overview

This section describes the deposition and etch capabilities of the ELITE module of ATHENA usingATHENA/ELITE default machines

To use ATHENA/ELITE‘ s physically based deposition and etch models, at least one of the followingsteps must be taken:

• Use one of the predefined machines that is described in the ATHENA/ELITE chapter

• Within your input file, modify the specification of one of these predefined machines to fit yourprocess

• Define a custom machine

• Invoke a prepared file that defines machines of interest

For example, one of the predefined machines is named PE4450. This machine deposits aluminum at arate of 1 micron/minute from a hemispheric source.

Initialsilicon

Epitaxy orotherblanketprocessing

Gateformationor other2D step

Processcompletion

Fast 1Dcalculation

Fast 1Dcalculation.

Automaticallytransformed to2D calculation.

2D calculation

Page 89: athena_users

Tutorial

SILVACO International 2-55

Figure 2-40: Use of One Dimensional Mode

To simulate the effects of two minutes of operation of this machine, invoke the ATHENA Deposit menuand select the Machine checkbox. The section PARAMETERS TO RUN THE DEFINED MACHINEwill appear in the menu (Figure 2-41).

The Machine name PE4450, the time units (minutes), and the Time of run (2.0) must be specified. It isrecommended that the “Total number of grid layers" in the deposited material region is specified. Ifthis number is set to 10, the following ATHENA/ELITE deposit statement will be inserted:

# USING DEFAULT DEPOSIT MACHINE PE4450 DEPOSIT MACHINE=PE4450 TIME=2.0 MINUTES DIVISIONS=10

Impurity concentrations in the deposited region can be specified in the Impurity concentration sectionof the ATHENA Deposit menu by clicking on the Impurities box.

Modifying ATHENA/ELITE Default Machines

The file athenamod defines the machine PE4450 as follows (Notice that a ( \ ) is used to concatenate orcontinue a long input line)):

RATE.DEPO MACHINE=PE4450 ALUMINUM \ U.M SIGMA.DEP=.35 HEMISPHE DEP.RATE=1.0 \ ANGLE1=72 ANGLE2=-70

The machine is modeled with a hemispherical deposition model. The deposition rate is 1 micron/minute. The logical parameter, U.M specifies what units are used, in this case microns per minute.Finally, the angles of incidence of the hemispherical deposition with respect to the surface normal are

Build a complete2D processdescription(i.e. BiCMOS).

P - Substrate

P - Substrate

N+

N+

N+

N+

N+

N+

P+

P+

P+

P+

P+

P+

P

P

N

N

Quickly calculate1D profiles at keylocations usingthe 1D mode.

Modify processdescription toproduce desiredcharacteristicsat key locations.

Simulate thecomplete 2Dprocess onlyonce!

Page 90: athena_users

ATHENA User’s Manual

2-56 SILVACO International

specified with the ANGLE1 and ANGLE2 parameters. These characteristics of the machine PE4450may be modified simply by copying the specification to the input file and using an ASCII editor. Forexample:

RATE.DEPO MACHINE=PE4450 ALUMINUM \ U.M SIGMA.DEP=.35 HEMISPHE DEP.RATE=.5 \ ANGLE1=72 ANGLE2=-70

redefines machine PE4450 to have a deposition rate of 0.5 micron/minute.

Defining ELITE Deposition Machines

You can define your own deposition machine using the ATHENA Rate Deposit menu (Figure 2-43).This menu is invoked by selecting Rate Deposit... under Deposit... in the Process submenu of theCommands menu. Machine definition requires the specification of five general parameters and one orseveral model-specific parameters. The general parameters that must be specified are:

• Machine name (e.g. TEST01) – This parameter uniquely identifies the machine

• Material name (e.g. aluminum) – A user defined material can also be specified

• Machine (model) type (e.g. unidirectional) – One of six models can be selected by pressing theappropriate button

• Deposition (rate units specifier e.g., A/min) – One of seven unit specifiers can be selected fromthe menu

• Deposition rate (e.g. 1000) – This parameter is specified in the user-selected units

The SIGMA.DEP parameter is optional and defaults to 0.2.

Figure 2-41: ATHENA Deposit Menu with Machine Section

Page 91: athena_users

Tutorial

SILVACO International 2-57

An alternative to a complete reflow calculation is provided by parameters SMOOTH.WIN andSMOOTH.STEP that provide a geometric averaging over a window of width SMOOTH.WIN microns that isperformed SMOOTH.STEP times. These parameters perform a post-deposition smoothing, whicheffectively emulates a reflow process. The wider smoothing window produces a more intensive surfaceredistribution of the deposit material. The default number of smoothing operations (1) is adequate formost applications.

One or several model-specific parameters are attributed to each model. For example, only the ANGLE1parameter is required for the unidirectional model. Table 2-4 indicates which parameters are requiredfor each model. The Parameters for Specific Machine Type section of the Rate Deposit menu includesonly those parameters which are relevant to the selected model. Each parameter has a default valuewhich will be inserted in the input file. If some of the parameters are undefined, the simulation may beinvalid or may produce unpredictable results.

If the ATHENA Rate Deposit menu is set as shown in Figure 2-43, the following RATE.DEPOstatement will be inserted into the input file.

RATE.DEPO MACHINE=TEST01 ALUMINUM A.S SIGMA.DEP=0.2 \ SMOOTH.WIN=0.1 SMOOTH.STEP=1 UNIDIREC \ DEP.RATE=1000 ANGLE1=0.00

Figure 2-42: ATHENA Rate Deposit Menu

Page 92: athena_users

ATHENA User’s Manual

2-58 SILVACO International

Defining ELITE Etch Machines

An ATHENA/ELITE etch machine can be defined using the ATHENA Rate Etch menu (Figure 2-44).

This menu is invoked by selecting Rate Etch... under Etch... in the Process submenu of theCommand menu. The machine definition requires the specification of four general parameters and oneor several model-specific parameters.

The general parameters that must be specified are:

• Machine name (e.g. TEST02) – This parameter uniquely identifies the machine.

• Material name (e.g. silicon) – A user defined material can also be specified.

• Machine type (e.g. Wet Etch) – One of three models can be selected by pressing theappropriate button.

• Etch rate units specifier (e.g. A/min) – One of seven unit specifiers can be selected from themenu.

One or several model-specific parameters are attributed to each model. For example, only theISOTROPIC rate parameter is required for the Wet Etch model. Table 2-5 indicates which parametersare required for each of the three models. The Parameters for Specific Machine Type section of theRate Etch menu includes only those parameters which are relevant to the selected model.

If the ATHENA Rate Etch menu is set as shown in Figure 2-43, the following RATE.ETCH statementwill be inserted into the input file:

# TEST02 ETCHING MACHINE RATE.ETCH MACHINE=TEST02 SILICON U.M WET.ETCH ISOTROPIC=0.03

Table 2-4. Deposition Model Required Parameters

Parameters Models

CVD UNI DUAL HEMI CONIC PLANET MONTE1 MONTE2 USER.DATA.1 USER.DATA.2

dep.rate yes yes yes yes yes yes yes yes optional yes

step.cov yes no no no no no no no no no

angle1 no yes yes yes yes yes/no* yes yes no no

angle2 no no yes yes no yes no no no no

c.axis no no no no yes yes no no no no

p.axis no no no no yes yes no no no no

dist.pl no no no no no yes/no * no no no no

sigma.dep no optional optional optional optional optional optional optional no yes

smooth.win no optional optional optional optional optional optional optional optional optional

smooth.step no optional optional optional optional optional optional optional optional optional

* To use the planetary model, either the ANGLE1 or the DIST.PL parameter must be specified. These parameters are mutually exclusive.

Page 93: athena_users

Tutorial

SILVACO International 2-59

Figure 2-43: ATHENA Rate Etch menu

If several materials are present in the structure to be etched, etch rates for each material type shouldbe specified in separate RATE.ETCH statements.

Using A Specified Etch Machine

When etch rates for a specific machine are specified using RATE.ETCH statements, it is possible tosimulate the effects of the operation of this machine. To simulate the etch process using a specifiedetch machine, invoke the ATHENA Etch menu and select the Etching Machine checkbox. TheParameters to Run the Defined Machine section will appear in the menu (Figure 2-44).

The machine name (TEST02), the time units (e.g. minutes) and the Time of run (e.g. 1.0) must bespecified by the user. There are also two tuning parameters which control time stepping during theetch process. To improve the smoothness of the etch surface, the maximum time step parameterDT.MAX should be decreased from its default value of 10 percent of the specified Time of Run value.

If the ATHENA Etch menu is set as shown in Figure 2-44, the following ETCH statement will appear inthe input file when the WRITE button is pressed:

# 1 MINUTE ETCHING USING TEST02 ETCH MACHINE ETCH MACHINE=TEST02 TIME=1.0 MINUTES DT.MAX=0.25

A new parameter DX.MULT has been added to the etch statement to allow enhanced discretizationduring individual ELITE Etch steps. Increasing the value of DX.MULT from its default value of 1.0will result in larger surface segments and a reduced discretization. Decreasing DX.MULT will result inbetter discretization in both space and time during the Etch calculation. Reducing the value of this

Table 2-5. Allowable Etch Model Parameters

Parameters wet.etch rie

isotropic yes yes

directional no yes

divergence no yes

chemical no yes

Page 94: athena_users

ATHENA User’s Manual

2-60 SILVACO International

parameter allows realistic modeling of wet etches that previously were poorly resolved. Use of thisparameter (DX.MULT) is preferable to the use of DT.MAX.

MaskViews InterfaceThis section describes an alternative to the manual specification of grid and etch steps described in theUsing the ATHENA section of this manual.

Defining Initial Rectangular Grid Using MaskViews

An initial rectangular grid can also be defined by using Silvaco’s IC layout editor MASKVIEWS. MASKVIEWSis designed specifically for interfacing IC layout information with process and device simulators.Detailed information about MASKVIEWS and its interface with DECKBUILD can be found in The VWFINTERACTIVE TOOLS manual. This section gives several practical suggestions on how to prepare a goodinitial grid for ATHENA.

With MASKVIEWS, it is possible to omit ATHENA mesh definition commands because the griddinginformation can be included in the layout file. When using MASKVIEWS to provide line information,DECKBUILD will comment out existing line commands when it loads the MASKVIEWS information.

Load the example mvanex01.in from the MASKVIEWS-ATHENA Section of DECKBUILD Examples Loader.Invoke MASKVIEWS Layout Files popup (Figure 2-45) by selecting the MaskViews-Starting MaskViewsitem from the Tools menu of DECKBUILD.

Choose the mvanex01.lay layout file from the scrolling list and press the Start MaskViews button.After a few moments the MASKVIEWS window will appear as shown in Figure 2-46.

This section will describe how to modify a grid specification for ATHENA. First, set the grid in the Ydirection by selecting Y... under Grid from the DEFINE menu. The Vertical grid control popup willappear as shown in Figure 2-63.

It is possible to add, modify and delete the lines for ATHENA initial rectangular grid exactly the sameway as using the ATHENA Mesh Define menu from DECKBUILD as previously described. Note that theDistance parameter is equivalent to the Location parameter in ATHENA, and the Add button isequivalent to the Insert button of the ATHENA Mesh Define menu. Also, press Return after enteringthe Distance or the Spacing values. If the Distances and Spacing are set as shown in Figure 2-47, thegrid will be the same in the Y-direction as the grid produced using the ATHENA Mesh Define menu.

Figure 2-44: ATHENA Parameters to Run the Define Machine Etch Menu Section

Page 95: athena_users

Tutorial

SILVACO International 2-61

MaskViews also controls the initial ATHENA grid in the X-direction. MASKVIEWS generates ATHENA linestatements for each mask edge on valid layers crossed by a cutline. The grid spacing and the validationof layers can be set by the MASKVIEWS: ATHENA Grid Control menu (Figure 2-47). This menu is invokedby selecting X... under Grid from the Define menu.

Figure 2-45: MaskViews Layout Files Popup

Figure 2-46: MaskViews Window

Page 96: athena_users

ATHENA User’s Manual

2-62 SILVACO International

Figure 2-48 shows the line locations and spacings preset for the POLY layer. This set of parametersmeans that for each POLY edge crossed by a cutline, three line statements are to be inserted into theATHENA input file. The first line will be located exactly at the edge and the spacing will be 0.05m. Thesecond line will be inside the POLY layer 0.3m from the edge, and spacing at this line is 0.15m. Thethird line will be outside the POLY layer 0.2m from the edge, and its spacing will be set to 0.1 m. Thecurrent edit layer can be chosen by selecting the Name button for the layer in the key list of MASKVIEWS(Figure 2-53). If AAD is selected, then only one line is set for an edge of the AAD layer because offsetdistances are equal to 0.0. It is recommended that only one line be set for unimportant layers. It is alsoimportant to validate only those layers that are going to be used in ATHENA MASK statements.

When grid parameters are set for all valid layers, a cutline can be chosen. Click on the Write filebutton, and the prompt Select first end of ATHENA cross section line will appear in the footer of theMaskViews window. Press the SELECT mouse button at the desired point in the layout (e.g. withinthe VIA2 region in the upper left corner of the layout). You will be prompted to select another end ofthe cross section line. Then drag the pointer and press the SELECT mouse button on the other end ofthe selected cutline. The ATHENA cutline popup will appear as shown in Figure 2-49. This shows the

Figure 2-47: Vertical Grid Control Popup

Figure 2-48: MaskViews: ATHENA Grid Control Menu

Page 97: athena_users

Tutorial

SILVACO International 2-63

exact location of the cutline. Now it is possible to preview the mask and grid information generated byMASKVIEWS. Press the Preview button and the Display Masks window will appear as shown in Figure 2-50.

The additional information on the number of lines, points, and triangles is also displayed in thiswindow. If the grid does not appear as shown in Figure 2-50 the Options Grid box must be selectedas well as the Display Masks box on the Properties... menu (Figure 2-51).

To select another cutline location, press the Done button in the ATHENA cutline popup, and repeatthe cutline selection process for the desired cutlines one at a time. If the grid is not satisfactory, the X...and/or Y... settings can be modified and then the modified grid can be previewed without selectinganother cutline. For example, if the Spacing at edge (Figure 2-64) is decreased from 0.05 to 0.025, afiner grid will be obtained at both POLY gate edges (compare Figure 2-68 with Figure 2-66).

Figure 2-49: ATHENA Cutline Popup

Figure 2-50: Display Masks Window

Page 98: athena_users

ATHENA User’s Manual

2-64 SILVACO International

When the location of a cutline and the corresponding grid are satisfactory, the cutline information canbe stored/used either as a Cut file or as a cutline object.

The Cut file can be saved by pressing the Write button (Figure 2-49). This file can be then loaded intoDECKBUILD for use in ATHENA by selecting cutfiles... under MASKVIEWS from the Tools menu of DECKBUILD.The MASKVIEWS Cut Files popup will appear. Select the desired *.sec* file and press Load (Figure 2-53).

This popup is invoked by selecting Cut files... under MASKVIEWS from the Tools menu of DECKBUILD.Alternatively you can select Drag & Drop from the Category menu (Figure 2-53). It is now possible toselect any preview as shown in Figure 2-50. Press the SELECT button anywhere within the DisplayMasks window, and the cutline icon will appear. Without releasing the SELECT mouse button, drag theicon into the Cut Files window and drop it by releasing the SELECT mouse button (Figure 2-54).

Several cutlines with different locations and grids can be Dragged and Dropped in this fashion, andthen any of them can be loaded into DECKBUILD for use by ATHENA.

When ATHENA is loaded with a cutline, DECKBUILD will comment out all existing line statements andwill automatically run line statements generated by MASKVIEWS. For example, the following output willappear in the tty subwindow if default.sec.1 generated for the CMOS Inverter, is loaded:

ATHENA> LINE X LOC=0.000 SPAC=0.100 TAG=LEFT ATHENA> LINE X LOC=0.300 SPAC=0.100 ATHENA> LINE X LOC=0.500 SPAC=0.100 ATHENA> LINE X LOC=0.600 SPAC=0.100 ATHENA> LINE X LOC=0.800 SPAC=0.050 ATHENA> LINE X LOC=1.100 SPAC=0.150 ATHENA> LINE X LOC=1.500 SPAC=0.150 ATHENA> LINE X LOC=1.800 SPAC=0.050ATHENA> LINE X LOC=2.000 SPAC=0.100ATHENA> LINE X LOC=2.100 SPAC=0.100ATHENA> LINE X LOC=2.300 SPAC=0.100ATHENA> LINE X LOC=2.600 SPAC=0.100 TAG=RIGHTATHENA> LINE Y LOC=0.00 SPAC=0.03 TAG=TOP

Figure 2-51: Properties Menu

Page 99: athena_users

Tutorial

SILVACO International 2-65

ATHENA> LINE Y LOC=0.20 SPAC=0.02ATHENA> LINE Y LOC=1.00 SPAC=0.10 TAG=BOTTOM

Figure 2-52: Properties Menu

Figure 2-53: ATHENA Mask Popup

Page 100: athena_users

ATHENA User’s Manual

2-66 SILVACO International

Figure 2-54: MaskViews Files Window

Using MaskViews for Generating Masks in ATHENA

The dry etching capability of ATHENA as well as the physical etching capability of ATHENA/ELITE canbe used in conjunction with the mask generating capability provided by DECKBUILD and MASKVIEWS. Acutline loaded into DECKBUILD has information on the x-location of the photomask edges. The sequenceof mask creation and stripping steps should be specified in the ATHENA input file. This can be doneusing the ATHENA Mask popup (Figure 2-53) which is invoked by selecting Photo from the Processmenu. By selecting Mask... on the Photo Menu, the popup used for inserting a MASK statement willappear.

Names of all available mask layers are in Figure 2-56. When a name is selected (e.g., POLY) from thelist, press the Apply Mask button, and the following lines will appear in the input file:

# DEFINING POLY MASK MASK NAME="POLY"

During runtime, DECKBUILD converts the MASK statement into a DEPOSIT statement followed by a seriesof ETCH statements. The mask thickness and material type are defined in the Layers popup (Figure 2-72) in the Define menu of MASKVIEWS.

Two types of mask material are available: photoresist and barrier. The real thickness of a photoresistlayer should be specified because it may be used as a mask for implantation. Barrier is a fictitiousmaterial. It is impenetrable for any implants and can serve only as a masking material. This materialis implemented in ATHENA for the purpose of simplifying simulation of mask deposition over highlynon-flat structures. A region to be etched may be any area not containing a mask on a clear field layer,or any area containing a mask on a dark field area. The field type is also specified in the Layers popup(Figure 2-72). In the case of the POLY mask and cutline in Figure 2-65, the barrier layer will be etchedto the left of x=0.8, and to the right to x=1.8. The following echo output will appear in the ttysubwindow as the result of defining of the POLY mask:

ATHENA> # DEFINING POLY MASKATHENA> ## MASK NAME="POLY"ATHENA> DEPO BARRIER THICK=0.10

Page 101: athena_users

Tutorial

SILVACO International 2-67

ATHENA> STRUCT OUTFILE=.HISTORY.9 ATHENA> ETCH BARRIER START X=-0.100 Y=-20 ATHENA> ETCH CONT X=-0.100 Y=20 ATHENA> ETCH CONT X=0.800 Y=20 ATHENA> ETCH DONE X=0.800 Y=-20 ATHENA> STRUCT OUTFILE=.HISTORY.10 ATHENA> ETCH BARRIER START X=1.800 Y=-20 ATHENA> ETCH CONT X=1.800 Y=20 ATHENA> ETCH CONT X=2.800 Y=20 ATHENA> ETCH DONE X=2.800 Y=-20 ATHENA> STRUCT OUTFILE=.HISTORY.11

Figure 2-55: ATHENA Mask Popup

Figure 2-56: MaskViews Layers Menu

Page 102: athena_users

ATHENA User’s Manual

2-68 SILVACO International

If the Reverse Mask checkbox is checked in the ATHENA Photo popup, then the following lines will beinserted into the input file:

# DEFINING POLY MASKMASK NAME="POLY" REVERSE

and the effect of the field attribute is reversed, i.e., the barrier area will be etched between x=0.8 andx=1.8.

When the mask is defined, the ATHENA dry etch capability can be used to etch the specified thicknessof a material not covered by the mask. After the dry etch is complete, strip the mask by clicking theStrip Mask button in the ATHENA Photo popup. A typical mask definition fragment should appear asfollows:

# POLY DEFINITIONMASK NAME="POLY"ETCH POLY THICK=0.5STRIP

If the cutline from Figure 2-49 is loaded, this will give the structure shown in upper plot of Figure 2-57. If the reverse parameter is added, the structure will appear as shown in the lower plot of Figure 2-57.

Using ATHENA/OPTOLITH

OverviewATHENA/OPTOLITH is designed as an optical lithography tool integrated into a complete processframework. Specific functions of ATHENA/OPTOLITH include 2D aerial image formation, 2Dphotoresist exposure and development, post exposure bake, and post processing capabilities such as CDextraction for generating SMILE plots. This section of the tutorial describes ATHENA/OPTOLITH input/output and the following basic operations for creating a typical input file for optical lithography:

• Creating an input mask using MASKVIEWS or the LAYOUT command

• Designing custom or standard illumination systems

• Projection Fourier plane filtering

• Imaging controls

• Properties of materials

• Structure exposure, post exposure bake, and development

• CD extraction, SMILE plots, and looping procedures

This section of the tutorial assumes that the user is familiar with the general operation of ATHENA asdescribed in the previous sections of this chapter. This includes familiarity with the commandlanguage used to generate structures, as well as a general knowledge of the use of the VWF InteractiveTools. Specific features that refer particularly to OPTOLITH will be explained here.

Creating A MaskA mask can be created using the MaskViews tool supported by the VWF INTERACTIVE TOOLS, or by usingthe LAYOUT command. MASKVIEWS facilitates the creation of complicated masks and can importdifferent mask data formats such as the GDS2 stream format. In the case of simple masks containingone or two features, it may be simpler to use the LAYOUT command.

Page 103: athena_users

Tutorial

SILVACO International 2-69

MaskViews

After selecting MASKVIEWS under the Tools/MaskViews menu, press the Start MaskViews button.The MASKVIEWS window will appear. Press the Properties... button, and the MASKVIEWS Propertiespopup will appear (Figure 2-58).

Open the Simulator menu and select the ATHENA/OPTOLITH menu item. Customized controls forMASKVIEWS/OPTOLITH will appear in the MASKVIEWS window. The colored buttons on the right side of thewindow are discrete controls for phase in degrees, and intensity transmittance. The buttons firstappear as phase. To change to transmittance, open the Phases menu above the buttons and select theTransmittances menu item. This will change the buttons from phase to transmittance controls.Continuous controls for phase and transmittance are located directly below the colored buttons.

The mask can now be designed using the mouse driven line writer following the description outlined inthe MASKVIEWS chapter of the VWF INTERACTIVE TOOLS manual. Once the mask is created, it should besaved to a file with a name ending in a .lay extension for future editing.

Figure 2-57: Using Mask Capability for POLY Definition

Page 104: athena_users

ATHENA User’s Manual

2-70 SILVACO International

It is important to be aware that there are two types of files that can be saved from a MASKVIEWS layoutinformation. The first type is the layout file. This file includes the information about layers and maskfeatures. This information is stored by selecting the Files Save menu item on the MASKVIEWS screen.

The second type of file that can be saved from MASKVIEWS is a file that is similar to the layout file butthat is written to interface with ATHENA/OPTOLITH.

The file to be used by OPTOLITH is created by pressing the Write File button in the MASKVIEWS window.The following popup will appear (Figure 2-59).

Enter the desired file name, which should end with a .sec extension, and proceed to the next step.Note that in the bottom of the MASKVIEWS window the message Select first corner of OPTOLITHsimulation area appears. MASKVIEWS is prepared for the selection of the image window. The imagewindow describes the area where intensity will be calculated. Click on the desired area for intensitycalculation to create the first corner of the OPTOLITH simulation area. The message: Select the othercorner of OPTOLITH simulation area will appear at the bottom of the MASKVIEWS window. Click on thedesired second corner. Once this second point is selected, the coordinates of the image window’s lowerleft and upper right corners will be displayed in the OPTOLITH Simulation Control popup. Press theWrite button to save the OPTOLITH mask file. The input file created by MASKVIEWS is loaded intoOPTOLITH by the IMAGE command and will be described later. There are additional features ofMASKVIEWS that are important to understand: Under the Define menu, when the Layers menu item isselected, the following popup will appear see Figure 2-60.

Figure 2-58: MaskViews Properties Popup

Figure 2-59: OPTOLITH Simulation Control Popup

Page 105: athena_users

Tutorial

SILVACO International 2-71

If Dark is selected, the field background will be dark and the features will have the intensitytransmittance as selected. If Clear is selected, the intensity transmittance automatically becomes (1 -T).

Only rectangular features are used in the imaging module. MASKVIEWS automatically converts trianglesor polygons to a set of parallel rectangles. Finer resolution on these rectangles can be obtained bychanging the resolution on the Screen... popup under the Define menu.

Mask Layout

In the LAYOUT command, each mask feature is defined with one command line. For example:

LAYOUT X.LO=-0.5 Z.LO=-5.0 X.HI=0.5 Z.HI=5.0 TRANS=1 PHASE=0

defines a 1 wide line that is 10m long. The mask has an intensity transmittance of one and a phaseof zero degrees. The LAYOUT command can be repeated as often as desired. The number of maskfeatures is limited only to the amount of memory available. The command:

LAYOUT LAY.CLEAR

will remove all previous mask features from memory. Overlapping mask features will cause an error.The OPAQUE and CLEAR parameters can be specified in the IMAGE command. This will not reversepolarity as it does in MASKVIEWS.

Illumination SystemThe illumination system is defined through the use of two commands: ILLUMINATION andILLUM.FILTER. ILLUMINATION, defines the illuminating wavelength, the possible x and z tilt of theoptical system, and the relative intensity which is usually set to one. ILLUM.FILTER defines the shapeof the illumination system. The general shapes available are CIRCLE, SQUARE, GAUSSIAN,ANTIGUASSIAN, and SHRINC. The extent of the source must be defined to be within a square centeredat the origin as illustrated in Figure 2-61.

The extent of the source is defined by the coherence parameter SIGMA. SIGMA defines the radius forcircular sources (CIRCLE, GAUSSIAN, and ANTIGAUSSIAN), the x and y intercepts for squaresources, and the radius of each individual SHRINC source element as illustrated in Figure 2-62.

Figure 2-60: Layers Popup

µ

Page 106: athena_users

ATHENA User’s Manual

2-72 SILVACO International

In all cases, anything outside of the square defined by SIGMA=1 will be ignored. The SHRINC sourceposition is defined by the RADIUS and ANGLE parameters as seen in Figure 2-62. The SHRINC sourcecan be defined by the command:

ILLUM.FILTER SHRINC RADIUS=0.25 ANGLE=45 SIGMA=0.1

Figure 2-61: Maximum Extent of the Source Region

Figure 2-62: Three Different Source Types

1

-1

1-1

Source

Region

Sigma

- Sigma

Sigma-Sigma

SQUARE

Sigma

CIRCLE

SHRINC

Radius+ Angle

Sigma

Page 107: athena_users

Tutorial

SILVACO International 2-73

Arbitrary sources can be defined by using the ANGLE and RADIUS parameters. Phase and intensitytransmittance of each source element are controlled by the parameter PHASE and TRANSMITTANCE. Bypositioning each source element in the source region, any type of source can be simulated. To simulatea SHRINC source, the following command lines should be entered:

ILLUM.FILTER CIRCLE SIGMA=0.1 RADIUS=0.25 ANGLE=45ILLUM.FILTER CIRCLE SIGMA=0.1 RADIUS=0.25 ANGLE=135ILLUM.FILTER CIRCLE SIGMA=0.1 RADIUS=0.25 ANGLE=225ILLUM.FILTER CIRCLE SIGMA=0.1 RADIUS=0.25 ANGLE=315

If overlapping sources are defined, a warning is issued and the most recent source is used. If theoverlap is partial, only the overlap area is overwritten by the most recent source.

Annular filters can also be superimposed on the source. There are two types of annular filters: squareand circle. Annular filters have a multiplicative effect on the source. Because of this, care should betaken when defining a complex source and a complex filter. An example of an annular source of innerradius 0.4 and outer radius 0.6 is given below:

ILLUM.FILTER CIRCLE SIGMA=0.6ILLUM.FILTER CIRCLE INNER.RAD=0.0 OUTER.RAD=0.4 TRANSMIT=0.0

In the first statement, the parameter SIGMA defines the outer radius. In the second statement, anopaque spot is defined as an annular filter as shown in Figure 2-63.

The source must be described before the filter or the command will be ignored and a warning given.The parameter, CLEAR.FILTER, is used to remove all pre-existing filters and sources.

Figure 2-63: Annular Source

The Projection SystemThe projection system is defined using two commands: PROJECTION and PUPIL.FILTER. ThePROJECTION command is used to define the numerical aperture and flare of the projection system. ThePUPIL.FILTER command describes the shape of the projection system and the possible filters of theprojection system. The shape of the projector pupil can be square or circular. The circular pupil has theoption of having a Gaussian or anti-Gaussian transmittance profile. Filtering of the Fourier spectrumcan be performed using annular filters. The filters have a multiplicative effect on the transmittanceand phase in the projector pupil. The following example creates an opaque square at the origin:

Sigma = 0.6

Page 108: athena_users

ATHENA User’s Manual

2-74 SILVACO International

PUPIL.FILTER SQUAREPIL.FILTER SQUARE INNER.RAD=0.0 OUTER.RAD=0.1 TRANS=0.0

This creates the following projection pupil (Figure 2-64):

The maximum extent of the projector pupil plane is +1 or -1 in both dimensions. A filter exceedingthese dimensions will be ignored and a warning will be issued.

Figure 2-64: Projection Pupil

Imaging ControlThe image calculation is done by the IMAGE command and its associated parameters. The mask to beimaged will already be defined either by a MASKVIEWS *.sec file, or by the LAYOUT command. If aMaskViews *.sec file is used, then the IMAGE command will be of the form:

IMAGE INFILE=*.sec ...

If the mask is defined using the LAYOUT command, then the mask features are stored in memory andthe only required input related to mask features is the OPAQUE/CLEAR specification. OPAQUE specifiesthe background intensity transmittance to be zero, and CLEAR specifies the background intensitytransmittance to be one. OPAQUE is the default setting. OPAQUE and CLEAR cannot be used with aninput file from MASKVIEWS.

The image window (not to be confused with the computational window, which will be discussed below)is specified with the parameters WIN.X.LOW, WIN.Z.LOW, WIN.X.HI, and WIN.Z.HI. Theseparameters define the minimum and maximum range of the x and z values as shown in Figure 2-65.The aerial image is calculated only inside this window. This allows for faster computation when only across section is desired. If a simple cross section is desired, the window parameters for z (WIN.Z.LO,WIN.Z.HI) should be set to the same value for a cross section parallel to the x-axis.

This value (WIN.Z.LOW = WIN.Z.HI) gives the location of the cross-section.

1

-1

1-1

0.1

-0.1

0.1-0.1

Page 109: athena_users

Tutorial

SILVACO International 2-75

Figure 2-65: The Image Window can be Placed Anywhere in the XZ Window

The resolution in the image window can be controlled by two different sets of parameters. The first setis DX and DZ. DX and DZ are the resolution in micrometers for the x and z directions, respectively. Thesecond set of parameters is based on the number of points in each direction: X.POINTS and Z.POINTS.The resolution will be the length of the side of the image window divided by the number of points.Defocus of the aerial image can be studied using the DEFOCUS parameter. DEFOCUS uses units ofmicrometers.

The parameter, N.PUPIL, specifies the computational window. If N.PUPIL is not specified, it isautomatically calculated to a size that encompasses all mask features. In these cases thecomputational window may be set manually using the following formula:

2-1

where length is the intercept coordinate for the x and z axes of a square centered at the origin thatdelimits the computational window as shown in Figure 2-66.

z

xWIN.X.LOW

WIN.Z.LOW

WIN.Z.HIGH

WIN.X.HIGHImage

Window

N.PUPILlength NA⋅

lambda---------------------------- * 2=

Page 110: athena_users

ATHENA User’s Manual

2-76 SILVACO International

Figure 2-66: The Computational Window is Always Centered at the Origin

Once the image has been calculated, it can be stored in a standard structure file by the command:

STRUCTURE OUTFILE=*.STR INTENSITY

The INTENSITY modifier identifies the file to be different than a standard structure. This file can laterbe initialized into memory and used without running the imaging module. To initialize an intensityfile, type:

INITIALIZE INFILE=*.STR INTENSITY

The intensity modifier again specifies the type of file. An intensity file initialized in this fashion isuseful only for exposures that use the vertical propagation model.

N.PUPIL also affects the accuracy of the aerial image calculation. A higher N.PUPIL value increasesthe number of source points by a factor (2*N.PUPIL+1) squared, and will increase both the accuracyand the computation time.

Note: The image window and the computational window are not linked. The computational window isautomatically adjusted to include all mask features, unless otherwise specified in the IMAGE command.This means that the entire mask will be used in the image calculation. The image window can be used tospecify that only a part of the entire image be calculated in order to increase the speed of the simulation.The selected image can be overridden in the IMAGE command by specifying new window coordinates.

Aerial image intensity distributions can be added together by specifying MULT.IMAGE on repetitions ofthe IMAGE command. Any number of images may be added together. The first IMAGE statementshould not contain the boolean parameter MULT.IMAGE so that preceding aerial images are erasedfrom memory. Weighting of the aerial images can be done using the INTENSITY parameter on theILLUMINATION command.

ONE.DIM is a new parameter that has been added to the IMAGE command. It allows calculation of onedimensional aerial images. This is used primarily for increasing speed in the exposure calculation forrepetitive line width calculations.

z

x

Computational

Window

Page 111: athena_users

Tutorial

SILVACO International 2-77

Defining Material PropertiesThere are two commands in ATHENA/OPTOLITH that relate to properties of materials: OPTICAL andRATE.DEVELOP. The OPTICAL command sets the complex index of refraction for a single material at agiven wavelength. The RATE.DEVELOP command sets development rate parameters for each resistdefined in the resist library. Default values for these material parameters are located in theathenamod file, which can be viewed in DECKBUILD by selecting the Models... item from the Commandmenu. Any of these parameters can be changed by entering the command with the new values.

For example, to change the index of refraction of silicon at 0.365 m, enter:

OPTICAL SILICON LAMBDA=0.365 REFRAC.REAL=6.522 REFRAC.IMAG=2.705

To enter resist parameters at wavelength 0.407m, use the RATE.DEVELOP command as follows:

RATE.DEVELOP NAME.RESIST=AZ135OJ LAMBDA=0.407 \ A.DILL=0.88 B.DILL=0.077 C.DILL=0.018 E1.DILL=5.63 \ E2.DILL=7.43 E3.DILL=-12.6

Photoresist parameters for development or diffusivity (Dix.0, Dix.E) can be entered separately fromexposure parameters without specifying the wavelength. The photoresist name must always bespecified. When specifying Dill exposure parameters A, B, and C, it is necessary to specify thewavelength, as these parameters vary with wavelength.

Structure ExposureExposure, post exposure bake, and development each have separate commands: EXPOSE, BAKE, andDEVELOP, respectively. In order to use these three commands, some initial requirements must be met.First, an intensity cross section or Fourier Spectrum data must be available. Second, a structureincluding photoresist must be created using the techniques described in the Using ATHENA section ofthis tutorial.

This intensity cross section can come from three different places. The first is by running the imagingmodule prior to exposure. This puts the intensity data array into memory. The second is by initializingwith an intensity data array that has been stored in a standard structure file (see Imaging Controls,above) using the following command:

INITIALIZE INFILE=*.STR INTENSITY

The INTENSITY qualifier lets ATHENA know that this is an intensity file, as opposed to a standardstructure file. After this command is entered, the intensity data array will be placed in memory.Wavelength will be stored in this file and can be changed only by rerunning the imaging module. Thenon-vertical propagation model can only be invoked if the IMAGE command is run prior to theEXPOSURE command.

The third method of entering an intensity cross section is through a user data file. The file shouldcontain the wavelength, the number of data points, and the intensity and position of each point. Thefirst line of this file should contain the wavelength in micrometers. The second line should contain thenumber of points, and the following line should contain the position and then the intensity of the firstpoint on the same line. This should be repeated for each point. This input file is read in the EXPOSEcommand using the format:

EXPOSE INFILE=*.EXP

The non-vertical propagation model can only be invoked if the IMAGE command is run prior to theEXPOSURE command.

Once the intensity array is initialized or the Fourier spectrum data is in memory via the IMAGEcommand, exposure may be executed if a structure exists in memory and if that structure has

Page 112: athena_users

ATHENA User’s Manual

2-78 SILVACO International

photoresist as its top layer(s). The structure can be created in the input file or can be initialized asdescribed in the Using ATHENA section of this chapter.

The EXPOSE command has many parameters that control the accuracy and speed of the exposuresimulation, as well as related imaging parameters. The following parameters control simulation speedand accuracy and are not necessary for a preliminary simulation:

FLATNESS, NUM.REFL, FRONT.REFL, BACK.REFL, ALL.MATS

The most important of these parameters is the FLATNESS parameter. If FLATNESS is set equal to zero,the algorithm uses the entire grid for the calculation and may lengthen the simulation time. Theremaining parameters refer to the image to be exposed. Both TE and TM modes are available inexposure, but they must be performed separately. Select TE by adding the PERPENDICULARparameter to the EXPOSE command; or select TM by entering the PARALLEL parameter. TE is thedefault. The exposure dose is also defined in the EXPOSURE command in units of mJ/cm2 using theDOSE parameter.

Numerical aperture is included in the EXPOSURE command using the parameter NA. This provides theoption for the vertical propagation model, which significantly decreases simulation time. To select thevertical propagation mode, NA is set equal to zero (the default).

Defocus effects are specified in the IMAGE command. The DEFOCUS parameter in the EXPOSEcommand is no longer used.

In the non-vertical propagation mode, exposures can be made with either coherent or incoherentsources. Coherent sources are described by SIGMA=0.01 in the IMAGE command. This defines a smallenough source that only one discretization point is included. If a large SIGMA is defined anddiscretization of the source allows at least three source points in the x (or z) direction, then threepoints from the source will be used in the bulk image calculation with equal weight given to eachpoint. The points chosen will be the central point and the outermost points, or the dimension of thechosen cross section (x or z). If multiple sources are defined using the ILLUM.FILTER command, thenthe central point of each SOURCE defined is used for calculating the bulk image in the exposure. Thelatter allows an arbitrary amount of source points to be simulated for the bulk image calculation. Thisis done by specifying many small adjacent sources and one point will be taken from each source.

Bulk image exposures can be added together by specifying MULT.EXPOSE on repetitions of the EXPOSEcommand. Any number of exposures may be added together. The first EXPOSE statement should notcontain the boolean parameter MULT.EXPOSE so that preceding exposures are erased from memory.Weighting of the exposures can be done using the DOSE parameter on the EXPOSE command.

The final four parameters, X.CROSS, Z.CROSS, CROSS.VALUE, and X.ORIGIN all refer to the aerialimage cross section. The boolean parameters, X.CROSS or Z.CROSS, define the cross-section to beparallel to the x-axis or the z-axis, respectively. CROSS.VALUE specifies the z location of X.CROSS, orthe x location of Z.CROSS. These parameters are especially useful when several cross sections fromone large, two dimensional aerial image will be simulated. X.CROSS is the default. If CROSS.VALUE isnot specified, the center of the image window, defined in the imaging module, will be used asCROSS.VALUE. X.ORIGIN allows the aerial image cross section to be shifted laterally in the twodimensional exposure simulation.

Post Exposure Bake

The BAKE command can be invoked by using only one parameter, the diffusion length: DIFF.LENGTH.For a post exposure bake of 60 seconds at a temperature of 125°C, the recommended diffusion length isbetween 0.05 and 0.1 micrometers.

The BAKE command can also be used by specifying TIME in seconds and TEMPERATURE in C°.

Page 113: athena_users

Tutorial

SILVACO International 2-79

Development

The development module offers a choice of six different development models. Model parameters arespecified in the RATE.DEVELOP command as mentioned above. After the development model isselected, the three primary parameters for the DEVELOP command are TIME (in seconds), STEPS, andSUBSTEPS. TIME is the total development time. STEPS specifies the number of times the structure is toundergo regridding. SUBSTEPS is the total number of times that the development line should bemoved. Each substep is performed for a time increment equal to TIME/(STEPS*SUBSTEPS). Aftereach regridding of the structure, it is possible to dump out a standard structure file to show theprogress of the development. To do this, specify the parameter DUMP=1. To name the structure file thatis to be dumped, specify DUMP.PREFIX=<name>, and the structure will be created in the localdirectory with the name <name>**.str, where ** is the current development time.

Post Development Bake

A physically based reflow of the developed photoresist is available. It is specified using the BAKEcommand and the boolean parameter REFLOW along with TIME and TEMPERATURE.

CD Extraction, Smile Plots, And Looping ProceduresCDs are extracted from the structure using the function MAT1|MAT2(y). This gives the horizontalintersection of material number 1 and material number 2 at the value y. To extract a CD from a profile,the following format is used:

(GAS|PHOTO(1.4) - PHOTO|GAS(1.4))

This will give the CD at the horizontal line y=1.4.

To generate swing curves, the FOREACH and END statements must be used for looping. The examplebelow shows the input language used to perform the loop:

PRINTF ATHENA > SWINGPRINTF 16 2 2 > SWINGPRINTF THICKNESS > SWINGPRINTF CDS > SWINGFOREACH J (0.1 TO 0.5 STEP 0.25)INITIALIZE INFILE=ANOPEX15.STRDEPOSIT NITRIDE THICK=J DIV=1 MIN.SPACE=0.01DEPOSIT PHOTORESIST NAME.RESIST=ZZZ THICK=1 DIV=30 MIN.SPACE=0.01EXPOSE DOSE=150 NUM.REFL=3 NA=0 FRONT.REFL=1BAKE DIFF.LENGTH=0.05STRUCTURE OUTFILE=ANOPEX15.J.STR2DEVELOP MACK TIME=45 STEPS=9 SUBSTEPS=10STRUCTURE MIRROR LEFTSTRUCTURE OUTFILE=ANOPEX.15.J.STR3PRINTF J (ZZZ|GAS(1.4+J) - GAS|ZZZ(1.4 + J)) > SWINGEND

This creates an output file called SWING. The first command writes the name of the framework. Thesecond writes the number of rows, number of columns, and number of titles (see the TONYPLOT chapterin the VWF INTERACTIVE TOOLS manual).

The FOREACH statement signals the beginning of the loop, and the END statement terminates the loop.J is the parameter to be varied in the loop. In this case it is the thickness of the nitride layer.

The final PRINTF statement prints the data to the file: first the thickness J, then the CD at y=1.4+J. Inthe DECKBUILD input file, enter the command:

Page 114: athena_users

ATHENA User’s Manual

2-80 SILVACO International

tonyplot -da SWING

and a plot of the swing curve will appear. This command can also be written in the input file after theloop.

In order to generate SMILE plots (focus-exposure latitude curves) a double loop is required. The inputlanguage used for a typical double loop is shown below.

PRINTF ATHENA > SMILEPRINTF 24 3 3 > SMILEPRINTF DEFOCUS > SMILEPRINTF CDS > SMILEPRINTF DOSE > SMILEFOREACH I (200 TO 300 STEP 25)FOREACH J (-1.5 TO 1.5 STEP 0.5)INITIALIZE INFILE=ANOPEX12.STR1IMAGE DEFOCUS=J WIN.X.LO=.5 WIN.X.HI=.5 WIN.Z.LO=0 WIN.Z.HI=0 \ CLEAREXPOSE DOSE=IBAKE DIFF.LENGTH=0.05STRUCT OUTFILE=ANOPEX12.J.I.STRDEVELOP MACK TIME=45 STEPS=5 SUBSTEPS=10STRUCTURE MIRROR LEFTSTRUCTURE OUTFILE=ANOPEX12.J.I.STR3PRINTF J (ZZZ|GAS(1.4) - GASS|ZZZ(1.4)) I > SMILEEND

In this smile plot example, exposure DOSE is varied in the outer loop and DEFOCUS is varied in theinner loop.

The output is written to a file called SMILE. The difference between the smile plot and the swing plot isthat smile plots must distinguish between several types of data. To do so, a third column called groupis added (see the TonyPlot chapter in the VWF Interactive Tools manual). The final PRINTF statementprints DEFOCUS (J), CDs, and DOSE (I). To display the plot, outside of DECKBUILD, enter thecommand:

tonyplot -da SMILE

and the plot will appear. In the TonyPlot window, select Plot/Display, and a popup will appear. Pulldown the Group menu and select the Dose menu item. This will group the set of plots for eachexposure dose.

Note that in the above two examples, we can use the STRUCTURE MIRROR LEFT command after theDEVELOP command. This is applicable in all symmetric cases and reduces run time by up to 33percent. This is done by making the calculation for half the line and then reflecting the structure toobtain the entire line profile.

Adaptive Meshing

Introduction to Mesh AdaptionATHENA has a built in mesh adaption module that automatically adapts the grid to dopant profiles.Used together with implantation and diffusion, the module can achieve more optimized accuracy of agiven profile’s representation for a given number of grid points. This relieves the user, to some extentfrom the time consuming mesh generation task in the simulation structure preparation stage. It willalso improve the accuracy and speed of the subsequent diffusion/oxidation/epitaxy stages whereimpurity profiles change with time. The algorithm used was developed by C. C. Lin and M. E. Law

Page 115: athena_users

Tutorial

SILVACO International 2-81

from University of Florida [109][110]. It uses an efficient local error estimator and a triangulationscheme suitable for complex two-dimensional moving boundary problems.

Adaption During Ion Implantation

Ion implant is a common process step to introduce impurities into the substrate to form active deviceregions. Prior to the implant step it is difficult to determine the required mesh density distributionbecause the exact dopant profile is not known prior to processing. Thus the user can only estimate theprofile and required mesh. It is a time consuming process to specify mesh generation commands tocreate the mesh with a density conforming to an estimated profile. Graphical tools, like DEVEDIT, canmake this easier, but cannot totally eliminate the process. With the Adaptive Meshing module, thesedifficulties can be overcome to a larger extent.

The program uses an iterative algorithm to determine the required mesh density distribution toaccurately conform to the implanted profile, and will automatically generate the additional requiredmesh. The algorithm is illustrated with the flow chart depicted in Figure 2-67.

Figure 2-67: Flow Chart of Mesh Adaption Algorithm

Adaption During A Heat Cycle

During the diffusion/oxidation/epitaxy processes, impurity profiles are usually changing continuallywith each elapsed time step. An initially generated optimal mesh will not conform to the time varyingdopant profile. If the impurity profiles change substantially during the process, the mesh densitydistribution will be very different from the dopant contour distribution, causing both accuracy andspeed problems.

Previous Mesh

Continue

AccurateImplantedProfileDistribution?

Dopant Implant

Mesh AdaptionClear Dopant+ Increase Adaptive

Meshing critera

N

Y

Page 116: athena_users

ATHENA User’s Manual

2-82 SILVACO International

During simulation, the total time of a diffusion/oxidation/epitaxy process is usually divided into manysmall time steps, with profiles changing gradually between time steps. Using the Adaptive Meshingmodule, a mesh adaption may be performed after each time step. This allows the mesh to conform tothe dopant after a time step.

The difference between the dopant contours and the change in the mesh density distribution will thusbe limited to the difference of dopant profiles between time steps. This difference is substantiallysmaller than that over total diffusion time. Thus, mesh adaption can allow more accuracy andminimize the mesh density for the dopant representation at any given time.

A Simple Example:

GO ATHENALINE X LOC=0.00 SPAC=0.1LINE X LOC=2.00 SPAC=0.1LINE X LOC=0.00 SPAC=0.1INIT SILICON C. ARSENIC=10E14DIFF TIME=50 TEMP=950 DRYO2DEPOSIT POLY LEFT PL.X=1.2ETCH POLY LEFT PL.X=1.2STRUCT OUTF=MOS_0.STR#PERFORM ADAPTIVE MESHING FOR BOTH IMPLANT AND DIFFUSIONMETHOD ADAPT IMPLANT BORON DOSE=1.0E13 ENGERY=15 PERSON TILT=0STRUCT OUTF=MOS_1.STRDEPOSIT OXIDE THICK=.35 DIV=6ETCH OXIDE THICK=.35IMPLANT BORON DOSE=1.0E14 ENGERY=15 PERSON TILT=0 STRUCT OUTF=MOS_2.STRDIFFUSE TEMP=1000 TIME=30STRUCT OUTF=MOS_3.STRQUIT

LISTING 1: A SIMPLE EXAMPLE OF IMPLANT ADAPTIVE MESHING

This simple example creates a LDD MOS device structure. The initial simple mesh is specified with thefour LINE commands, this initial mesh is referred to as a “base mesh” and options for its formation willbe discussed in more detail later. After some initial 1D processing, the adaptive meshing function isinvoked. Subsequently automatically adds mesh that conforms well to the two implanted Boronprofiles. During the final DIFFUSE statement, Boron has been driven down into the substrate andtessellated with the initial simple mesh. The mesh adaptation module adapts after each time step.This results in meshing conforming to the Boron profile throughout the diffusion process.

The mesh adaption module is invoked during the simulation by specifying boolean flag ADAPT on theMETHOD command preceding IMPLANT, DIFFUSE, or EPITAXY statements. The syntax behind thissimple example using the mesh adaption module is shown below.

Three commands are available to access the mesh adaptation module, they are briefly described as thefollowing: The METHOD statement is used to control numerical algorithms. When METHOD ADAPT isspecified the mesh adaptation algorithm will be used. If METHOD ADAPT=false is specified the meshadaptation algorithm will be turned off. ADAPT is off by default.

ADAPT specifies that the adaptive meshing should be performed on any of the following IMPLANT,DIFFUSE or EPITAXY statements. Adaptation is performed by following each step on each DIFFUSE/EXPITAXY statement. IMPLANT.MES specifies which adapting algorithm to use on IMPLANTstatements, currently IMPLANT.MES=0 corresponds to the University of Florida’s algorithm, this is thedefault. Currently this is the only recommended algorithm. There are four other parameters on theMETHOD statement that specify mesh smoothing.

Page 117: athena_users

Tutorial

SILVACO International 2-83

They are:

• ETCH.SMOOTH specifies that mesh smooth operation will be performed after etch.

• DEPO.SMOOTH specifies that mesh smooth operation will be performed after deposit.

• DIFF.SMOOTH specifies that mesh smooth operation will be performed after diffusion.

• STEP.SMOOTH specifies that mesh smooth operation will be performed after each diffusion timestep. These four parameters are currently set as default.

The ADAPT.PAR statement is used to set parameters to adjust the mesh adaptation process. Theparameters available on the ADAPT.PAR statement are the following: Specify material regions to beadapted, such as SILICON, OXDIDE, POLYSILICON, etc. This may be one or several materials at atime. The default impurities include I.BORON, I.ARSENIC, etc. Specify impurities to be adapted on.This may be one or several impurities at a time. The parameter, DISABLE, specifies materials/impurities given disabled to be effective on mesh adapting or smoothing. The MAX.ERR parameterspecifies the maximum error allowable before adding points to the mesh (unitless). Error calculatedabove this value cause points to be added. The MIN.ERR parameter specifies the minimum error belowwhich points may be deleted from the mesh (unitless). Error calculated below this value causes pointsto be removed. Both MAX.ERR and MIN.ERR are calculated using the Bank-Weiser error estimatorwhich is defined as:

2-2

where h is the average of the edge lengths associated with node i, Ci is the impurity concentration atnode i. The parameter, CONC.MIN, specifies the minimum impurity concentration below whichadapting will stop (units 1.0/cm3)

The ADAPT.MESH statement is used to do mesh adaptation for a given device structure withoutcoupling implant- diffusion/epitaxy to the process, so the mesh adaptation module can be used to assistthe manual mesh generation process. The following parameters are available on the ADAPT.MESHstatement:

The ADAPT parameter specifies that a stand alone adaptive meshing step should be performed torefine or relax the current mesh based on the material/impurity specification given on the ADAPT.PARstatement (default false).

The ADAPT.COUNT parameter specifies that stand alone annealing be performed during the executionof the ADAPT.MESH statement (default false).

The parameter, SMTH.COUNT, specifies the number of smooth loops during the smoothing algorithm.

Adaptive Meshing Control

Adaptive meshing may be used in several different modes and has several commands to control it.This section describes the adaptive meshing related commands and how to use them.

The commands are listed in Table 2-6:

Table 2-6. Summary of Adaptive Meshing Control

Parameter Description

METHOD Used to switch the various automated adaption modes on and off.

ADAPT.MESH Used to invoke a stand alone adaption of the mesh at a specific point.

c h2

*Laplace Ci( )

Ci( )--------------------------------=

Page 118: athena_users

ATHENA User’s Manual

2-84 SILVACO International

The Mechanics of the Base Mesh Formation

ATHENA uses adaptive meshing in both 1D and 2D modes. Both of these modes are controlled by theADAPT.PAR parameters however the concept of the Base Mesh needs to be described. A typicalsimulation, for example a MOS, is simulated in 1D initially and then switched to 2D at some pointmid-process flow, perhaps at the Poly Gate definition process step.

At this point the mesh is extruded from 1D to 2D and the result is the base mesh. The Base Mesh thenforms the basis, and is the starting point, for 2D Adaptive Meshing. The mesh quality of this basemesh is important for success of future adaption, for example during source-drain implants andanneals.

Interface Mesh Control An addition function is available to control the mesh in the vicinity of a material interface. This extracontrol allows the addition of grid lines for example to run along under the gate of MOSFET at somedistance from the Si/Si02 interface. This capability is often useful for adding mesh as required byhighly mesh dependent mobility models during a following device simulation. It is also useful to beable to add mesh for better segregation modeling. The ADAPT ADD.I.LINE=n command controls theaddition of a new mesh line. Two materials are specified as parameters to the command, defining aninterface, or set of interfaces. The mesh line is added to MATERIAL1 as follows:

ADAPT.MESH ADD.I.LINE=0.001 MATERIAL1 / MATERIAL2

For example, in the case of adding an additional mesh line to the SILICON in the channel region of aMOSFET:

ADAPT.MESH ADD.I.LINE=0.001 SILICON / OXIDE

The structural transition from 1D to 2D, to create a base mesh is controlled by the BASE.PARparameters. Figure 2-68 indicates the flow of events, towards the formation of a base mesh andbeyond, in the case of MOSFET device.

ADAPT.PAR Used to control both the stand alone adaption and the automatic adaption meshing criteria.

GRID.MODEL Used to describe an external template file containing mesh related commands, specific to a general technology or device

BASE.MESH Used to define the 1D starting point of a mesh for an adaptive mesh based simulation.

BASE.PAR Used to specify the adaption criteria for the base mesh only.

Table 2-6. Summary of Adaptive Meshing Control

Parameter Description

Page 119: athena_users

Tutorial

SILVACO International 2-85

Figure 2-68: MOSFET Device Mesh Formation Flow

The base mesh quality is important to allow a subsequent adaption in 2D. The adjacent ratio ofelements, both in 1D and 2D relate directly to the smoothness of the final mesh quality.

The generation of a high quality adapted mesh starts with the BASE.MESH command. Here, the 1Dmesh is defined, from which the final 2D mesh will evolve. The BASE.MESH command defines a 1Dstructure as a stack of up to five layers. (Five layers are used so as to define the five layers of a Bipolardevice). Each layer, up to five in total, is described as having a thickness; SURF.LY, ACTIVE.LYEPI.LY, SUB.LY and BACK.LY and an associated mesh spacing per layer, SURF.DY ACTIVE.DY,EPI.DY, SUB.DY, and BACK.DY. The whole structure may also be offset in space with the point oforigin determining the top left hand corner of the structure. The parameters OFFSET.X andOFFSET.Y are used for this purpose. An example in the use of the offset command, might be definingthe starting surface of an initial structure an epi thickness below the zero position. This way, thesubsequent geometrical calculations are made easier.

Figure 2-69 indicates the relationship of the BASE.MESH command to the initial 1D structure mesh.

DefineInitial IDMesh

Action on StructureCommands

Base. Mesh

INIT

Adapt.Par

Method Adapt

Base.Par

ETCH Left.....

Adapt.Par

ID Adaptive MeshingSimulation

DefineWidth +Depth

Define Mesh Rulesfor ID to 2DTransition

Switch from IDto 2D Simulation

Modify 2DAdaptive MeshingCriteria

Simulate to Complete

Page 120: athena_users

ATHENA User’s Manual

2-86 SILVACO International

Figure 2-69: Initial 1D Structure Relationship

The BASE.MESH parameters should be considered, alongside the BASE.PAR parameters. In forming aBASE mesh, three objectives should be kept in mind, regarding the quality of mesh.

1. 1D dopant information is neither lost in the 2D transition, or overly refined upon, resulting inoverly dense BASE.MESH. (See Figures 2-70 and 2-71).

2. Little or few flat triangles exist in regions and materials of importance. (See Figure 2-72).

3. The adjacent triangle ratio, in both X, and Y directions, is not abrupt in spacial regions ofimportance to the device (See Figure 2-72).

Controlling the quality of the base.mesh, formed at the 1D-2D transition, is achieved with theBASE.PAR command parameters. Specific materials may be assigned different parameters. Thecontrol of the Vertical Adjacent Triangle Ratio quality is achieved with the GRAD.SPACE Parameter,while the lateral Adjacent triangle ratio is controlled with the RATIO.BOX parameter. These twocommands may be thought of as operating upon the 1D and 2D simulation segments respectively, thatis to say, during 1D simulation, only the adjacent spacing ratio may be controlled, in the vertical

Page 121: athena_users

Tutorial

SILVACO International 2-87

profile, with the GRAD.SPACE parameter. Subsequently, at the point of 2D transition, the RATIO.BOXparameter is used to trade off mesh quality for mesh density.

The INIT command includes parameters; WIDTH.STR and DEPTH.STR. These parameters define thesize of the initial structure and will truncate the previous BASE.MESH defined structure.

An example of this base mesh and of the subsequent 2D diffusion is shown in Figure 2-74 and 2-75.

Figure 2-70: Mesh that is too coarse leads to Dopant Information Loss

Figure 2-71: Too Dense Mesh Causes Too Much CPU Time during Subsequent Simulation

Page 122: athena_users

ATHENA User’s Manual

2-88 SILVACO International

Figure 2-72: Large and reasonable Adjacent Triangle Ratios

Figure 2-73: Base Mesh Formation

3. (i)

LargeAdjacentTriangleRatios

3. (ii)

ReasonableAdjacentTriangleRatios

C

D

A

B

B/A = GRAD.SPACE

C/D = RATI O.BOX

Page 123: athena_users

Tutorial

SILVACO International 2-89

Figure 2-74: Automatic Base Mesh Generation

Figure 2-75: Ion Implementation Adaption on New Base Mesh

Page 124: athena_users

ATHENA User’s Manual

2-90 SILVACO International

Modes of OperationATHENA is normally used through the DECKBUILD run-time environment, which supports bothinteractive and batch mode operation. We recommend strongly that you always use ATHENA throughDECKBBUILD. In this section we present the basic information you need to run ATHENA in the DECKBUILD

environment. The VWF INTERACTIVE TOOLS manual provides a more detailed description of the featuresand capabilities of DECKBUILD.

Interactive Mode With DeckBbuild To start ATHENA under DECKBUILD, type

deckbuild -an

at the UNIX system command prompt. The command line option -an instructs DECKBUILD to startATHENA as the default simulator.

If you want to start from an existing input file you should start DECKBUILD by typing

deckbuild -an <input filename>

The run-time output shows the execution of each ATHENA command and includes error messages,warnings, extracted parameters and other important output for evaluating each ATHENA run. WhenATHENA is run interactively, run time output is sent to the output section of the DECKBUILD applicationwindow and can be saved as needed. You therefore do not need to save the run-time output explicitly.However, the following command line specifies the name of a file that will be used for storing the run-time output:

deckbuild -an <input filename> -outfile <output filename>

In this case the run-time output is sent to the output file and to the output section of the DECKBUILDwindow.

Batch Mode With Deckbuild To use DECKBUILD in a non-interactive or batch mode, you add the -run parameter to the command thatinvokes Deckbuild. A pre-prepared command file is required for running in batch mode. It is advisableto save the run-time output to a file, since error messages in the run-time output would otherwise belost when the batch job completes.

deckbuild -run -an <input filename> -outfile <output filename>

Using this command requires a local X-Windows system to be running. The job runs inside a DECKBUILDicon on the terminal, and quits automatically when the ATHENA simulation is complete.

You can also run DECKBUILD using a remote display:

deckbuild -run -an <input file> -outfile <output file> -display<hostname>:0.0

No Windows Batch Mode With Deckbuild For completely non-X Windows operation of DECKBUILD, the -ascii parameter is required:

deckbuild -run -ascii -an <input filename> -outfile <output filename>

This command directs DECKBUILD to run the ATHENA simulation without any display of the DECKBUILDwindow or icon.This is useful for remote execution without an X windows emulator or for replacingUnix-based ATHENA runs within framework programs.

Page 125: athena_users

Tutorial

SILVACO International 2-91

When using batch mode use the UNIX command suffix ‘&’ to detach the job from the current commandshell. To run a remote ATHENA simulation under DECKBUILD without display, and then logout from thesystem, use the UNIX nohup command before the DeckBuild command line:

nohup deckbuild -run -ascii -an <input filename> -outfile <output filename> &

Running ATHENA inside DeckBuild Each ATHENA run inside DECKBUILD should start with the line:

go athena

A single input file may contain several ATHENA runs each separated with a go athena line. Input fileswithin DECKBUILD can also contain runs from other programs such as ATLAS or DEVEDIT along with theATHENA runs.

Running a given version number of ATHENA

The go statement can be modified to provide parameters for the ATHENA run. To run version 4.3.0.Rthe syntax is:

go athena simflags=”-V 4.3.0.R”

Running ATHENA with a user-specified default parameter file

ATHENA supports the use of multiple default parameter files. These files have the default rootfilename athenamod. To start ATHENA with athenamod.97 the syntax is:

go athena simflags=”-modfile 97”

Running ATHENA In Standalone Mode (Without DeckBuild)It is possible to run ATHENA outside the DECKBUILD environment. However this is not recommended bySilvaco. Users who do not want the overhead of the DECKBUILD window can use the “No WindowsMode” described above. Many important features such as variable substitution, automatic interfacingto device simulation, and parameter extraction, are not available outside the DECKBUILD environment.To run ATHENA directly under UNIX use the command:

athena <input filename>

To save the run-time output to a file, do not use the UNIX redirect command (>), simply specify thename of the output file:

athena <input filename> -logfile <output filename>

Note: The standard examples supplied with ATHENA will not run correctly outside of DECKBUILD.

Page 126: athena_users

ATHENA User’s Manual

2-92 SILVACO International

Frequently Asked Questions and Answers

OverviewThis section includes topics covering different aspects of ATHENA simulation. Some of these topics werediscussed in the “Hints, Tips, and Solutions” column of Silvaco’s newsletter, The Simulation Standard.

ATHENA TOPICS

Q. Simulating the whole process in ATHENA may take a long time. How can the process flow bechecked or tuned quickly?

A. ASeveral methods are available in ATHENA that enable you to do quick look-and-see simulations of acomplex process flow. Deciding which method to use in a given situation depends on the particularitems of interest. Three modes that can be useful are outlined below:

1. 1D Mode - This is used to perform 1D analysis at any x-location in the 2D structure. This modecan be invoked from the ATHENA Mesh Initialize menu (Figure 2-10) by selecting the 1D boxunder Dimensionality. The X Position item of the menu will become active, so you shouldchoose the x location at which the 1D analysis will be performed. These changes in the menu willadd two parameters to the INITIALIZE statement (ONE.D and X.LOCAT=<real>). ATHENAautomatically takes into account all masking and etching steps at the specified location. Thismode is particularly useful for optimization and process tuning. For example, it can be used torapidly check MOS source/drain junction depth or the intrinsic base profile of a BJT

2. Geometrical Mode - In this mode all impurities are turned off by checking the No Impuritiescheckbox in the ATHENA Mesh Initialize menu. This will add the NO.IMPURITY parameter to theINITIALIZE statement, disabling all implantation and dopant diffusion steps. Impuritydiffusion, which usually limits the timesteps during oxidation and uses additional equations, isnot present in the geometrical mode. Therefore the DIFFUSION statements usually execute muchfaster when only the oxidation is being simulated. This mode can be used to check the geometrygenerated by etching and deposition processes as well as the validity of mask steps. Sinceoxidation still occurs, oxide thicknesses as well as bird’s beak shapes can be estimated. However,you should be aware that dopant enhanced oxidation effects are not taken into account in thismode.

3. Coarse Grid Mode - In this mode you may alter the number of grid points without changing theLINE statements. It can be done by changing the Parameter Spacing factor in the ATHENA MeshInitialize menu. This will change the parameter SPACE.MULT in the INITIALIZE statement.The value of SPACE.MULT is the amount by which the grid spacing specified in the ATHENA MeshDefine menu is multiplied. A value for SPACE.MULT that is greater than 1.0 will reduce the totalnumber of grid points. (A SPACE.MULT value that is less than 1.0 will create a finer meshthroughout the initial structure). Reducing the number of grid nodes greatly increases speed. Youcan still observe dopant diffusion in 2D, and get valuable information about the accuracy of theinput file before committing to the full simulation.Each of these three fast modes of operation has the advantage that they only require minor modi-fication during mesh initialization to convert a complete input file to the appropriate fast mode,and back to normal operation.

Q. It is known that Silvaco’s device simulator ATLAS allows the simulation of device structureswith cylindrical symmetry. Does ATHENA support the grid with cylindrical symmetry?

A. Yes, you can specify the cylindrical coordinate system in the INITIALIZE statement (chooseCylindrical in the ATHENA Mesh Initialize menu). The axis of symmetry is always at x=0.

Page 127: athena_users

Tutorial

SILVACO International 2-93

ATHENA/SSUPREM4 Topics

Q. In some cases the grid within oxide generated during the oxidation step is very coarse. Doesthis affect accurate estimation of dopant segregation? Does the shape of the oxide regiondepend on the quality of internal grid? Is it possible to control the grid during oxidation?

A. The thickness of grid layers during oxidation is controllable. Two parameters of the METHODstatement affect the oxide grid: GRID.OXIDE and GRIDINIT.OXIDE. GRID.OXIDE specifies themaximum grid layer thickness (in microns). GRIDINIT.OXIDE specifies the maximum thickness ofthe very first grid layer generated in the growing oxide. For both parameters, the default is 0.1

microns. These defaults are reasonable for simulation of thick (0.6 - 1.0 ) field oxide growth.

However, for thinner oxides these parameters should be decreased. For example, if an 0.025 gateoxide is growing, it is a good idea to set GRIDINIT.OXIDE to 0.005 and GRID.OXIDE to 0.01. Thisallows a better simulation of impurity segregation and a more accurate prediction of the importantsurface doping concentration parameter under the gate. These parameters should be chosenextremely carefully. If you set a small value of GRID.OXIDE for thick oxide, it will result in aconsiderable slowing down because as this parameter is decreased, time steps are shortened and moregrid points are generated.

Q. In some cases oxidation of a complex structure fails right in the very first time step. How canthis situation be fixed?

A. ATHENA uses a special algorithm for depositing a native oxide layer on the oxidizing surface. Thisalgorithm sometimes fails when using highly nonplanar surfaces. This can be fixed by the selection ofa thinner native oxide using the INITIAL parameter in the OXIDE statement. Default is 0.002microns. Decreasing this value down to 0.001 microns, or even less, may help overcome the problem.Direct deposit of native oxide could also be used.

Q. The relative oxidation rate of polysilicon compared to silicon varies depending on theproperties of the polysilicon and the oxidizing ambient. How is this modeled in ATHENA/SSUPREM4?

A. The oxidation rate coefficients in ATHENA/SSUPREM4 are specified separately for bulk silicon andpolysilicon. This allows the user to tune the growth rates on the two materials independently. Forexample, to change the high temperature linear steam oxidation rate for silicon, the following syntaxmay be used: OXIDE SILICON WET LIN.H.0=<real> LIN.H.E=<real>

whereas for polysilicon the syntax is: OXIDE POLY WET LIN.H.0=<real> LIN.H.E=<real>

Q. When simulating a structure with a heavily doped polysilicon gate, unreasonably highconcentration of the impurity is sometimes observed at silicon/oxide interface under the gate.Is it possible to avoid this situation?

A. The impurity transport through oxide is controlled by the impurity diffusion coefficients within oxideand the impurity transport coefficients at the poly/oxide and oxide/silicon boundaries. Not all of thesecoefficients are well characterized. If you know that for your process the impurity diffusion throughoxide is negligible, you may prevent the impurity transport from polysilicon through oxide into thesubstrate by specifying zero transport coefficient as follows: <IMPURITY NAME> POLY /OXIDE TRN.0=0.0

If the impurity concentration at the gate oxide/silicon interface is measured, you can use themeasured value for tuning the TRN.0 parameter.

Q. In which cases should the viscous oxidation model with stress dependence be used? Whichparameters should be tuned to match experimental shape of the grown oxide?

A. The viscous stress-dependent model is described in Chapter 3. There are also two examples in theATHENA/SSUPREM4 section of the DECKBUILD: Examples loader which demonstrate use of the model

µµ

Page 128: athena_users

ATHENA User’s Manual

2-94 SILVACO International

for LOCOS and SWAMI isolation processes. The following considerations should be kept in mind whenusing this model.

1. The stress-dependent viscous oxidation model is an extremely time consuming simulationmethod. Therefore it should be used only when it is absolutely necessary and alternativeapproaches fail.

2. Typical cases for use of the model are those where a kinked oxide surface is observed and whenthe simulated bird’s beak is longer than the measured one.

3. In some cases, the alternative compress method with increased Young’s modulus for nitride couldgive a reasonable shape (see the User Defined Materials example in the ATHENA/SSUPREM4 sub-section).

4. The grid for the stress-dependent viscous oxidation should be as simple as possible, but it cannotbe too coarse in the direction of oxidant diffusion (x-direction in the case of simple LOCOS).

5. The higher than default relative error for oxidation rate should be chosen to allow fasterconvergence:

METHOD OXIDE.REL = 0.01

6. The main parameter for tuning the model is nitride viscosity, which is specified in the NITRIDEstatement:

MATERIAL NITRIDE VISC.0=<real>

The higher the nitride viscosity the stronger the stress dependence. It is important to know thatnitride viscosity depends on the oxidation temperature as well. You may use the parameterVISC.E when the temperature dependence of the oxide shape is considered.

7. Model parameters VC, VR, and VD (see Eqs.3-75 - 3-77) can also be used for tuning. Defaultparameter values are reasonable for temperatures of 1000° C and higher. For several teststructures the alternative set of these parameter values (VC=300, VD=60, and VR=12.5) are moreappropriate for lower temperatures (~950o C).

Q. How can a self-aligned silicide process be modeled in SSUPREM4? Are there any special modelparameters required?

A. The formation of metal silicides can be simulated using the optional silicide module in SSUPREM4. In atypical self-aligned silicide (salicide) process, the goal is to form a silicide layer on the polysilicon gateand MOS source/drain regions. The silicide layer in the source and drain regions permits devicedesigns with shallow junctions that still have low n+ or p+ sheet resistances. On the gate, the silicidelayer forms a low resistance interconnect. The process is self-aligned since the oxide spacer on thegate sidewall is used to prevent the silicide shorting gate to drain. The usual sequence for salicide is to deposit a refractory metal layer. Commonly used metals aretitanium, tungsten, and platinum. Then a short, fairly low temperature heat cycle is applied to reactthe metal with the silicon and polysilicon. The remaining metal is then etched away.

The SSUPREM4 syntax used to model silicidation seems very natural to an experienced user. Fortitanium silicide, for example, the syntax is:

DEPOSIT TITANIUM THICK=0.1 DIV=8 DIFFUSE TIME=5 TEMP=650 \ ETCH TITANIUM ALL

SSUPREM4 also supports the material parameters TUNGSTEN and PLATINUM with similar syntax. Theresults of a salicide simulation are that a titanium layer is formed correctly in the source/drain andgate areas, with no reaction with the oxide spacer.No special model syntax needs to be used with the silicide module in order to achieve the silicidation.However, a good parameter to be aware of is GRID.SIL on the METHOD statement. This controls gridspacing within the silicide layer as it grows. This is similar to the way the GRID.OX parametercontrols the grid within thermally grown oxides.

Page 129: athena_users

Tutorial

SILVACO International 2-95

Q. What other effects does the silicide module cover?A. The silicide module offers more than just predictions of the geometric shape of the silicide layer. The

other common applications are:

• Dopant redistribution within the silicide layer - This is very important due to the very highdiffusivities of dopant species in silicides. For example, boron diffusion in both titanium andtungsten silicides shows that boron diffuses quickly in WSix, but more slowly in TiSix due toTiB formation.

• Distortion of the oxide spacer and other layers caused by the silicide growth - In contrast to oxidegrowth, the metal/silicon reaction produces a volumetric contraction.

• Point defect injection into the substrate during silicidation - This enhances the diffusion ofspecies in silicon.

• Checking for failure mechanisms - A common case is if the silicidation is performed at too high atemperature, the metal will react with the oxide spacer to short the source and drain to thegate.

Page 130: athena_users

ATHENA User’s Manual

2-96 SILVACO International

This page intentionally left blank.

Page 131: athena_users

Chapter 3:SSUPREM4 Models

SILVACO International 3-1

Diffusion ModelsA diffusion model in ATHENA describes how implanted profiles of dopants/defects1 redistributethemselves during thermal treatment due to concentration gradients and internal electric fields.

Note: In the following sections, the terms “impurity” and “dopant” shall be used interchangeably,although an impurity need not necessarily be a dopant. Likewise, “defect” shall mean the same as “point

defect”, unless otherwise indicated in the context.1

Pair Diffusion Diffusion of dopants and point defects in SSUPREM4 is described by a number of user-specifiablemodels, the three most basic of these being:

• The fermi diffusion model.• The two dimensional diffusion model.• The fully coupled diffusion model.

All of the three models above rely on the concept of pair diffusion, which says that a dopant atomcannot diffuse on its own – it needs the assistance of a point defect (a silicon self interstitial or a latticevacancy) in the near vicinity as a diffusion vehicle. If there is a non-vanishing binding energy betweenthe two, they can move as one entity – a pair – through a number of jumps and inversion cycles beforeeventually breaking up. When speaking of dopant diffusivity within the scope of these models, oneactually means the diffusivity of the pair as a whole. A point defect, on the other hand, can eitherdiffuse freely, or as a participant in a dopant-defect pair, and the diffusivity of a free point defect can,as a matter of fact, be very much different from the diffusivity of a point defect in a pair.

The models are natural extensions of each other in the sense that the fermi model is included in thetwo dimensional model, which, in turn, is included in the fully coupled model. The most significantdifference between them is the way point defects are represented and treated throughout thesimulation, and how the specific dopant diffusivity is formulated.

• fermi diffusion: The point defect populations are assumed to be in thermodynamical equilibrium and thus need nodirect representation. All effects of the point defects on dopant diffusion are built into the pair dif-fusivities. The advantage of using the fermi diffusion model is fast execution. Since point defectsare not directly represented, only the diffusion of dopants needs to be simulated. In addition, thesolving is facilitated due to the avoidance of numerical stiffness. However, since point defects arenot directly simulated, the fermi model cannot deal with a situation in which the defect popula-tions are not in equilibrium throughout the simulation, rendering it useless for OED (oxidationenhanced diffusion) and a scenario with initial high implant damage.

• two dimensional diffusion: In this model the point defect populations are explicitly represented and evolved in time. If there isa super/supra saturation of point defects, it will affect the dopant diffusivity through a simple scalefactor, which goes to unity as the actual defect concentration approaches the equilibrium defectconcentration. Therefore, with equilibrium defect profiles, the two dimensional model merelyreproduces the fermi model, albeit in a more computationally inefficient manner, since solving forpoint defects is strictly not required. The pair coupling between defects and dopants in this model

Page 132: athena_users

ATHENA User’s Manual

3-2 SILVACO International

is assumed to be one-way. The diffusion of dopants is highly influenced by the diffusion of pointdefects, while the diffusion of the point defects, on the other hand, is regarded as totally indepen-dent of dopant diffusion. Stated in physical terms, this corresponds to a pairing between defectsand dopants with zero binding energy.

• fully coupled diffusion: The fully coupled diffusion model, as originally implemented in Stanford SUPREM-IV, is totallyidentical to the two dimensional model with the one important exception that the diffusion of thedefects is now influenced by the diffusion of the dopants by the addition of the joint pair fluxes tothe flux terms in the governing equation of the defects. Thus, there is now a true two-way interac-tion between the diffusion of dopants and the diffusion of point defects, which is what has giventhis model its name. The fully coupled model is slightly more CPU-intensive than the two dimen-sional model, but encompasses the capability of reproducing certain important aspects of semicon-ductor processing such as the emitter push effect in the case of phosphorus diffusion.From a physical viewpoint, however, this original fully coupled model suffers from the shortcomingof not explicitly representing pairs, and the consequential lack of a subdivision of defects anddopants into paired and non-paired fractions. Therefore, this model cannot reproduce the satura-tion of the dopant diffusivity that is believed to occur at very high damage concentration due to atotal pairing of dopants. In other words, the model relies on the dilute approximation, i.e., theassumption that the concentration of pairs is much smaller than both the dopant and the defectconcentrations.

Furthermore, all three models make use of the following assumptions:

• Boltzmann statistics are valid and there are no external sources of carriers, which justifies the useof the following expression for the potential: [2]

3-1

In cases where this assumption is not true, one needs to solve Poisson’s equation to calculate thepotential:

3-2

where:

is the potential,

is the permittivity, and

is the total charge density.

• Electronic processes take place on a timescale which is much smaller than the timescale of allother processes (adiabatical approximation).

• The pairing reaction between dopants and defects is assumed to always be in equilibrium. Thismight very well not be the case – especially at a low temperature – but would pose a much harderand CPU-intensive numerical problem to solve.

• Mobile dopants are electrically active and vice versa.

Models that explicitly take pair populations into account have been implemented by various researchgroups, but all these models suffer from the impairing lack of well established experimental data forbinding energies, pairing coefficients, etc., for which reason the predictability of these models isquestionable.

qkT-------∇ψ

nni----

ln=

∇ ∈∇ψ( )⋅ ρ–=

ψ

ρ

Page 133: athena_users

SSUPREM4 Models

SILVACO International 3-3

The lack of data, especially for the energy levels of the different charge states of the point defects inthe bandgap at typical diffusion temperatures, poses a serious gap in our knowledge. Some of theseenergy levels have been measured in low temperature experiments such as DLTS (deep level transientspectroscopy), but no one knows how these levels adjust themselves relative to the band edges whenthe bandgap narrows as a function of increasing temperature. Van Vechten has theoretically arguedthat the acceptor states (0/- and -/=) and the donor states (+/++ and +/0) of the mono vacancy follow theconduction band edge with increasing temperature. Mathiot, on the other hand, chooses to scale thepositions of the energy levels relative to the band edges with the size of the band gap.

In addition to the models described above, which are all specific for dopants and point defects insilicon, there is a smaller number of hardcoded models that are used for other materials such as oxide,poly, etc.

Mathematical DescriptionThe mathematical definition of a diffusion model includes the specification of:

• a continuity equation (often called a diffusion equation), • one or more flux terms, and• a set of boundary and interregional interface conditions,

for every diffusing species present. In the case of impurity diffusion in semiconductors, we thus needsuch a set of equations for every dopant present and for each type of point defect, if point defects areexplicitly represented in the model. Since dopants can only diffuse as participants in dopant-defectpairs, the dopant continuity equation is actually a continuity equation for defect-dopant pairs.

In the following sections the standard notation found in literature for various particles and otherphysical entities are used:

where ‘‘x’’ designates the neutral charge state. Also, when indicating that a physical entity orparameter is temperature-dependent, and no formula for this dependency is given, it shall be assumedto be in the form of an arrhenius expression:

Q(T) = Q.0 · exp 3-3

unless otherwise mentioned.

where

Q.0 is the prefactor,

Q.E is the activation energy,

Table 3-1. Notational standards in diffusion literature.

Physical Entity Generic Symbol Replacement Values

Dopant A B, P, As, Sb, ...

Point Defect X I, V

Charge State c x, -, =, +, ++

Q E⋅kBT------------–

Page 134: athena_users

ATHENA User’s Manual

3-4 SILVACO International

kB is the Boltzmann constant, and

T is the absolute temperature.

Generic Equations:The basic continuity equation for the diffusion of some particle species, P, in a piece ofsemiconductor material is a simple second order Fick’s equation [6]:

, 3-4

where:

CP is the total particle concentration and

the term S on the right hand side accounts for all source and sink terms.

A continuity equation merely expresses particle conservation: the time rate of change of the number ofparticles in a unit volume equals the number of particles that leave the volume through diffusion plusthe number of particles that are either created/annihilated in the volume due to various source andsink terms. The flux term, JP, is given by

3-5

in which CP+ designates the mobile, as well as the electrically charged fraction of CP, and σ is themobility. The equation above says that in semiconductor diffusion problems, there are generally twocontributions to the particle flux:

• An entropy driven term which is proportional to the concentration gradient of mobile particles. Theconstant of proportionality, DP, is called the diffusivity.

• An electric drift term which is proportional to the local electric field. Notice that if there are severaltypes of electrically charged species present, this term establishes a coupling between them, sinceall charged particles both contribute to and are influenced by the local electric field.

It should also be observed that Equation 3-5 is non-linear, since both the diffusivity DP and the electricfield E in general depends on the concentration of all present species.

In thermodynamical equilibrium, the Einstein relation relates mobility and diffusivity through the

expression . Substituting for σ in 1.5, writing the particle charge as a signed integer, ZP, times

the elementary charge, e, and using E = –∇ψ gives us the final flux expression:

3-6

Boundary conditions are of mixed type, which is expressed mathematically as:

, 3-7

where (α, β) are real numbers and ∂nCΡ designates the flux of CP across the boundary. The right handterm accounts for all source terms on the boundary.

∂CP

∂t---------- ∇ JP–( )⋅ S+=

JP DP– C( )∇CP + C

P + σ+ E=

DkTq

-------σ=

JP DP– C( ) ∇CP + ZP+ CP +e

kT-------∇ψ

=

α CP β ∂nCP⋅+⋅ R=

Page 135: athena_users

SSUPREM4 Models

SILVACO International 3-5

Boundary conditions are used:

• at the top of the simulation region (the ‘‘surface’’), and • at interregional interfaces for which the species in question only has a meaningful existence in one

of the region materials (e.g. an interstitial on a silicon/oxide interface.)

Interface conditions are used on interregional interfaces for species that can exist in both regionmaterials. For every such interface one must specify:

• a concentration jump condition, and • a flux jump condition.

The concentration jump condition accounts for discontinuities in particle concentrations acrossinterfaces and encompasses such phenomena as segregation2 and solid solubility ratios of species indifferent materials. When the option ILFEM=TRUE is set in the METHOD statement, the concentrationjump condition tacitly makes an instant equilibrium assumption with respect to how the jump isestablished, corresponding to an infinitely high transport velocity of particles across the interface.Thus, it is not possible to model transient segregation phenomena with this option on.

The flux jump condition, enables the formulation of interface source and sink terms such as surfacerecombination, particle injection, and particle pile-up at a moving interface.

For all species, zero flux boundary conditions are employed on the sides and at the bottom of thesimulation structure. This is hardwired into the software and thus cannot be set by the user.

Note: 2Segregation: particle transport across material interfaces due to differences in solid solubility.

The Fermi ModelThe fermi model is turned on by the command:

METHOD FERMI

In the fermi model, each dopant obeys a continuity equation of the form:

3-8

where the factor inside the logarithm accounts for the electric field effect. In SSUPREM4 the

intrinsic carrier concentration, ni, is calculated as:

3-9

where ni0,niE, and Tnipow are specified in the MATERIAL statement by the parameters NI.0, NI.E,and NI.POW, respectively. Z accounts for the direction of the electrical force vector on the diffusingparticle and should be +1 for donors and –1 for acceptors.

DAV and DAI are the joint contributions to the dopant diffusivity from dopant-vacancy and dopant-interstitial pairs in different charge states [5]. The terms depend on both the position of the fermilevel as well as temperature, and are expressed as:

∂CA

∂t---------- ∇ DAV DAI+( )CA + ∇ CA +

nni----

Z

ln⋅=

nni----

ni nio

niE

kT-------–

Tnipowexp⋅=

Page 136: athena_users

ATHENA User’s Manual

3-6 SILVACO International

3-10

3-11

where the temperature dependency is embedded in the intrinsic pair diffusivities, which are specifiedby arrhenius expressions of the type:

3-12

The names of the SSUPREM4 parameters for the prefactors and activation energies of the variousintrinsic pair diffusivity terms can be looked up in the following table.

Pair charge states beyond two are very unlikely to occur, for which reason they have been omittedaltogether. Also, for most dopants, seldomly more than three of the terms above are non-vanishing.

Table 3-2. Table of intrinsic pair diffusivities for different pair types.

Pair Charge State Prefactor Activation Energy

AV x DVX.0 DVS.E

AV - DVM.0 DVM.E

AV = DVMM.0 DVMM.E

AV + DVP.0 DVP.E

AV ++ DVPP.0 DVPP.E

AI x DIX.0 DIX.E

AI - DIM.0 DIM.E

AI = DIMM.0 DIMM.E

AI + DIP.0 DIP.E

AI ++ DIPP.0 DIPP.E

DAV Tnni----( , ) DAV

xDAV

– nni----

1DAV

= nni----

2DAV

+ nni----

1–DAV

nni----

2–+ +++= ++

DAI Tnni----( , ) DAI

xDAI

– nni----

1DAI

= nni----

2DAI

+ nni----

1–DAI

nni-----

2–

+ +++= ++

DAXc

DAXo

cexp⋅

DAXE

c

kT-------------–

=

Page 137: athena_users

SSUPREM4 Models

SILVACO International 3-7

Impurity Segregation ModelFor dopants, boundary and interface conditions consist of two contributions: one from segregation andone from dopant pile-up at moving interfaces. The latter contribution cannot be manipulated by theuser, so only the former contribution is described in this text.

In multilayer structures, dopant segregation across material interfaces must be considered. Suchinterfaces can represent either a solid/solid interface or a gas/solid interface (the ‘‘surface’’). Interfacesegregation is modeled empirically by a first order kinetic model for the interregional flux:

, 3-13

where C1 and C2 are the particle concentrations in the immediate vicinity of the interface in the tworegions, respectively. h12, the transport velocity, and M12, the segregation coefficient, are twotemperature-dependent parameters that account for the rate of particle transport across interfacesand the ratio between the solubility of the impurity in each region material. In accordance with theearlier discussion of instant equilibration across interfaces, h12 has no effect when the option METHODILFEM = TRUE is set. Otherwise, it controls the rate at which particles flow across the interface. Thesegregation coefficient, M12 is defined as:

3-14

From Equation 3-14 we immediately get the identity,

, 3-15

and after a little algebraic manipulation of Equations 3-13 and 3-15, we get a similar relation for thetransport velocity,

, 3-16

Thus, for every (dopant, material1, material2) combination, it is not necessary to store segregationparameters for both orderings of the materials – when one is known the other can be generated, andthat is exactly what ATHENA does.

The entities, h and M can be specified in the IMPURITY statement by setting the following parameters

Two material names separated by a forward slash are used to indicate the combination and ordering ofmaterials for which these parameters are specified, e.g.

Table 3-3. System Parameters.

Physical Entity Prefactor SEG.E

M SEG.0 SEG.E

h TRANS.0 TRANS.E

Fs h12

C1

M12--------- C2–

⋅=

M12

solid solubility of impurity in material1solid solubility of impurity in material2------------------------------------------------------------------------------------------------------------=

M211

M12----------=

h21 M12 h12⋅=

Page 138: athena_users

ATHENA User’s Manual

3-8 SILVACO International

IMPURITY I.PHOSPHORUS SILICON /OXIDE SEG.0=30 TRN.0=1.66E–7

Since the point defect populations by definition are assumed to be in equilibrium in the fermi model,there are no separate continuity or boundary condition equations for these species. Additionally,neither the vacancy concentration, CI, nor the interstitial concentration, CV, appear explicitly inEquations 3-8, 3-10, and 3-11.

The Two Dimensional ModelThe two dimensional model is turned on by the command:

METHOD TWO.DIM.

The two dimensional model is based on the fermi model but allows for the explicit representation andevolution of non-equilibrium point defect populations, so there are three different sets of governingequations: one for dopants and one for each type of point defect.

Dopants

The continuity equation for dopants in this model is:

3-17

where CX is the actual point defect concentration, and designates the corresponding equilibrium

point defect concentration. Notice that the total diffusivity has now become dependent on the non-equilibrium point defect populations by multiplying the pair diffusivities from Equation 3-8 by the

normalized defect concentrations, , and , respectively [155]. Although this dependency is of a

phenomenological character, it seems reasonable, and is the one used by most diffusion simulators toaccount for the diffusion enhancement of dopants during oxidation enhanced diffusion (OED) ortransient enhanced diffusion (TED).

The new term within the gradient operator is called the external defect ratio term and is important

over the part of the dopant profile where the normalized point defect concentration changes abruptly.It should be kept in mind that the point defect equilibrium concentrations are temperature as well asfermi level dependent, and may be calculated from the following expressions.

3-18

3-19

The entities and are the equilibrium defect concentrations under intrinsic conditions, and

similarly the weight factors neu, neg, dneg, pos, and dpos account for the distribution of defects of

∂CA

∂t----------

X I V,=

∑ ∇CX

CX∗

--------DAX

CA + ∇ CA +

CX

CX∗

--------nni----

Z

ln

⋅=

CX∗

CV

CV∗

--------CI

CI∗

--------

CX

CX∗

--------

CV∗ CV

∗ i

neu neg+nni----

1+dneg

nni----

2+pos

nni----

1–dpos

nni----

2–+ + +

neu neg dneg pos dpos+ + + +-----------------------------------------------------------------------------------------------------------------------------------------------⋅=

CI∗ CI

∗ i

neu neg+nni----

1+dneg

nni----

2+pos

nni----

1–dpos

nni----

2–+ + +

neu neg dneg pos dpos+ + + +--------------------------------------------------------------------------------------------------------------------------------------------⋅=

CV∗ i

CI∗ i

Page 139: athena_users

SSUPREM4 Models

SILVACO International 3-9

different charge states under intrinsic conditions. All of these are assumed to be temperaturedependent through arrhenius expressions of the type:

3-20

where the prefactors and activation energies may be specified by the user in the VACANCY andINTERSTITIAL statements by assigning values to the following ATHENA parameters

For dopants, the boundary and interface conditions are identical to the ones stated in the fermi model.

Interstitials

The interstitial profile is evolved according to the following continuity equation:

3-21

where R is the bulk recombination rate. Bulk recombination is a simple reaction between vacanciesand interstitials and is expressed as:

3-22

Kr is the bulk combination coefficient that can be set using the parameters KR.0 and KR.E in theINTERSTITIAL statement. The flux expression for interstitials [5] is:

3-23

which correctly accounts for the effect of an electric field on the charged portion of the interstitials by

taking the gradient of the normalized interstitial concentration, . DI is the diffusivity of free

Table 3-4. Parameters for charge statistics and intrinsic point defect concentrations.

Entity Prefactor Activation Energy

neu NEU.0 NEU.E

neg NEG.0 NEG.E

dneg DNEG.0 DNEG.E

pos POS.0 POS.E

dpos DPOS.0 DPOS.E

CSTAR.0 CSTAR.E

neu neu0 exp⋅neuE

kT------------–

=

CX∗ i

∂CI

∂t--------- ∇ JI–( ) R

∂CET

∂t------------- R 311 ><+ +–⋅=

R Kr CICV CI∗ CV

∗–( )=

JI– DICI∗ ∇

CI

CI∗--------

=

CI

CI∗--------

Page 140: athena_users

ATHENA User’s Manual

3-10 SILVACO International

interstitials, not to be confused with the pair diffusivity DAI, which was mentioned in the sectiondescribing the fermi model. DI can be set using the statement,

INTERSTITIAL SILICON D.0=<n> D.E=<n>.

The term, in Equation 3-21 accounts for the capture and emission of interstitials by traps. The

trap interaction model was first introduced by Griffin [10] in order to explain some of the wide varietyof diffusion coefficients extracted from different experimental conditions. The trap equation, whichdescribes the evolution of the empty trap population in time, is:

3-24

where:

CT is the total trap concentration set by the TOTAL parameter in the TRAP statement,

KT is the trap capture rate,

CET is the empty trap concentration,

CI is the interstitial concentration,

is the equilibrium interstitial concentration, and

e* is the equilibrium empty trap to total trap ratio, .

The trap equation is derived from the simple reaction:

3-25

or posed as a rate equation:

3-26

Table 3-5. Parameters for interstitial traps.

Entity Prefactor Activation Energy

KT KT.0 KT.E

e* FRAC.0 FRAC.E

CT TOTAL —

∂CET

∂t-------------

∂CET

∂t------------- KT– CETCI

e∗

1 e∗–----------------– CI

∗ CT CET–( )=

CI∗

e∗ CET∗ CT⁄≡

I T I⇔ T+

∂CET

∂t------------- KTCI– CET K r CT CET–( )+=

Page 141: athena_users

SSUPREM4 Models

SILVACO International 3-11

where Kr is the trap emission rate. In equilibrium the left hand side of Equation 3-26 must vanish,which yields:

3-27

Substituting this value for Kr into Equation 3-26 then leads to the expression in Equation 3-24. Wheninspecting the empty trap profile in e.g., TONYPLOT, one should be aware of the fact that it is normalizedto the total trap concentration.

The term R<311> in Equation 3-21 accounts for the release rate of < 311 > interstitial clusters, whichare small, rod-like defects, that have been observed in TEM studies after medium to high doseimplantation of impurities into silicon. Since a large fraction, if not all, of the excess interstitials afterimplantation are believed to exist, [3] in this form, the time scale for dissolution of < 311 >s plays a keyrole for the duration of TED.

These volume defects may be thought of as small pockets of interstitials, distributed throughoutcertain parts of the doped regions, which are released during annealing, thus acting as bulk sources ofpoint defects.

Note: 3Actually, < 311 > defects are believed to form from excess free interstitials during the earliestpart of the annealing cycle through a process called Ostwald ripening, but, in the present context theymay be considered as existing immediately after the implantation.

The cluster release rate obeys a simple exponential decay in time, specified by:

3-28

where f(x) is the as-implanted profile of < 311 > clusters and τ is an arrhenius type temperaturedependent time constant that may be specified in the CLUSTER statement (see below). Whether thisterm will be active or not in Equation 3-21 depends on previous implant conditions. In order tointroduce a profile of < 311 >s into the structure, one must specify at least the first of the followingcommands before the implant statement:

METHOD CLUSTER.DAM CLUSTER BORON MIN.CLUSTER=1.0E17 MAX.CLUSTER=1.0E19 CLUST.FAC=1.4\ TAU.311.0=8.33e-16 TAU.311.E=-3.6 SILICON...IMPLANT ...

where the first statement switches the model on and the second (optional) statement determines thelocation/scaling of the < 311 > cluster profile, relative to a specified dopant profile and the setting ofthe time constant. In this example, clusters will be present in the regions of the substrate where thechemical boron concentration is between 1.0e17 cm–3 and 1.0e19 cm–3, scaled by a factor of 1.4 relativeto the boron concentration. Notice that the activation energy for τ must be specified as negative, sincethe time constant decreases with rising temperature.

Interstitials obey a flux balance boundary condition, as described by Hu [7]:

KTCI∗ CET∗ Kr CT CET

∗–( ) K r⇔ KT=CI

∗ CET∗ CT⁄( )

1 CET∗ CT⁄( )–

---------------------------------- KTCI∗ e∗

1 e∗–--------------==

R 311 ><∂C 311 ><

∂t---------------------------- f x( )

1τ---

tτ--–

exp=–≡

Page 142: athena_users

ATHENA User’s Manual

3-12 SILVACO International

3-29

where:

is the projection of the interstitial flux vector on an inward pointing unit vector normal to theboundary,

Ks is the effective surface recombination rate for interstitials, and

g is a generation term, which accounts for the injection of interstitials during annealing in anoxidizing ambient.

In other words, Equation 3-29 says that the number of interstitials generated on the surface, minusthe number of interstitials that recombine here, must equal the number of interstitials that diffusefrom the surface/interface into the substrate.

The effective surface recombination rate, Ks, depends on the motion of the interface during oxidation:

3-30

where:

Ksurf is the surface recombination coefficient for fixed interfaces,

vi is the interface velocity, and

vi–max is a maximum interface velocity,

Krat and Kpow are empirical parameters used for calibrating the velocity dependency of the surfacerecombination.

Surface recombination plays an important role in the relaxation of perturbed point defect profiles backto their equilibrium values, which, as pointed out by Hu, cannot happen by bulk recombination alone.

The moving interface can inject point defects into silicon and polysilicon. Two models, TIME.INJ andGROWTH.INJ area available for calculating the injection rate.

1. The TIME.INJ parameter in the VACANCY or INTERSTITIAL statement, activates the timedependent injection model:

3-31

where:

t is the total diffusion time in seconds and

A, t0 and Tpow are free parameters used for calibration purposes.

This can be used to represent the injection of vacancies from a nitride layer. Presently, the timedependent injection model can only be used with the option ILFEM=TRUE set in the METHODstatement.

2. The GROWTH.INJ parameter in the VACANCY or INTERSTITIAL statement, activates the growthdependent injection model:

∂nCI Ksurf CI CI∗–( ) g=+

∂nCI

Ks Ksurf Krat

vi

vi max–---------------

Kpow

1+=

g A t t0+( )Tpow=

Page 143: athena_users

SSUPREM4 Models

SILVACO International 3-13

3-32

where:

θ is the fraction of silicon atoms consumed during growth that are injected into the bulk as selfinterstials,

vmole is the lattice density of the consumed material, and

Gpow is a power parameter.

As a rule of thumb, the ratio should be maintained reasonably constant during

calibration. The entities, vi and vi–max have the same meaning as mentioned earlier.

The maximum interface velocity, vi–max, cannot be manipulated directly by the used but will changeonly when oxidation characteristics change. This discussion of boundary and interface conditions endswith the listing of all user-specifiable model parameters.

Vacancies

The diffusion and flux equations for vacancies are largely similar to the interstitial equationsdescribed above:

, 3-33

where R is the bulk recombination rate. Bulk recombination is a simple reaction between vacanciesand interstitials and is expressed as:

Table 3-6. Parameters for specifying point defect boundary and injection conditions.

Entity Prefactor Activation Energy

Ksurf KSURF.0 KSURF.E

Krat KRAT.0 KRAT.E

KPOW KPOW.0 KPOW.E

A A.0 A.E

t0 t0.0 t0.E

Tpow TPOW.0 TPOW.E

θ THETA.0 THETA.E

υmole VMOLE —

Gpow GPOW.0 GPOW.E

Ksurf KSURF.0 KSURF.E

g θ νmole vi

vivi max–---------------

Gpow

⋅ ⋅ ⋅=

θ Krat Ksurf⋅( )⁄

∂CV

∂t---------- ∇ JV–( ) R–⋅=

Page 144: athena_users

ATHENA User’s Manual

3-14 SILVACO International

3-34

Kr is the bulk combination coefficient that can be set using the parameters KR.0 and KR.E in theINTERSTITIAL statement. The flux expression for vacancies is:

, 3-35

which correctly accounts for the effect of an electric field on the charged portion of the vacancies by

taking the gradient of the normalized concentration . DV is the diffusivity of free vacancies, not to

be confused with the pair diffusivity DAV, which was mentioned in the section describing the fermimodel. DV can be set using the statement:

VACANCY SILICON D.0= <n> D.E=<n>.

See the section about interstitials for a discussion of vacancy boundary/interface conditions, since thetreatment is largely similar, except that VACANCY statements should be used instead of allINTERSTITIAL statements.

Important Note about Defect Diffusion:Point defects have larger diffusivities than dopants and may therefore, diffuse down to the bottom ofthe structure during a simulation. If the simulation structure is too shallow, one may get anunphysically high defect concentration in the regions where dopant profiles are present andconsequently too much dopant diffusion. Therefore, one might need to extend the depth of thesimulation space to provide an adequate sink for the point defects. In order to determine how deep thestructure needs to be, one can estimate the characteristic defect diffusion lengths using the formula:

3-36

where DX is the defect diffusivity and ∆t is the total diffusion time. Simulations show that a depth of20 to 50 microns is required in most cases. This restriction on the minimum structure depth poses athreat to computational efficiency, whenever diffusion models that include point defects are employed.However, since the fine structure of the defect profiles near the bottom of the structure is not a featureof particular interest for processing purposes, one can reduce the computational cost by making thegrid very coarse in this region.

The Fully Coupled Model

The fully coupled model is turned on by the command:

METHOD FULL.CPL

The fully coupled model, as implemented in the original Stanford SUPREM-IV, establishes a two-waycoupling between the diffusion of dopants and point defects, respectively, by adding the joint dopant-defect pair fluxes to the flux terms of the defect equations, which thus becomes:

, 3-37

R Kr CICV CI∗ CV

∗–( )=

J V– DVCV∗ ∇

CV

CV∗--------

=

CV

CV∗--------

l DX∆t=

∂CV

∂t---------- ∇ JV– JAV

c

A c,∑–

R–⋅=

Page 145: athena_users

SSUPREM4 Models

SILVACO International 3-15

3-38

where summations run over all dopants and pair charge states. The rest of the fully coupled modelequations are identical to those in the two dimensional model described in the previous section. Theeffect of the correction terms only displays itself at very high dopant and implant damageconcentrations, where the fermi level enhancement and point defect supersaturation will increase thedopant diffusivities significantly, as seen from Equations 3-11, 3-10, and 3-17.

Although this model has been used with some success for a number of purposes in process simulation,of which the most important undoubtedly is the modeling of the emitter push effect, it is onlyapproximate in the sense that it doesn’t take into account the dichotomy of dopants and defects intopaired and unpaired fractions, respectively. In other words, it relies on the dilute approximation,which assumes that the total number of defect-dopant pairs is much smaller than both the number ofunpaired dopants as well the number of unpaired defects, thus not causing any significant depletion ofany of these two species. At high implant, damage pairing effects may indeed be very significant - as amatter of fact, all dopants could be paired, in which case the simple fully coupled model described

above cannot account for the saturation of the dopant diffusivity that occurs as a function of .

Under such circumstances, a natural generalization of the defect continuity Equations 3-37 and 3-38would be [5]:

, 3-39

, 3-40

which simply states that the time rate of change in the total concentration of point defect X hascontributions from defects diffusing freely, defects diffusing in pairs, and various source/sink terms.Sources and sinks should also be subdivided into paired/unpaired contributions.

On the dopant side, Park and Law [133], have argued that the dopant continuity equation Equation 3-17 should be modified by substituting the unpaired active dopant concentration for the total activedopant concentration, giving

3-41

It is not within the scope if this text to go into further details with pairing effects.

Other Diffusion Models and ExtensionsThe following models and extensions are only valid with the setting:

METHOD ILFEM=FALSE

unless otherwise mentioned.

∂CI

∂t--------- ∇ JI– JAV

c

A c,∑–

R∂CET

∂t-------------+

∂C 311 ><

∂t-----------------------––⋅=

CI CI⁄ ∗

∂∂t---- CV

freeC

AVc

A c,∑+

∇ JVfree

– JAVc

A c,∑–

R–⋅=

∂∂t---- CI

freeC

AIc

A c,

∑+

∇ JIfree

– JAIc

A c,∑–

R∂CET

∂t-------------

∂C 311 ><

∂t-----------------------–+–⋅=

∂CA

∂t---------- ∇

X I V,=∑

CX

CX∗--------DAX

CAunpaired

+∇ C

Aunpaired+

CX

CX∗--------

nni----

Z

ln⋅=

Page 146: athena_users

ATHENA User’s Manual

3-16 SILVACO International

Power Diffusion

The power model is the simplest of all the diffusion models and should be used when execution time isat premium. It may be switched on with the command:

METHOD POWER

For large power device structures, this model is important in terms of efficiency. Execution times willimprove by two or three times compared with the basic fermi model. The power diffusion modeldisables all segregation effects and assumes a simple model for dopant diffusivity. It may be tunedwith the same diffusivity parameters as the fermi model.

The Steady State Diffusion Model

The steady state diffusion model is a variant of the two dimensional diffusion model, which assumesthat the point defect profiles are in a steady state. It is turned on with the command:

METHOD STEADY

High Concentration Fully-Coupled Model

The high concentration fully coupled model is an extension of the fully coupled model which includeshigher order defect-dopant/defect pairing to account for extra point defect recombination mechanisms.This model was developed at Stanford University [134] to include higher order dopant-defectinteractions in the cases where the number of dopant-defect pairs are significant. This is the case forhigh dopant concentration in silicon. The model is available for both settings of the ILFEM option andmay be switched on with the command:

METHOD HIGH.CONC FULL.CPL

It is an extension ot the basic fully coupled model and may only be used in conjunction with theMETHOD FULL.CPL command. This model includes two extra bulk recombination reactions and twoextra Si/SiO2 interface recombination reactions.

In the bulk, extra terms for point defect recombination apply at high concentrations, wherestatistically, a high level of dopant-defect pairing is prevalent:

3-42

3-43

The extra model parameters calibrate the ratio of effective capture cross sections of dopant-defect/defect to defect/defect recombination mechanisms. These parameters may be set on the command lineas:

INTERSTITIAL SILICON IVFACTOR=<n> IIFACTOR=<n>

Similarly, at the surface the interstitial surface recombination velocities governed by paired andunpaired mechanisms may also be controlled as a ratio:

3-44

KrPI V–

iifactorDPI DV+

DI DV+-----------------------

Kr⋅ ⋅=

KrI PV–

ivfactorDI DPV+

DI DV+-----------------------

Kr⋅ ⋅=

KsurfPI

isurfactDPI

DI--------

KsurfI⋅ ⋅=

Page 147: athena_users

SSUPREM4 Models

SILVACO International 3-17

This ratio relates surface recombination derived through pairs to that derived through direct surfacerecombination. This ratio is controlled via the command:

INTERSTITIAL SILICON/OXIDE ISURFACT=<n>

Dislocation Loop Based Enhanced Bulk Recombination

As a first approximation, dislocation loops act as a sink for point defects. This model introduces anadditional sink of interstitials based upon a distribution of dislocation loops throughout some spatialregion. The model is controlled as follows:

METHOD I.LOOP.SINKINTERSTITIAL DAMALPHA=<n>

This command will enhance the recombination of interstitials in the regions of loops, as placed duringthe IMPLANT and scaled by the DISLOC.LOOP commands. Accelerated recombination occurs locally as:

3-45

RTA Diffusion Modeling

SSUPREM4 has the capability to model rapid thermal annealing (RTA) processes within the frameworkof existing diffusion models, i.e., the two dimensional model and the fully coupled model. Since RTA isbasically a short time thermal cycle involving steep temperature ramping to high temperatures,transient enhanced diffusion (TED) will dominate whenever a significant amount of lattice damage isprevalent. Because the amount of dopant diffusion is intimately coupled to the evolution of the pointdefect populations, it is possible to calibrate these models to RTA conditions by tuning the point defectrelated parameters. The ratio of interstitial damage, in the form of < 311 > clusters to that in the formof free interstitials, and the characteristic time for dissolution of interstitial clusters, are importantparameters to include when setting up SSPUREM4 for an RTA scenario.

The following table shows an approximate time for completion of about 95% of the TED at varioustemperatures.

Table 3-7. The approximate duration of TED at various annealing temperatures.

Annealing Temperature (C) Time for completion of 95% of TED

600 390 hours

700 3.3 hours

750 30 minutes

800 3.7 minutes

850 43 seconds

900 8.3 seconds

950 1.9 seconds

1000 0.48 seconds

1050 0.13 seconds

Rloop damalpha CI CI∗–( )=

Page 148: athena_users

ATHENA User’s Manual

3-18 SILVACO International

Electrical Deactivation and Clustering ModelsWhen dopants are present at high concentrations, the electrically active concentration, CA+, may beless than the corresponding chemical concentration, CA.

In order for an impurity to become electrically active in a piece of semiconductor material, it must beincorporated into a substitutional lattice site, in which case it will contribute with a carrier to eitherthe valence band (an acceptor impurity) or the conduction band (a donor impurity). However, abovecertain dopant concentrations, it is not possible to incorporate more dopants into substitutional latticesites – the excess dopants are said to be non-active. The threshold at which the deactivation occurs isoften called the ‘‘solid solubility limit’’, which is a somewhat imprecise terminology, since impuritiescan exist in different phases in the crystal. Therefore, it is not well defined which phase transition the‘‘solid solubility limit’’ might refer to. E.g., excess dopants could be participating in small clusters orlarger precipitates. ‘‘Deactivation threshold’’ would be a more proper designation for this limit and

shall be used throughout the rest of this section. Consistently, the notation, “ ’’ shall be used for the

deactivation threshold. Whatever the nature of the non-active part might be, there is a generalconsensus in the scientific community that it is immobile. Therefore, for all the models described inthis section, the following is assumed for each dopant type.

• Dopants in excess of the deactivation threshold are considered electrically inactive, i.e., they do notcontribute to the carrier populations.

• Additionally, dopants in excess of the deactivation threshold are considered to be immobile, i.e.,they cannot diffuse.

The objective of an electrical activation model is – not surprisingly – to calculate at which dopantconcentration the deactivation occurs. For this purpose, two different electrical activation models areused for all diffusion models:

a. An AsV clustering model for arsenic.

b. A semiempirical table-based activation model for all other dopants.

The AsV clustering model used in SSUPREM4 is based on the simple reaction:

3-46

Assuming that EQ 1.46 is always in equilibrium, the following equation describes the relation betweenthe chemical and the active arsenic concentration:

3-47

Since there is a cyclic dependency between the active arsenic concentration, CA+, and the carrierconcentration, n, an initial guess for the value of n has to be made at the start of the simulation. In

addition, is (by definition) set to unity when running the fermi diffusion model. The

clustering coefficient, Ctn, is set by specifying CTN.0 and CTN.E in the IMPURITY statement.

The semiempirical table-based activation model, which is used for all other dopants than arsenic,uses a two-step scheme in order to calculate the active dopant concentration:

CA +th

As+

V= ⇔ A V

–s+

CA CA + Cclust CA + Ctn CA +nni----

2 CV

CV-------⋅+=+=

CA + 1 Ctn+nni----

2 CV

CV-------⋅

=

CV CV∗⁄

Page 149: athena_users

SSUPREM4 Models

SILVACO International 3-19

1. First, the program interpolates into a table of experimental (temperature, deactivation threshold)

data pairs and finds a concentration independent deactivation threshold, , that corresponds to

the current simulation temperature. These pairs can be set in the IMPURITY statement byassigning values to the parameters, SS.TEMP and SS.CONC. The temperature should be specifiedin Celsius.

2. Then, a logarithmic concentration dependency is incorporated by setting the final deactivationthreshold to the value:

3-48

where the parameter b must be in the range of [0.8, 1.0]. The effect of Equation 3-48 is to produce arounding in the top of the active profile that slightly follows the form of the chemical profile.

Time Step ControlWhen using diffusion models that include the explicit representation and evolution of point defects,one should be aware of time stepping issues. Although step size control between iterations is fullyautomated, the user can still specify the size of the initial time step. This is done in two slightlydifferent ways, depending of the setting of the ILFEM option in the METHOD statement:

1. ILFEM=FALSE

In this case, different initial time step sizes may be specified for dopants and point defects,respectively, by means of the parameters INIT.TIME and PDINIT.TIME. For example, the command,

METHOD INIT.TIME=0.001 PDINIT.TIME=0.001

would set the initial time step to 1 millisecond for both dopants and point defects. Default values areINIT.TIME=0.1 seconds and PDINIT.TIME=1.0E-5 seconds.

2. ILFEM=TRUE

For this setting, the initial step size is stored in the parameter, ILF.INITT and the value of theparameter, ILF.STPTOL indicates how restrictive the program should be in the selection of the nexttime step. The valid range for this parameter is [0.0;1.0], with 1.0 being the most conservative value.Default values are ILF.INITT=1.0E-5 seconds and ILF.STPTOL=1.0. Observe that it is notpossible to specify different initial time step sizes for dopants and point defects, respectively, for thissetting of ILFEM.

CA +th

CA +th’ CA +

th1 1.0 b–( )

CA

CA +th--------------

b–

1.0 b–----------------------------ln⋅+ CA CA +

th>;⋅

CA; CA CA +th≤

=

Page 150: athena_users

ATHENA User’s Manual

3-20 SILVACO International

Note: There is no guarantee that the program will actually use these values. For this initial time step,the only purpose of these parameters is to make it feasible for the user to give the program a “hint” aboutan appropriate initial time step size.

Materials and Impurities Handled by ILFEM NumericsCurrently, only the following impurities and materials can be handled when the option ILFEM=TRUEis set in the METHOD statement.

• Impurities: boron, phosphorus, arsenic, antimony, and indium.• Materials: silicon, polysilicon, oxide, nitride, aluminum, and gas.

If other impurity-material combinations are present in the structure when a diffusion simulationstarts with this option set, the program will automatically default to the ILFEM=FALSE mode andcontinue the simulation in this state.

Page 151: athena_users

SSUPREM4 Models

SILVACO International 3-21

CNET High Concentration Diffusion Model

IntroductionThe ultimate goal of simulation is to compute the electrical characteristics of a given device, by usingonly process-related data as input parameters. Since the electrical characteristics of the device areheavily dependent on the distribution of the electrically active impurity (dopants), resulting from theentire thermal processing sequence, it is of prime importance that the diffusion models used in theprocess simulation are as accurate as possible.

This is particularly important for deep sub-micron processes. Indeed, for these emerging technologies,2D or even 3D phenomena are expected to be of growing importance, whereas there is presently noaccurate technique to measure multi-dimensional dopant profiles. As a consequence, the active dopant2D distributions can only be obtained by simulation that is based on models that are as reliable aspossible. It has become clear that the various “abnormal” behaviors of dopant diffusion in Silicon arecaused by non-equilibrium point defects. These are induced by the diffusion process itself (emitterpush effect caused by high concentration P diffusion), or injected into the substrate by externaltreatments, such as oxidation or nitridation, or they result from the ion implantation used to introducethe dopants into the Silicon substrate. With the necessary decrease of the thermal budget linked to theshrinkage of the device dimension, these transient phenomena become key points for accurate dopantdiffusion simulation.

The CNET dopant diffusion model implemented in ATHENA was developed by CNET-Grenoble (FranceTelecom). Included here is a description of the model and how it differs from the standard full.cplmodel in ATHENA.

Model DescriptionThe basic formalism to describe the coupled dopant/point defects system has been laid out at CNET [5].This formalism is also the basis of the original full.cpl model in ATHENA, and thus the CNET model(METHOD FULL.CPL CNET) is an extension of the FULL.CPL model to allow a better description ofthe diffusion phenomena, particularly at very high dopant concentration.

The main physical points taken into account in the model are:

• Dopant diffusion of all the dopants is assisted by both the vacancies (V) and the self-interstitials(I). These point defects exist in various charge states, the relative concentrations of whichdepend on the local Fermi level position, i.e. on the local dopant concentration.

• Both I and V have strong binding energies with the dopant atoms, and as a consequence thediffusing species are dopant/defect pairs (the isolated substitutional dopants are immobile).These impurity/ defect pairs, in their various charge states, are assumed to be in localequilibrium with the free substitutional dopant atoms and the free defects. In the CNET model,at high dopant concentrations, the concentrations of these pairs are not considered as negligiblewith respect to the substitutional (active) dopant concentration. As a result, their concentrationsare explicitly taken into account to compute the total dopant concentration and the Fermi levelposition (i.e. carrier concentration). A direct consequence is a partial self-compensation at highdoping concentration, contributing to the differences between total and active concentrations,and affecting the variations of the extrinsic diffusivities as a function of the total doping.

• In the case of As and B at concentrations approaching the solid solubility limit, neutral andimmobile complexes (AS2V or B2I) are formed, which decrease the effective diffusivity andcontributes to the inactive dopant concentration. At the present time, these complexes areassumed to be in local equilibrium with the other species. An extension of the model accountingfor a dynamic clustering [120] is foreseen.

• When the dopant concentration exceeds a few 1020 cm-3, the dopant/Vacancy pairs can no longerbe considered as isolated entities, because the vacancies can interact with more then one dopant

Page 152: athena_users

ATHENA User’s Manual

3-22 SILVACO International

atom. In the CNET model this is described by the existence of a “percolation” cluster of dopantatoms in the fifth neighbor position from each other [119]. In this cluster both the effectivediffusivity and concentration of the vacancies are strongly increased, causing a strongenhancement of the vacancy-assisted diffusion component. This mechanism permits an accuratedescription of the famous “kink and tail” shape of the high concentration pre-deposition profilesfor phosphorus. Percolation is invoked with the METHOD PERCO command and is controlled withthe IMPURITY command.

• The flux of each diffusing species (dopant/defect pairs and free defects) include drift termscaused by the built-in electric field due to the dopant gradients.

• (I) and (V) are not considered to be in local equilibrium, but they can annihilate by bimolecularrecombination. A specific feature of the CNET model is that these annihilations take place notonly between the free defects, but also involve the impurity defects pairs, which play the role ofrecombination centers. As a consequence the I-V recombination rate is strongly enhanced at highdopant concentration.

ExamplesTo illustrate the improvements that result from the CNET model, we show simulations of phosphoruspredeposition profiles at high and intermediate surface concentrations. Figures 3-1 and 3-2 comparethe curves calculated with the CNET model to the SIMS data of Yoshida and Matsumoto and Niimi[121, 122], which covers the 900 - 1100°C temperature range. Although not corresponding to moderndeep sub-micron technologies, this data set is representative of the high dopant concentration featureswhich reveal the complex couplings between dopants and point defects; therefore, it is considered as ameaningful test for advanced diffusion models.

As shown in Figures 3-1, the CNET model accurately reproduces the features of the experimentalprofiles. In particular, due to the percolation effect, the model is able to account for the change in theshape of the profiles which occurs at high concentration. For intermediate concentrations, thesimulated profiles exhibit the enhanced tail, with a more or less pronounced inflection in the surfaceregion. This inflection is the result of the strong coupling between the defect gradients and the dopant,and its magnitude depends on the temperature: the lower the temperature, the stronger is thecoupling, with a more pronounced inflection as depicted by both the calculated and experimentalcurves. When the concentration exceeds about 2.5x1020 cm-3, the model is able to simulate both theformation of the plateau in the surface region and the strong tail enhancement resulting from the highpoint defect supersaturation generated by phosphorus diffusion. Figure 3-2 also shows the simulatedactive concentration profiles. As observed experimentally, for the profile with the highest surfaceconcentration, the calculated active concentrations are significantly lower than the total (chemical)phosphorus concentrations. In the CNET model this is due to the fact that the (dopant, defects) pairshave concentrations which are not negligible at high dopant concentration.

Page 153: athena_users

SSUPREM4 Models

SILVACO International 3-23

Figure 3-1: Comparison between experimental and simulated profiles at 900 °C. The experimental results are from References [121,122] and the simulations are performed with the CNET model.

Figure 3-2: As in FIgure 3-1 but at 1100 °C. The results from the standard full.cpl are also reported.

Page 154: athena_users

ATHENA User’s Manual

3-24 SILVACO International

CNET Model Components

Introduction

The CNET Model is comprised of five model components.

Each fragment introduces a separate piece of physics. The complete CNET Model is equivalent toinvoking all five model components simultaneously.

Non Negligible Pair Concentrations

This model accounts for high doping concentration effects where the defect/dopant pair concentrationsare no longer negligible. This model fragment of the complete CNET model is invoked using:

METHOD PAIR.DEFEC

For phosphorus:

Each of the five fragments are described in turn, as follows:

3-49

=[P+]{1+Kp+v=[V=]+Kp+v-[V-]+ p+1i[Ii]}+[P]Precipitate

The last term accounts for the P atoms which are precipitated when [P]chem is greater than thesolubility limit.

Clustering

In addition, for As and B, immobile neutral complexes are formed. In CNET.MOD these clusters mustbe As 2 V B 2 I (in FULL.CPl, they are only immobile as clusters, which turn out to be the AsV- pairs.)

If a simple static (equilibrium) model is considered, one has:

3-50

3-51

The model fragment is invoked with the command, METHOD CLUSTER.DEF. This includes couplingterms in both impurity and defect (either V or I) continuity equations.

Neutrality Equation

This model fragment accounts for the concentration of charged pairs, i.e solve the following:

n-p=Σ(Donor)ε+εΣ(DonorV)ε+εΣ(DonorI)ε+εΣ(AcceptV)ε+εΣ(AcceptI)ε–Σ(Accept)ε 3-52

This Model fragment is invoked with the command:

METHOD CHARGE.DEFE

In the above expression, ε, is 1, 0 or -1, depending on the charge state of the pair.

Pchem

PV–

PV° PI+

+ PI° PI–

+( ) P+

+ +=

KAs+

AsV–

As+[ ] AsV

–[ ]

KB–

BI+ B

–[ ] BI+[ ]

Page 155: athena_users

SSUPREM4 Models

SILVACO International 3-25

This is for the cases:

ε = 1 for PV- + (P+,V=) 3-53

ε = o for PVº = (P+,V-)

ε = +1 for BV+ = (B-,V++)

The Σ sums for all the donors (Ph, As, Sb) and all the acceptors (B, In). By doing this, “active”concentration at the end of a diffusion step is simply given by the corresponding substitutional fractionof the net doping concentrations. This has being obtained by the signed sum of the correspondingvalues.

Pair Charge States

This model fragment is invoked with the command:

METHOD CHARGE.DEFE

For B, it is well established that only BVº, BV+, and BI-, BI+ exist. Their concentrations are given by:

[BVº] = KB-v+[B-][V+] 3-54

[BV+] = KB-v++[B-]]V++]

[BI-] = KB-1º[B-][Iº]

[BI+] = KB-I++[B-][I++]

The corresponding values of K are the parameters NEU.0,...., of the statements VACANCY andINTERSTITIAL with BORON specified.

For Phosphorus (and the other donors) PV and PV- are known to exist, whereas no data existssupporting the existence of a PI pair.

Here:

[PVº] = KP+

V-[P+][V-] 3-55

[PV-] = KP+

V=[P+][V=]

[PI+] = KP+

Iº[P+][Iº]

[PIº] = KP+

I-[P+][I-]

[PI-] = KP+

I=[P+][I=]

With the K parameters defined with NEU.0, in the in the VACANCY and INTERSTITIAL statementswhen PHOSPHORUS specified.

Effective DiffusivityThis model fragment is invoked with the command:

METHOD DIFFSVTY.DE

Accurate computation C the effective diffusivities, sharing from the general equation (used inFULL.CPL).

3-56

°

∂CT

∂t---------- ∇ DX

VCA

CvCC∗V-----------∇ CA

CvCC∗

Vni

---------------

log DXI CI

C∗Ini

-------------∇ CACICC∗

Ini-------------

log+=

Page 156: athena_users

ATHENA User’s Manual

3-26 SILVACO International

With C=n or p, it is easy to show that the pair diffusion model, which is the basis of full.cpl or CNET

model, implies that the and are calculated from the basic parameters of pairs.

Following:

3-57

Above, the and described the relative fractions of charged vacancies and interstitial respectively.These NEU, NEG,... parameters are defined in the VACANCY or INTERSTITIAL statements.

This leads to expressions, analogous to the standard fully coupled model for Phosphorous, but todifferent expressions for Boron, Arsenic and Antimony. In fact, the standard fully coupled model,

the ratio is independent of doping concentration whereas with in the case of using the CNET

model, this ratio depends upon n, as observed experimentally (OED experiments at high dopantconcentrations show less enhancement than for intrinsic concentrations for P, As....)

It should be emphasized that several relationships exist between various parameters, thus decreasingthe number of free fitting parameters. First, from the physical arguments, and can safely assume thatthe ratios Dxv-/Dxv and Dxvº/ Dxv+ must be equal:

DPV- / DPVº = DPVº / DPV+ = 3-58

DPI- / DPIº = DPIº / DPI+ =

DBI+ / DBIº = DBIº / DBI- =

DBV+ / DBVº = DBVº / DBV- =

These diffusivities are free parameters, when the various coupling parameters (K) are known. Indeed,they can be calculated through the experimentally known intrinsic diffusivity (Di) and theinterstitialcy component in intrinsic conditions, fi.

The products representing the fraction of the impurity concentration involved in the correspondingpair, have a nice physical meaning, and have a chance to be experimentally determined (the isolatedKXV

i parameters cannot, since the and are unknown).

Thus, in the case of the CNET model these composite parameters KX,Vi are accessible to the user thus

changing the physical signification of NEU, NEG....parameters, when the impurity is specified in theVACANCY or INTERSTITIAL statements.

This approach has a further advantage to insure a full consistency inside the code, the pairconcentrations being always calculated with respect to the substitution concentration, even if a userchanges the value or , ensuring a good active concentration.

The influence of the Impurities upon the Bimolecular recombination Rate

The model fragment is invoked with the command:

METHOD PERCO.DEFEC

Dxv

DxI

DXV

i

∑ KX Vi, δi C

ni-----

i

DXVi 1–×=

DXI

i

∑ KX Ii, δi C

ni-----

i

DXIi 1–×=

δi ∂i

Dxv

DxI⁄

°

DPV

DPI

DBI

DBV

Cv∗ CI

Cv∗ CI

Page 157: athena_users

SSUPREM4 Models

SILVACO International 3-27

In the case of the full.cpl model, the point defect bulk recombination is solved as:

G – R = KR 3-59

Where CI, CV represent the total defect concentration, and the rate being governed by the parameterKR.

However, due to the generic reactions:

XV + I ⇔ X 3-60

XI + V ⇔ X

Where X represents dopant,

It is easy to show that G-R term must be written as:

G – R = KBM 3-61

With KBM strongly dependent upon the dopant concentration (see below), and involving the neutraldefect concentrations.

Thus, for consistency, the KR can be derived from the KBM by:

3-62

variation of the term KBM+- One can write:

3-63

Where is the rate absent of pairs and represents the contribution of a given dopant.

Even is we neglect the influence of the pairs depends on the doping level (u) because of the

various states of defects:

Iº + Vº → 4πR(DI + DV) 3-64

I+ Vº → 4πR (DI + DV) + 3-65

I- Vº → 4πR (DI + DV) 3-66

And the same thing for I , V++, V-, V=.

And therefore:

CICV C∗I C∗

V–( )

CICV C∗I C∗

V–( )

KRKBM

∂ii∑ C

ni----

i ∂ii∑ C

ni----

i+

----------------------------------------------------------=

KBM KBM0

X

∑ KBMX

+=

KBMo

KBMx

KBMo

I° V°

∂+ ni

n----

I° V°

∂– nni----

I° V°

°

Page 158: athena_users

ATHENA User’s Manual

3-28 SILVACO International

3-67

The pair-assisted component can be split into two components, corresponding to the recombination ofthe vacancies with the dopant-interstitial pairs, and of the interstitials with the dopant-vacancy pairs:

3-68

In the previous calculation the reactions between species having the same, non zero, charge sign isneglected (because of the coulombic repulsion), as are those between species having opposite charges(due to fermi level effects, they cannot exist in significant concentrations at the same time).

The significant reactions always involved, at least one neutral species, and thus one can safely assumethat they are only diffusion limited. This explains the expression given above, with the same captureradius R for all the equations. At first order, R ≥ 2.35 Å (inter atomic distance in Si), but for theconvenience it is a parameter in the MATERIAL statement, not in the VACANCY/INTERSTITIALstatement, as is currently done for KR since the user is not allowed to change this parameterindependently for I and V continuity equations.

PercolationThis model fragment is invoked with the command:

METHOD PERCO.DEFEC

When the dopant concentration exceeds a certain value CPERC (~ 2.5x1020cm-3), the basic diffusionmechanism is changed (for the vacancy part), because of interacting dopant in the 5th neighborposition from each other. In this case the diffusion can be described as if the “effective” vacancyconcentration was increased by a factor FPERC, and the corresponding fluxes are given by:

3-69

with the usual Vacancy flux for Cdopant < CPERC

and

3-70

By, analogy, the expression used for the pair diffusion model:

3-71

Thus, the total flux which must be considered is a combination of fluxes with and without percolation,according to:

3-72

KRo

4πR DI DV+( ) δi

i∑ n

ni----

i δi

i∑ n

ni----

i+

δi

i∑ n

ni----

i δi

i∑ n

ni----

i+

---------------------------------------------------------------------------------------------=

KBMX

KBMXI V,

KBMXV I,

+=

JVperc

FPERC JVlow×=

J vlow

JVperc

FPERCV

DVCv

tot

NS---------

∂X+

∂x----------------- X

+ 1n---

∂n∂x------+

=

JXperc

FPERCx

DXCxNs------- X

+[ ]∇ X+[ ]

nni----

log=

Jxp J

xPERC

1 p–( )JxV

JxI

++⋅=

Page 159: athena_users

SSUPREM4 Models

SILVACO International 3-29

3-73

Where P is the probability of the percolation phenomena, given by:

3-74

3-75

Thus, a dopant present in low concentration can have enhanced diffusion induced by the presence ofother dopants at concentration >CPERC.

NS=5 x 1022 cm-3, is the density of Si lattice sites.

Pairing Coefficients under the CNET modelWhen running the CNET model, the parameters: NEU.0, DNEG.0, DPOS.0, POS.0, NEG.0 andNEU.E, DNEG.E, DPOS.E, POS.E, NEG.E on the INTERSTITIAL line have a changed meaning tothat of the basic full.cpl model ONLY when dopant is specified on the same INTERSTITIAL commandline. (When no dopant is specified, the parameters define the relative charge states of free pointdefects and the meaning is unchanged from the previous FULL.CPL model. In the CNET model, theseparameters represent the product of the coupling term (the previous meaning of these parameter) withthe equilibrium point defect concentration. The meaning has changed because when looking at thevarious equations, most of the time only this product is meaningful. Moreover, entering this product asthe free parameter (and calculating the coupling coefficient, k, internally in the code once the defectconcentration is known) ensures that the proportion of (defect-dopant) pairs versus free dopantconcentration (which depend only on the product k times Ci*) is determined independently of the(rather badly known) value of Ci*. In this way the non-linear behaviors linked to the non negligiblepairs concentrations remain well fitted, even if a user changes the value of Ci*. Otherwise, this userwould have to change also the value of the coupling parameter to keep the product constant in order tomaintain the fit.

The previous meaning of this parameter, when the cnet model is not activated, only in order tomaintain compatibility with the previous versions.

Note: In the standard full.cpl these parameters are usually set to 0 (negligible pair concentrations)

The “high dose” effect on bulk bimolecular recombination (i.e., the variation of the recombination ratewith the dopant concentration) is implicitly included in the CNET model. The influence of the bulkbimolecular recombination can be tuned through the capture radius parameter and the relative pairconcentrations. Thus, there is here an overlap with the HIGH.CONC Stanford model in the bulk silicon.Individual features of the CNET model may be switched on with the use of the method statement. Alloptions for the CNET model are activated by METHOD CNET.

Jvp J

vPERC

1 p–( )Jvlow

+⋅=

pFPERC 1 p–( )+ Jvlow

=

p 0= for Xs[ ] CPERC<∑

p min 1 0.85;∑ Xs[ ]

CPERC--------------------- –

0.4

=

Page 160: athena_users

ATHENA User’s Manual

3-30 SILVACO International

Grain-based Polysilicon Diffusion Model

Two Stream Polysilicon DiffusionThe mechanism for impurity diffusion in polysilicon is different than that of crystalline silicon.Polysilicon has a micro-structure of small (compared to the interesting device regions) crystallineregions called grains. These grains are separated by grain boundaries which occupy a certain spatialvolume and are connected to form a complex network. The texture and morphology of the grainstructure depends on the deposition conditions and on subsequent thermal treatment (during whichrecrystallization can occur). Impurities inside the grain will diffuse differently than those in the grainboundaries. Dopant will also transport through grain and grain boundary interfaces. The directsimulation of the diffusion within all polysilicon regions with such geometrically complex micro-structures is too expensive computationally and therefore requires a special mathematical treatment.

A two dimensional numerical model [100, 111] for impurity diffusion in polysilicon is incorporated inSSUPREM4. In this model, the polysilicon micro-structure is described mathematically using localhomogenization approximation. In this approach, a spatially separated grain interior and a grainboundary are represented by the spatially overlapped homogeneous grain interior bulk region andhomogeneous grain boundary network region. Each local polysilicon material element includes twocomponents: a grain interior and a grain boundary component. The grain boundary network ischaracterized with a scalar density function describing the grain size and a vector function describingthe grain boundary direction. Correspondingly, each impurity is split into two diffusion components:inside the grain interior and in the grain boundary region. These two components are coupled withgrain boundary segregation. During a thermal cycle, polysilicon recrystallization is also modeled inorder to include grain size growth. The polysilicon diffusion is invoked by setting the flag POLY.DIFFon the METHOD statement and control of the model is enabled with the MATERIAL statement. Thediffusion will proceed according to the time and temperature given in the DIFFUSE statement. Theresulting impurity profile can be output as a grain interior component, a grain boundary componentand combined total concentration. The relationship between the diffusion components of grain interiorand grain boundary are [111].

3-76

3-77

3-78

3-79

3-80

∂Cg

∂t--------- ∇ Dg∇Cg( ) G–=

Dg Dg0

DgE

kT---------–

exp=

∂Cgb

∂t------------ ∇i FijDgb∇jCgb( ) G+=

G t1–( )

Cg

Pseg---------- Cgb–

=

Dgb Dgb0

DgbE

kT------------–

exp1t---=

Page 161: athena_users

SSUPREM4 Models

SILVACO International 3-31

3-81

where

Cg is the impurity concentration component in the grain interior

Cgb is the impurity concentration component in the grain boundary

Dg and Dgb is the diffusivity of grain interior and grain boundary respectively

G is the grain boundary segregation flux term

τ is a constant that represents the rate of segregation,

Pseg is the segregation coefficient

Fij is a tensor to account for the effect of grain boundary directionality

Lg is grain size and is assumed constant in x,y,z for the current implementation

The grain boundary segregation is modeled [112] with:

3-82

where

Qs is the density of segregation at the grain boundary

Nsi is the density of silicon atoms in the Si crystal

A is the entropy factor

δo is the segregation activation energy

Grain GrowthThe Lg is a time dependent spatial function due to the recrystallization during the thermal cycle, it ismodeled [100] with

3-83

where

g 0 is the initial polysilicon grain size

b is the lattice constant

Dgb/Si is the grain boundary silicon self-diffusivity

λ is the grain boundary energy

τ is the elapsed time during the diffusion

The current implementation allows only a single scalar value of “as deposited” polysilicon grain size.Two sets of physical parameters need to be set up to enable proper diffusion:

1. parameters related to the polysilicon material, are set up in MATERIAL statement

2. parameters related to the specific impurity, are set up with the IMPURITY statement

Fij1

Lg x y z, ,( )---------------------

1 0 0

0 2 0

0 0 1

=

pseg1

Lg------

Qs

Nsi-------A

∂o

kT-------–

exp=

Lg x y z, ,( ) 2g0----- 2.

6b2

KT--------.λDgb Si⁄ τ+

1 2⁄

=

Page 162: athena_users

ATHENA User’s Manual

3-32 SILVACO International

The vector function describing grain boundary directionality is calculated during the polysilicondeposition process. Currently, a columnar direction vector function is implemented, in which the grainboundary is aligned along the direction normal to the surface of each deposited layer. In order to createthe vector function, the METHOD statement with POLY.DIFF specified should precede the deposition ofthe polysilicon.

Page 163: athena_users

SSUPREM4 Models

SILVACO International 3-33

Oxidation Models

IntroductionThe fabrication of integrated circuit microelectronic structures and devices vitally depends on thethermal oxidation process for the formation of gate dielectrics, device isolation regions, spacer regions,and ion implantation mask regions. Of particular importance is the precise control of silicon dioxidethickness as device geometries continue to scale to sub-micron dimensions.

In SSUPREM4 silicon thermal oxidation is modeled when a DIFFUSION statement contains a DRYO2,WETO2, F.O2, or F.H2O parameter. Oxidation takes place when there is an interface between silicon(or polysilicon) and silicon dioxide or a silicon (polysilicon) surface is exposed to an oxidizing ambient.SSUPREM4 simulates polysilicon oxidation in a very similar manner as silicon (almost all oxidationparameters for polysilicon are the same as for silicon). SSUPREM4 also allows oxidation completelythrough a silicon (polysilicon) layer. This is very important in processes (e.g. poly buffered LOCOS) inwhich polysilicon regions are completely consumed during oxidation.

Because exposed silicon surfaces usually have a thin native oxide layer, SSUPREM4 automaticallydeposits a thin native oxide layer on all exposed silicon (polysilicon) surfaces at the beginning ofoxidation steps; the INITIAL parameter in the OXIDE statement determines the layer’s thickness,which has a default value of 20 Å.

The two-dimensional oxidation models in SSUPREM4 are based on the well-known linear-parabolictheory of Deal and Grove [13], in which silicon oxidation is modeled by considering three processes:

(1) Oxidant (e.g., H2O or O2) is transported from the ambient gas into the SiO2 layer at the gas/SiO2interface.

(2) Oxidant is transported across the SiO2 layer until reaching the Si/SiO2 interface.

(3) Oxidant, arriving at the Si/SiO2 interface, reacts with silicon to form a new layer of SiO2.

The transport of oxidant across the gas/SiO2 interface is given by

3-84where:

h is the gas-phase mass-transport coefficient.

C* is the equilibrium oxidant concentration in SiO2.

C0 is the oxidant concentration in SiO2 at the gas/SiO2 interface.

n0 is a unit vector normal to the gas/SiO2 interface pointing toward the silicon layer.

The equilibrium oxidant concentration in SiO2 is linearly related to the partial pressure of the oxidant,P, in the gas by Henry’s law

3-85

where K is a constant.

Diffusion of oxidant molecules in the SiO2 is driven by a concentration gradient and is given by Fick’slaw as

3-86

F1 h C*

C0–( )n̂0=

C*

K P⋅=

F2 Deff∇C–=

Page 164: athena_users

ATHENA User’s Manual

3-34 SILVACO International

where:

Deff is the effective oxidant (H2O or O2) diffusivity in the growing SiO2 layer.

C is the oxidant concentration in the oxide.

The reaction at the Si(Polysilicon)/SiO2 interface between silicon and the oxidant is expressed as

3-87

where:

k is the apparent surface reaction rate constant.

Ci is the oxidant concentration at the Si(Polysilicon)/SiO2 interface.

ni is a unit vector normal to the Si/SiO2 pointing toward the silicon layer.

Under steady state conditions, the three fluxes are equal:

3-88

By dividing the flux by N1, the number of oxidant molecules incorporated in a unit volume of SiO2, andconsidering one dimensional growth, the growth rate of the oxide layer is given by

3-89

where x0 is the oxide thickness. From equations 3-84 and equations 3-86 - 3-88, equation 3-89 can beexpressed as

3-90

where:

3-91

3-92

F3 kCin̂i=

F F1 F2 F3= = =

dx0

dt--------

FN1------=

dx0

dt--------

BA 2x0+-------------------=

A 2Deff1k---

1h---+

=

B 2DeffC

*

N1------=

Page 165: athena_users

SSUPREM4 Models

SILVACO International 3-35

Numerical Model DescriptionIn the previous section, an introduction to one-dimensional oxidation modeling was presented. In thissection the two-dimensional numerical oxidation models implemented in SSUPREM4 are described.The numerical oxidation models build on the Deal-Grove oxidation theory and provide the capability tosimulate arbitrary two-dimensional structures.

The numerical oxidation models require solving the oxidant diffusion equation at incremental timesteps at discrete grid points in the growing SiO2 layer. The oxidant diffusion equation is given by

3-93

where:

C is the oxidant concentration in SiO2.

t is the oxidation time.

F is the oxidant flux.

Equation 3-93 is solved by substituting equation 3-86 for F, and defining appropriate boundaryconditions at material interfaces with SiO2. At the gas/SiO2 interface, equation 3-84 describes theinterface transport flux of oxidant molecules, accounting for the boundary condition at that interface.The boundary condition at the Si(Polysilicon)/SiO2 interface is described by equation 3-87. The flux atboundaries between SiO2 and other materials in the simulation structure is set to zero. By solvingequation 3-93 the oxidant concentration is determined at each grid point in the SiO2 layer. The SiO2growth rate or Si/SiO2 interface velocity, Vs, is determined at each point along the interface bycombining equations 3-87 and 3-89 resulting in

3-94

At each time step equation 3-94 is solved, the incremental oxide thickness grown is calculated bymultiplying equation 3-94 by the time step. During the oxidation reaction silicon atoms bond with theoxidant to form the SiO2 compound. Thus, silicon material is removed during the oxidation process.The ratio of the silicon thickness consumed to form a given thickness of SiO2 is specified using theALPHA parameter on the OXIDE statement.

Equation 3-93 is sufficient to describe the motion of the Si/SiO2 interface if the oxide flow is in thesame direction as the growth (for planar oxidation structures). In most structures of interest the oxideflow is two dimensional; therefore, additional equations have to be solved. Both the COMPRESS andVISCOUS models calculate the two-dimensional flow of oxide elements by solving a simplifiedhydrodynamic creeping flow equation.

Compress Model

In addition to solving equations 3-93 and 3-94, a simplification of the hydrodynamic flow equation issolved to obtain the flow of oxide elements [31]. The COMPRESS model is activated by specifyingCOMPRESS on the METHOD statement prior to a DIFFUSE statement. The COMPRESS method is thedefault oxidation model in SSUPREM4. Neglecting the acceleration and gravitational terms in thehydrodynamic flow equation, the creeping-flow equation is given by:

∂C∂t------- ∇ F⋅=

Vs

kCin̂i

N1-------------=

Page 166: athena_users

ATHENA User’s Manual

3-36 SILVACO International

3-95

where:

P is the hydrostatic pressure.

V is velocity of oxide elements.

µ is the oxide viscosity.

The oxide viscosity is calculated from

3-96

where:

YOUNG.M is Young’s modulus, specified in the MATERIAL statement. and

POISS.R is Poisson’s ratio and is specified in the MATERIAL statement.

The oxide flow is treated as an incompressible fluid. By doing this, it is implied that the density of theoxide is constant with respect to time. Applying this fact to the mass continuity equation, theincompressibility condition is given as

3-97

The incompressibility condition in equation 3-97 is implemented by allowing a slight compressibility ofthe flowing oxide. Thus, equation 3-97 is modified to give

3-98

The solution of equation 3-98 at each time step gives the velocity field of the flowing oxide elements.The COMPRESS model is recommended for simulations of planar and nonplanar structures wherestress effects play a minor role in determining the oxide shape. When stress effects are important, onecan use the VISCOUS oxidation model. Figure 3-3 shows a two-dimensional cross section of thestructure resulting from a LOCOS oxidation using the COMPRESS model.

µ∇2V ∇P=

µ YOUNG.M2 2 POISS.R⋅+( )---------------------------------------------=

∇ V 0=⋅

∇ V1 2 POISS.R⋅( )–

µ-------------------------------------P–=⋅

Page 167: athena_users

SSUPREM4 Models

SILVACO International 3-37

Figure 3-3: Resulting Structure from a LOCOS Oxidation step using the COMPRESS Model

Viscous Model

The VISCOUS model solves the same flow equations as described in the previous section. This model isactivated by specifying the VISCOUS parameter on the METHOD statement prior to the DIFFUSEstatement. The VISCOUS model calculates stresses in the growing oxide and creates almost the sameshape for the silicon/oxide interface as does the COMPRESS method.

The stresses in the oxide are calculated as follows [17]:

3-99

3-100

3-101

σxx σyy+

2 VISC.0VISC.E–

kbT-----------------------

exp⋅ ⋅

1 2 POISS.R⋅–----------------------------------------------------------------------

∂Vx

∂x----------

∂Vy

∂y----------+

=

σxx σyy– 2 VISC.0VISC.E–

kbT-----------------------

exp⋅ ⋅∂Vx

∂x----------

∂Vy

∂y----------+

=

σxy VISC.0VISC.E–

kbT-----------------------

exp⋅∂Vx

∂x----------

∂Vy

∂y----------+

=

Page 168: athena_users

ATHENA User’s Manual

3-38 SILVACO International

where:

Vx and Vy are the x and y components of flow velocity V, respectively.

VISC.0 and VISC.E are the pre-exponential and activation energy, respectively for viscosity, specified on theMATERIAL statement.

The stress-dependent nonlinear model based on Eyring’s work [18] allows a description of the realshape of LOCOS profiles with kinks on the interface. The model is turned on by the STRESS.DEPparameter using the OXIDE statement. Using Equations 3-95, and 3-98 – 3-101, the nonlinear solverfirst finds a linear solution for flow velocities and stresses and then uses the stresses obtained tocalculate the reduction factors for oxidant diffusivity Deff, oxide viscosity µ , and interface reaction rateconstant k as follows:

3-102

3-103

3-104

where:

i is the iteration.

Vd , Vc , Vr , and Vt are the activation volumes (in Å3), specified in the OXIDE statement.

τ is the total shear stress:

3-105

σr is the normal component of the total stress:

3-106

σt is the tangential component of the total stress:

3-107

Di( )eff D

i 1–( )eff

Vd σxx σyy+( )kbT

----------------------------------- exp⋅=

µ i( ) µ i 1–( )

τVc

2kbT------------

hτVc

2kbT------------

sin

-----------------------------=

ki( )

ki 1–( ) σrVr σtVt+

kbT-----------------------------–

exp⋅=

τ12--- σxx σyy–( )2

4σxy2

+=

σr σxxn2x σyyny

22σxynxny+ +=

σt σxxny2 σyynx

22σxynxny–+=

Page 169: athena_users

SSUPREM4 Models

SILVACO International 3-39

where:

nx and ny are the x and y components of the unit vector normal, respectively.

The reduced parameters feed back to the next iteration. This process continues until the accuracycriterion is met. Fast convergence of this process is not guaranteed. Oxidation calculations by thestress-dependent model usually take much more CPU time than the COMPRESS model.

Figure 3-4 shows the resulting structure from a LOCOS oxidation step using the stress dependentVISCOUS model.

Figure 3-4: Resulting Structure from a LOCOS Oxidation step using the Stress-Dependent VISCOUS Model

Linear Rate Constant

For short oxidation times and low oxidation temperatures the oxide growth is linearly related to theoxidation time. The interface processes (oxidant transport across the gas/SiO2 interface and oxidantreaction at the Si/SiO2 interface) are the determining factor in describing the growth kinetics. In thisregime the oxide thickness can be approximated as:

3-108

where (B/A) is called the linear rate constant, and is obtained by dividing equation 3-92 by equation 3-91 resulting in:

3-109

x0BA----

t≅

BA----

C*

N1------

1h---

1k---+

=

Page 170: athena_users

ATHENA User’s Manual

3-40 SILVACO International

The equilibrium oxidant concentration in the oxide, C*, is defined by equation 3-85 and K in equation3-85 is specified by the HENRY.COEF parameter on the OXIDE statement. The gas-phase mass-transport coefficient, h, is given by the following Arrhenius relation

3-110

where TRN.0 and TRN.E are specified on the OXIDE statement. The interface reaction rate constant, k,is determined from equation 3-109 and experimentally determined values of (B/A).

The linear rate constant is composed of several dependencies including orientation, pressure, chlorineadditions, and doping effects.

3-111

(B/A)i is given by

3-112

which is the linear rate constant determined for oxidations on lightly-doped substrates annealed atatmospheric pressure with no chlorine content in the ambient. The parameters appearing in equation3-112 are specified on the OXIDE statement. The remaining factors in equation 3-111 are described inthe following sections.

Orientation Dependence

The silicon substrate orientation is known to affect the oxidation kinetics [31, 33]. The influence oforientation on the linear rate constant is modeled as (B/A)ori in Equation 3-111. The orientationdependencies for <100> and <110> orientations are modeled by appropriate reduction factors, and (B/A)ori for <111> substrates is unity. Figure 3-5 shows the silicon dioxide thickness dependence as afunction of the substrate orientation for several oxidation temperatures.

h TRN.0 –TRN.E

kbT------------------

exp⋅=

BA----

BA----

i

BA----

ori

BA----

P

BA----

Cl

BA----

doping

=

BA----

i

LIN.L.0LIN.L.E

kbT---------------------–

T L.BREAK<exp

LIN.H.0LIN.H.E

kbT----------------------–

T L.BREAK≥( )exp

=

Page 171: athena_users

SSUPREM4 Models

SILVACO International 3-41

Figure 3-5: Silicon Dioxide Thickness versus Time for Different Substrate Orientations and Temperatures

Pressure Dependence

High pressure silicon oxidation allows one to grow relatively thick SiO2 films while keeping thetemperature low such that dopant redistribution is reduced [32]. The pressure dependence in thelinear rate constant is given by

3-113

where:

L.PDEP is specified on the OXIDE statement for each oxidant.

P is the partial pressure of the oxidizing gas.

Figure 3-6 shows the silicon dioxide thickness versus time with PRESSURE as a parameter.

BA----

P

PL.PDEP

=

Page 172: athena_users

ATHENA User’s Manual

3-42 SILVACO International

Figure 3-6: Silicon Dioxide Thickness versus Time with Pressure as a Parameter

Chlorine Dependence

The addition of chlorine to the oxidation system results in better passivation and higher oxidedielectric strength [13, 33]. For a dry oxygen ambient, chlorine introduction gives rise to a higheroxidation rate, and it has been suggested [33] that chlorine reacts with O2 to produce H2O and Cl2 asproducts. The oxidation rate is higher in H2O ambients than in O2 ambients because of H2O’sincreased equilibrium concentration in the oxide compared to O2. A “look-up” table approach isimplemented to model the increase in the linear rate constant in Equation 3-139 though the (B/A)Clterm. The table gives an enhancement factor to the linear rate constant as a function of chlorinepercentage and temperature. The default values for chlorine dependence are included in Appendix B.

The effects of adding chlorine to the oxidizing ambient is shown in Figure 3-6, where the silicondioxide thickness increases as more chlorine is added to the ambient.

Page 173: athena_users

SSUPREM4 Models

SILVACO International 3-43

Figure 3-7: Silicon Dioxide Thickness Versus Oxidation Time with HCl Percentage and Temperature as Parameters

Doping Dependence

It is well known that SiO2 formation on highly-doped n-type and p-type substrates can be enhancedcompared to SiO2 formation on lightly-doped substrates [15, 162]. The dependence of silicon dioxidegrowth kinetics on doping concentration is manifested as part of the linear rate constant, where thephysical significance of the high doping levels has been explained primarily as an electrical effect [15,159]. This factor in the linear rate constant is given by

3-114

where:

V* is the equilibrium vacancy concentration in silicon at the Si/SiO2 interface.

Vi* is the equilibrium vacancy concentration in intrinsic silicon.

BAF.K0 and BAF.KE are specified on the OXIDE statement.

The equilibrium vacancy concentration, composed of vacancy defects in different charged states, depends on theFermi level location [163, 164] and is given by

BA----

doping

1 BAF.K0BAF.KE–

kbT--------------------------

V∗V i

∗-------- 1– exp⋅+=

Page 174: athena_users

ATHENA User’s Manual

3-44 SILVACO International

3-115

where:

n is the electron concentration

ni is the intrinsic carrier concentration

3-116

3-117

3-118

3-119

φ+, φ++, φ−, and φ= are fractions of the vacancy concentration which are positively, double positively, negatively, anddouble negatively charged, respectively.

Figure 3-8 shows a plot of V*/Vi* at 950 degrees Celsius for common silicon dopants. Note that for n-type dopants

V*/Vi* increases as the doping concentration increases, but V*/Vi

* remains essentially constant for the p-type dopant.

The increase in V*/Vi* for n-type dopants increases the linear rate constant. This ultimately leads to thicker oxides

when oxidizing highly-doped n-type substrates due to a higher availability of unoccupied silicon lattice sites (vacan-cies) for oxidant molecules to be incorporated.

The oxide thickness trend can be readily observed in Figure 3-9 where the SiO2 thickness is plottedversus doping concentration for common silicon dopants.

V∗ Vi∗

1ni

n----

φ+ ni

n----

2

φ++ nni----

φ– n

ni----

2φ=+ + + +

1 φ+ φ++ φ– φ=+ + + +---------------------------------------------------------------------------------------------------

=

φ+ BAF.EBK BAF.PE

kbT---------------------–

exp=

φ++ BAF.EBKBAF.PPE

kbT-------------------------–

exp=

φ–BAF.EBK

BAF.NEkbT

----------------------– exp=

φ=BAF.EBK

BAF.NNEkbT

---------------------------– exp=

Page 175: athena_users

SSUPREM4 Models

SILVACO International 3-45

Figure 3-8: Ratio versus Doping Concentration

Figure 3-9: Simulated Silicon Dioxide Thickness vs. Doping Concentration for Common Silicon Dopants

V∗

Vi∗⁄

Page 176: athena_users

ATHENA User’s Manual

3-46 SILVACO International

Parabolic Rate Constant

For long oxidation times and high temperatures the oxide growth is parabolically related to theoxidation time. The diffusion of oxidant in the oxide is the determining factor in describing the growthkinetics. For these times and temperatures the oxide thickness can be approximated as:

3-120

where B is called the parabolic rate constant and is given by equation 3-92. Using equation 3-92, theoxidant diffusivity, Deff, is determined from specified values for C*, N1, and experimentally-determinedvalues of B. The parabolic rate constant has been determined to have dependencies on the ambientpressure and the chlorine content during oxidation, and is given by:

3-121

where:

3-122

Bi is determined as a function of temperature and time for lightly-doped substrates annealed atatmospheric pressure with no chlorine content in the ambient. The parameters in equation 3-122 arespecified for the appropriate oxidant species using the OXIDE statement. The pressure dependence andchlorine dependence are described in the following sections.

Pressure Dependence

The effects of pressure on the kinetics of the silicon oxidation process have been studied by Razouk etal. [32] for pyrogenic steam and Lie et al. [165] for dry oxygen. The parabolic rate varies with pressurebecause of its dependence on the oxidant equilibrium concentration in the oxide, C*, which is directlyproportional to the partial pressure of the oxidizing gas. The following relation is used to model thisdependency

3-123

where:

P is the partial pressure of the oxidizing gas in atmospheres.

P.PDEP is specified on the OXIDE statement.

Refer to Figure 3-6 for a plot of SiO2 thickness as a function of time and pressure.

x02

Bt≅

B Bi BP BHCl⋅ ⋅=

Bi

PAR.L.0PAR.L.E

kbT------------------------–

T P.BREAK<exp

PAR.H.0PAR.H.E

kbT------------------------–

T P.BREAK≥exp

=

BP PP.PDEP

=

Page 177: athena_users

SSUPREM4 Models

SILVACO International 3-47

Chlorine Dependence

It has been observed that additions of chlorine during thermal oxidation also affect the parabolic rateconstant. One possible explanation is that as chlorine enters the oxide film, it tends to cause the SiO2lattice to become strained, which in turn increases the oxidant diffusivity [33]. Chlorine concentrationdependence on the parabolic oxidation rate is modeled in a similar manner to that of the linear rateconstant. Given an HCl percentage, a “look-up” table is used to determine an enhancement factor forthe parabolic rate constant. Figure 3-7 shows the SiO2 thickness dependency on HCl percentage.

Mixed Ambient Oxidation

In practice, an oxidizing ambient may be a gas mixture consisting of more than one oxidant and otherimpurities. The total oxidation rate will be the combined effect of all these species. To simulateoxidation under a multi-gas ambient, SSUPREM4 simultaneously calculates the diffusion andoxidation of several ambient gases.

The capability is invoked by specifying the gas flow parameters F.O2, F.H2, F.H2O, F.N2, andF.HCL on the DIFFUSE statement. From the gas flow, the partial pressure of each gas is calculated as:

3-124

where:

Pj and Fj are partial pressure and gas flow rate for the jth gas, respectively.

Ptotal is the total pressure of the gas mixture (specified by the pressure parameter on the DIFFUSE statement).

If only one oxidant gas is specified in the gas flow (i.e., only O2 or H2O with other gases), oxidation ismodeled as described previously. The pressure of the oxidant gas is determined by equation 3-124. Ifboth F.H2 and F.O2 are specified, the reaction of H2 and O2 to form H2O is assumed to occur. Thepartial pressure of H2O is then calculated before solving the oxidation equations.

For ambients containing more than one oxidant (e.g., O2 and H2O), the partial pressure of each

oxidant is used to calculate C* for each species. From C*, k and Deff for each oxidant species arecalculated in a similar manner as that described in the pairs sections, respectively. Equation 3-93 issolved for each oxidant to obtain each oxidant’s concentration distribution in the growing SiO2. Thecontributions of each oxidizing species to the Si/SiO2 interface velocity is calculated with:

3-125

where equation 3-94 has been used and j corresponds to the jth oxidant gas.

The flow equations are also calculated for a mixed ambient where both O2 and H2O exist andCOMPRESS or VISCOUS has been specified on the METHOD statement. The stress dependence of Deff andk is a function of the composition of dry or wet oxide which depends on oxidation history. Mixedambient oxidation simulations take longer to solve than simple ambient equations.

Pj Ptotal

Fj

Fj∑-----------=

Vs

kjCijn̂ij

N1j------------------

j

∑=

Page 178: athena_users

ATHENA User’s Manual

3-48 SILVACO International

Analytical Oxidation ModelsThe analytical oxidation models can be used to simulate only a limited set of simple structures;possible structures include a silicon substrate with an oxide layer deposited (or grown) on it. Since themask can only be specified at the left part of a simulated structure, oxidation can take place only to theright of the mask edge. Analytical methods do not account for any real material layer located to theright of the specified mask edge. As the oxide layer thickens, the material is elevated, but growth rateand oxide shape are not affected. In all analytical models, the initial silicon surface must be planar.

The ERFG model simulates the “bird’s beak” oxide shape under nitride masks of different thicknesses[16]. The ERFG model consists of two models ERF1 and ERF2. The ERF1 model describes the oxidegrowth under a thin nitride layer, where the stress from the nitride mask layer is negligible. ERF2model describes the oxide growth when nitride layer thicknesses are large enough to cause stress inthe oxide, which can result in the oxide layer being “pinched.” When ERFG is specified, either theERF1 or ERF2 model will be automatically selected based on the structure under consideration. Bothmodels are based on the error-function shape of the oxide/silicon and oxide/ambient or oxide/nitrideinterfaces:

3-126

Parameters A, B, C, and D are complex functions of several geometric parameters: initial thickness ofoxide lox and nitride ln, current thickness Eox of oxide given by the Deal-Grove model (Equation 3-89),the length of lateral oxidation under the nitride layer Lbb, and the lifting of the mask during oxidationH. These functions are specified in the OXIDE statement. All defaults are taken from [16].

Recommendations for Successful Oxidation SimulationsAchieving successful oxidation simulations can be a frustrating task for a novice user of processsimulation software. This section highlights some of the most common barriers encountered usingprocess simulation to model oxidation steps, and describes how to overcome those barriers with theproper methods for simulating these oxidation steps.

One of the most common errors made in simulating oxidation steps is not properly gridding the oxidestructure. Improper gridding can result in jagged oxide shapes and errors in resolving impuritydistributions. As the oxide layer is growing, grid points are added at predefined spacings. As silicon isbeing consumed, dopants are transported across the Si/SiO2 interface. It is important to obtain a wellgridded oxide to properly account for dopant redistribution during the oxidation step.

Growing Thin Oxides

A typical application where thin oxide growth is important is during a gate oxidation step of aMOSFET which has a highly-doped polysilicon gate. By default SSUPREM4 uses a grid spacing of 0.1microns in the growing oxide layer. Thus, one grid layer will be added in the growing oxide every 0.1microns (or 1000 angstroms). This grid spacing is appropriate for field oxidations, and hence thereason it is the default grid spacing in the growing oxide layer. Using the default grid spacing in theoxide for typical gate oxidations in today’s MOS technology results in no grid being added in theinterior of the SiO2 layer. With no grid present in the oxide to resolve the dopant diffusion in the oxideduring subsequent processing, the polysilicon dopant can penetrate into the underlying siliconsubstrate. This simulation artifact can cause threshold voltages to be very different than expected.

To rectify this simulation artifact, the user can control the number of grid layers added during theoxidation with the GRID.OXIDE and GRIDINIT.OXIDE parameters on the METHOD statement. Thisstatement should be placed before the gate oxidation diffusion step. Setting these parameters to avalue which results in three or four grid layers in the gate oxide (e.g., 15 angstroms for a 60 angstromgate oxide thickness) can alleviate this problem. It is suggested that these parameters be set back tothe default values after the gate oxidation step.

Z A erfc By C+( ) D+=

Page 179: athena_users

SSUPREM4 Models

SILVACO International 3-49

Figure 3-10 shows a cross section of an NMOSFET with a highly-doped phosphorus polysilicon gate.The default grid spacing in the oxide is used in Figure 3-10(b), while the grid spacing is adjustedproperly for Figure 3-10(a). By comparing these two figures, it is obvious that phosphorus haspenetrated through the gate oxide for Figure 3-10(b), but does not penetrate through the gate oxide inFigure 3-10(a).

Figure 3-10: (a) MOSFET Structure with Proper Gridding in Gate Oxide, (b) MOSFET Structure with Default Grid Spacing in Gate Oxide

Implantation Through Thermally-Grown Oxides and Dopant Loss During SubsequentAnnealing

Frequently, dopants are implanted through thermally grown oxide layers. It is important to have aproper grid spacing in the oxide through which the dopant is implanted for two reasons. First, this willaid in determining the proper dopant profile in the oxide layer and the underlying silicon. Secondly,proper gridding is required to resolved the dopant diffusion in the oxide during subsequent processingsteps.

During annealing the dopant will diffuse in SiO2 and silicon, and eventually evaporate into theambient at the gas/SiO2 interface. If proper gridding is not supplied in the growing oxide layer, theamount of dopant evaporating can be underestimated, yielding a larger dose retained in the siliconsubstrate. The mechanism is similar to what was described in the earlier sections; there may not beany grid points in the interior of the growing SiO2 layer. The problem is again remedied by specifyingmore grid layers to be added as the SiO2 layer grows.

Figure 3-11 shows a comparison of the resulting arsenic profiles in silicon using the default gridspacing and a corrected grid spacing in the growing SiO2 layer. For this experiment, a silicon dioxide

Page 180: athena_users

ATHENA User’s Manual

3-50 SILVACO International

layer was thermally grown. Arsenic was ion implanted through the SiO2/Silicon structure. Asubsequent annealing step followed which results in the profiles shown in Figure 3-11.

Figure 3-11: Comparison of Arsenic Profiles in Silicon with Default Grid Spacing and Improved Grid Spacing in the Growing SiO2 layer.

Oxidation Enhanced Diffusion (OED) / Oxidation Retarded Diffusion (ORD)

During silicon thermal oxidation some of the dopant in silicon gets incorporated into the growing SiO2layer, and some remains in silicon where it diffuses. As oxidation proceeds, silicon lattice atomsbecome interstitial (interstitials are injected into silicon at the Si/SiO2 interface) as oxygen moleculesare incorporated into the lattice to form SiO2. Due to the injection of interstitial defects duringoxidation, it is possible that dopant diffusivities can be enhanced. To properly simulate this effect, thecreation and movement of point defects, vacancies and interstitials, have to be included in thesimulation. By specifying TWO.DIM on the METHOD statement before the oxidation step, non-equilibrium point defect concentrations (including injection and recombination at the Si/SiO2interface) are included in the simulation. For more information on point defect diffusion kinetics seethe diffusion model section of this chapter.

Note: Figure 3-12(a) compares the boron concentration profiles after an oxidation step when pointdefects remain at their equilibrium values (FERMI) and when point defects are allowed to obtain non-equilibrium values (TWO.DIM). It is evident from Figure 3-112a) that boron diffusion is enhanced for theTWO.DIM case. The corresponding interstitial concentrations are shown in Figure 3-12(b). Theinterstitial concentration is above the equilibrium interstitial concentration for the TWO.DIM case (thusallowing oxidation enhanced diffusion to be observed), but remains at equilibrium for the FERMI case.

Page 181: athena_users

SSUPREM4 Models

SILVACO International 3-51

It is also possible to have a diffusion retardation effect during thermal oxidation. For dopants diffusingprimarily via a vacancy mechanism, their diffusivities can be reduced during oxidation because of therecombination of vacancies with injected interstitials at the SiO2/Silicon interface. An example of thisphenomenon is shown in Figure 3-13.

Figure 3-13(a) shows the resulting antimony concentration profiles after an oxidation step where theFERMI and TWO.DIM models have been used. In contrast to boron (Figure 3-12(a)), the resultingantimony concentration profile is shallower for the TWO.DIM case when compared to the FERMI case.The reduced vacancy concentration can be seen in Figure 3-13(b), where the results from the TWO.DIMand FERMI models are shown.

Figure 3-12: (a) Boron Concentration Versus Depth (b) Corresponding Interstitial Concentration Versus Depth

Page 182: athena_users

ATHENA User’s Manual

3-52 SILVACO International

Figure 3-13: (a) Antimony Concentration Versus Depth (b) Corresponding Vacancy Concentration Versus Depth

Page 183: athena_users

SSUPREM4 Models

SILVACO International 3-53

Silicidation ModelSilicide modeling capability is implemented in SSUPREM4. Silicides are formed when a metal reactswith silicon or polysilicon to create an intermediate phase. The conductivity of silicides is typicallyorders of magnitude greater than that of highly doped n+ and p+ regions. Aggressive ULSItechnologies use silicides to reduce contact and interconnect resistances. Also, the use of salicides (self-aligned silicides) is a practical way to reduce poly gate resistance and source and drain sheetresistance.

The silicide growths are described as the multilayer moving boundaries along the metal–silicide andsilicon/poly–silicide interfaces where silicon and metal atoms react to form silicide. The movingboundary velocities are calculated using the interface reaction rate coefficients and concentrations ofsilicon and metal in the silicide. The diffusion of silicon and metal atoms inside the silicide layers aresolved using the point defect diffusion equations. Impurity segregation and diffusion in silicide areincluded, as well as point defect injection from the moving interfaces. Using user defined materialcapability, multiple material combinations can be implemented. Platinum Disilicide (PtSi2), TitaniumSilicide (TiSi2), and Tungsten Silicide (WSi2) are implemented.

Silicidation is invoked by depositing refractory metal layers on the exposed silicon/poly surface andthen specifying a thermal cycle in the DIFFUSE statement. The SILICIDE statement is used to specifythe parameters for adjusting reaction rate coefficients, volume expansion/contraction, etc. The siliconand metal atom diffusion parameters are specified using the INTERSTITIAL and VACANCYstatements. The segregation and diffusion parameters for other existing impurities can be specified intheir respective statements. Stress related mechanical parameters for metal and silicide materials arespecified in the MATERIAL statement using the MATERIAL and /MATERIAL parameters.

The modeled silicidation process is similar to that of oxidation. During each time step, growthvelocities based on temperature, surface reaction rate coefficients, and silicon/metal concentrationsare calculated for each interface point. The velocities are then used as boundary conditions for solvingdiffusion equations of silicon, metal, and other impurities. The growth velocity at the ith interfacepoint is calculated as follows:

3-127

where:

is the interface reaction rate coefficient, N1i is the number of silicon or metal molecules

per unit silicide material, specified as KS.0, KS.E, NSILICON, and NMETAL respectively in the SILICIDEstatement.

Ci is the silicon or metal concentration.

nin is the interface normal vector which points towards the silicon-poly or metal side.

The diffusion of silicon and metal molecules inside the silicide layers is modeled as a point defectdiffusion process, where the silicon and metal can react to form the silicide, similar to therecombination of interstitials and vacancies.

3-128

where:

C is the concentration of either silicon or metal molecules.

dXi

dt--------- kiCi

nin

N1i--------=

ki ks.0 ks.EkT

-----------–exp=

∂C∂t------- ∇ D ∇C( ) R–=

Page 184: athena_users

ATHENA User’s Manual

3-54 SILVACO International

R is the bulk recombination of silicon and metal molecules.

D is the diffusivity silicon and metal in silicide.

In addition to bulk recombination, segregation and transport coefficients at the growth interface areused to model the chemical reactions and transport of diffused molecules across the interface. Theseparameters (SEG.0, SEG.E, TRN.0, TRN.E) are defined in the same way as those in the dopingimpurity statements. All the diffusion related parameters are specified in the INTERSTITIAL andVACANCY statements using the MATERIAL and /MATERIAL parameters to indicate silicide and otherinterface materials (metal, silicon, and polysilicon).

Silicide formation usually leads to a large volume decrease, which may be responsible for the stressobserved in silicides. The volume change associated with an interaction is modeled using the atomicvolumes of the reacting species and the molecular volume of the product [101]. For a reaction of thetype:

3-129

the change, , is given by

3-130

where:

V, VM, VSi, and respectively, are the molecular volume change, the molecular volume for metal, silicon,

and silicide.

x and y are the number of metal and silicon atoms in the silicide Mx Siy.

These parameters are specified as VMETAL, VSILICON, VSILICIDE, XMETAL, YSILICON in theSILICIDE statement.

The 2D movement of growth interface and volume change induced stress will cause the viscous flow ofthe silicide layer. This silicide flow is modeled analogously to the compress model of oxidation, wherethe equations solved are [102]:

3-131

3-132

3-133

where:

V is the velocity.

P is the pressure.

is the viscosity.

v is Poisson’s ratio.

E is Young’s modulus.

The parameters v and E are specified using the POISS.R and YOUNG.M parameters in the MATERIALstatement.

x M ySi Mx Siy+

∆∇

∆Vx VM y VSi+( ) VMxSiy

x VM y VSi+--------------------------------------------------------- 100×=

∆ VMxSiy

µ∇2V ∇P=

∇ ∇1 2v–

µ--------------- P–=⋅

µ E2 1 v+( )--------------------=

µ

Page 185: athena_users

SSUPREM4 Models

SILVACO International 3-55

Ion Implantation ModelsATHENA uses analytical and statistical techniques to model ion implantation. By default the analyticmodels are used. Analytical models are based on the reconstruction of implant profiles from thecalculated or measured distribution moments. The statistical technique uses the physically basedMonte Carlo calculation of ion trajectories in order to calculate the final distribution of stoppedparticles.

Analytic Implant ModelsATHENA uses spatial moments in order to calculate ion implantation distributions. This calculationmethod is based on range concepts of Lindhard [19] et al, in which an ion-implantation profile isconstructed from a previously prepared (calculated or measured) set of moments. A 2D-distributioncould be essentially considered a convolution of a longitudinal (along the implant direction) 1D-distribution and a transverse (perpendicular to implant direction) 1D-distribution. In the rest of thissection we will first describe three 1D implant models as well as the method of calculating 1D profilesin multi-layered structures. Then, two models of transverse (lateral) distribution as well as a methodof construction of 2D implant profiles will be outlined. Finally, three methods of implant parameterspecification will be described.

Gaussian Implant Model

There are several ways to construct 1D profiles. The simplest one is using the Gaussian distribution,which is specified by the GAUSS parameter in the IMPLANT statement:

3-134

where:

is the ion dose per square centimeter specified by the DOSE parameter.

Rp is the projected range,

Rp is the projected range straggling or standard deviation, and

Pearson Implant Model

The Gaussian distribution is inadequate in general because real profiles are asymmetrical in mostcases. The simplest and most widely approved method for calculation of asymmetrical ion-implantation profiles is the Pearson distribution, in particular the Pearson IV function. ATHENA usesthis function to obtain longitudinal implantation profiles.

The Pearson function refers to a family of distribution curves that result as a consequence of solvingthe differential equation:

3-135

in which f(x) is the frequency function. The constants a, b0, b1 and b2 are related to the moments of f(x)by:

3-136

C x( ) φ2π∆Rρ

---------------------x Rp–( )2

2∆R2p

--------------------------exp=

φ

df x( )dx

-------------x a–( )f x( )

b0 b1x b2x2

+ +--------------------------------------=

a∆Rpγ β 3+( )

A-------------------------------–=

Page 186: athena_users

ATHENA User’s Manual

3-56 SILVACO International

. 3-137

. 3-138

. 3-139

where A = 10 - 12 - 18 and and are the skewness and kurtosis respectively.

These Pearson distribution parameters are directly related to the four moments ( ) of

the distribution f(x):

3-140

is given by:

. 3-141

. 3-142

The forms of the solution of the Pearson differential equation depend upon the nature of the roots ofthe equation b0+b1x+b2x2=0. There are various shapes of the Pearson curves. Complete classificationof various Pearson curves can be found in [141]. Obviously, only bell-shaped curves are applicable toion implantation profiles. It is readily shown in reference [142] that f(x) has a maximum when

b0+b1x+b2x2 0. This can reformulated as the following relation between β and ,

3-143

with the additional constraint that <50.

Only Pearson type IV has a single maximum at x = a+Rp and monotonic decay to zero on both sides ofthe distribution. Therefore, Pearson type IV is usually used for ion implantation profiles. Pearson typeIV is the solution of Equation 3-134 when the following conditions are satisfied:

. 3-144

b0∆R

2p 4β 3γ2

–( )A

---------------------------------------–=

b1 a=

b22β γ2

– 6–A

--------------------------–=

β γ2 γ β

µ1 µ2 µ3 µ4, , ,

Rp µ1= ∆Rp µ2= γµ3

R3p

--------= βµ4

R4p

--------=

µi

µ1 xf x( ) xd

∞–

∫=

µi x Rp–( )if x( ) xd

∞–

∫= i 2 3 4., ,=

γ

β9 6γ2

5+( ) 9γ6( ) 16⁄ 8γ425 γ2

1+( )+ +[ ]1 2⁄

+{ }50 γ2

–------------------------------------------------------------------------------------------------------------------------->

γ2

β 39γ248 6 γ2

4+( )3 2⁄

+ +

32 γ2–

------------------------------------------------------------= and 0 γ232< <

Page 187: athena_users

SSUPREM4 Models

SILVACO International 3-57

This gives the following formula for Pearson IV distribution:

3-145where K is defined by the constraint:

3-146

In the narrow area of plane where Pearson IV type criterion (Equation 3-144) is not satisfiedwhile bell-shaped profile criterion (Equation 3-143) holds, ATHENA by default uses other than type IV

Pearson functions. These functions are bell-shaped but they are not specified over the whole interval. Usually this does not affect the quality of calculated profiles because the limits of thesefunctions are situated very far from their maximums. If the user wants to use only Pearson-IV

distribution, the parameter ANY.PEARSON can be set to FALSE. In all cases when and do not

satisfied one of above mentioned criteria ATHENA will automatically increase up to the value whichsatisfies the criterion used. In the standard Pearson model the longitudinal dopant concentration is

proportional to the ion dose :

3-147

This single Pearson approach has been proved to give an adequate solution for many ion/substrate/energy/dose combinations. However, there are many practically important cases when the so-calledchanneling effects make single Pearson method inadequate.

Dual Pearson Model

In order to extend applicability of the analytical approach toward profiles heavily affected bychanneling, Al Tasch, et.al [23], suggested so-called dual (or double) Pearson method. In this methodthe implant concentration is calculated as a linear combination of two Pearson functions.

3-148

where is the dose represented by each Pearson function f1,2(x). f1(x) and f2(x) are both normalized, eachwith its own set of moments. The first Pearson function represents the random scattering part (aroundthe peak of the profile) and the second one represents the channeling tail region. Equation 3-148 maybe restated as:

3-149

where is the total implantation dose and

In order to use dual Pearson distribution, nine parameters should be supplied — four moments for

each Pearson function plus the dose ratio . The dual Pearson model will be used only when all nineparameters are present (see Specification of Implant Parameters below) and the AMORPHOUSparameter is not specified in the IMPLANT statement (the default is CRYSTAL). Otherwise the singlePearson formula will be used.

f x( ) K b0 b1 x Rp–( ) b2 x Rp–( )2+ +[ ]

1 2b2( )⁄ b1 b2 2a+⁄

4b1b2 b21–

--------------------------------2b2 x Rp–( ) b2+

4b1b2 b21–

-----------------------------------------

atan–exp=

f x( ) xd

∞–

∫ 1=

β γ2–

∞– ∞,[ ]

β γ

β

φ

C x( ) φf x( )=

C x( ) φ1f1 x( ) φ2f2 x( )+=

C x( ) φ ℜf1 x( ) 1 ℜ–( )f2 x( )+[ ]=

φ φ1 φ2+= ℜ φ1 φ⁄=

Page 188: athena_users

ATHENA User’s Manual

3-58 SILVACO International

SIMS-Verified Dual Pearson (SVDP) Model

By default, ATHENA uses SIMS-Verified Dual Pearson (SVDP) implant models. These are based ontables from the University of Texas, Austin. These tables contain dual Pearson moments for B, BF2, Pand As extracted from high quality implantation experiments conducted by the University of Texas atAustin. These implantation tables contain dose, energy, tilt, rotation angle and screen oxide thicknessdependence as specified by the following table:

Key to table entries:

a Experimentally verified for 5-80keV. For energy range 1-5keV, an interpolation between 5keV and 0.5keV calculated with UT-MARLOWE, is used; an extrapolation is used for energy range 80± 100keV.

b Only for 15-80keV.c Experimentally verified for 5-65keV. For energy ranges 1-5keV and 65-80keV, the same procedures

used for boron.d Experimentally verified for 15-80keV. Numerical extrapolation is outside this energy range.e Experimentally verified for 5-180keV. Interpolation between 5keV and UT-MARLOWE calculated

profile at 0.5keV.

If a simulation is chosen outside the parameter ranges, described in the table above, ATHENA will notuse the dual Pearson implant SVDP models, but will instead use the standard tables provided. Whenusing the dual Pearson model the following notes should be kept in mind:

• For implant energies below 15keV, for boron, BF2 and arsenic, the simulation predicts the dopantprofiles for implants into a bare silicon surface (silicon wafer subjected to an HF etch less than 2hours prior to implantation). Low energy implant profiles at such low implant energies are found

to be extremely sensitive to the presence of a thin native oxide layer or disorderedsilicon layer on the wafer surface [144]. This fact should be kept in mind both when using themodel for the simulation of low energy ion implantation, and when performing implantations.

• For implant energies between 10keV and 15keV, the simulations are performed for boron, BF2and arsenic by using an interpolation between the dual Pearson model parameters at 15keV andthe dual Pearson model parameters at 10keV. The parameters at 15keV correspond to implanta-

tion through a native oxide layer while the parameters at 10keV correspond toimplantation into a bare silicon surface (silicon wafer subjected to an HF etch less than twohours prior to implantation).

• For implant energies below 5keV, the models for boron, BF2 and arsenic have not been verifiedexperimentally. The simulations in this range of implant energy are performed using an interpo-lation between experimentally verified dual Pearson parameters at 5keV and parameters basedon UT-MARLOWE estimates at 0.5keV.

Table 3-8. Range of Validity of the SVDP Model in ATHENA

Ions Energy (keV) Dose (cm-2) Tilt Angle (o)

Rotation Angle (o)

Screen Oxide (A)

B 1-100a 1013-8x1015 0-10 0-360 nativeoxide-500b

BF2 1-80c 1013-8x1015 0-10 0-360 native oxide

P 12-200d 1013-8x1015 0-10 0-360 native oxide

As a1-200e 1013-8x1015 0-10 0-360 native oxide

0.5 1.5– nm

0.5 1.5– nm

Page 189: athena_users

SSUPREM4 Models

SILVACO International 3-59

• The SIMS measurements upon which these profiles are based have a concentration sensitivity

limit on the order of to , increasing with increasing dose of the implant.The profiles have been extended below these limits, following the trends that occur within thesensitivity limits of the SIMS.

• The screen oxide thickness range has been verified from 1.5 to 40nm (only for boron and

energy range). But the oxide range has been extended to 50nm.

Screen Oxide Thickness Parameter S.OXIDE

To specify screen oxide, the S.OXIDE (in ) parameter is used in the IMPLANT statement. Thisthickness is specified independently of any actual surface oxide in the structure. However it is possibleto automate the extraction of the surface oxide thickness for use with the IMPLANT statement. Anexample is supplied demonstrating this.

S.OXIDE is another parameter for dual Pearson moments selection from the implant tables. It is up tothe user to select its value accordingly. The effect of it is, that it represents ion implantation through a

thin ( ) surface oxide layer. The present algorithm in ATHENA when encountering a multi-layered structure (see Multi-Layer Implants below), say oxide/silicon, switches to multi-materialscaling technique for evaluating the depth profile. This technique will combine two profiles — singlePearson for the oxide and dual Pearson for silicon with S.OXIDE preferably set to the thickness of theoxide. This separation between the surface oxide present in the structure prior the IMPLANT statementand the S.OXIDE parameter has been done for two reasons:

1) flexibility of using this parameter for different thin surface layers other than oxide (withappropriate scaling of their thickness for stopping);

2) the restricted (for now) availability of moments with screen oxide in the tables ( , and for boron only). Any user needing more precise dependence of the implantation profiles on thesurface screen oxide, should use a single layer of silicon with S.OXIDE set to an appropriate value.

Multi-Layer ImplantsIn order to apply any of above described analytical distribution functions for structures comprisedfrom several different material layers, a special scaling technique should be used. This is becausestopping powers and consequently range parameters are different in different materials. Severalimplant scaling methods are available in ATHENA.

DOSE.MATCH

The so-called dose-matching method [24] was historically first and is most widely used. The dosematching method is selected by the DOSE.MATCH parameter (default) in the IMPLANT statement. Inthis method, the segment of the profile within ith layer is calculated by:

. 3-150where f(x) is the distribution function specified for this implant (Gauss, Pearson, or dual Pearson) withmoments corresponding to the ith layer; xt is the distance from the surface to the top of the ith layer:

, xt is the thickness of the kth layer; 3-151

xeff is the effective thickness evaluated from:

5 1015× 2 10

16× cm2–

15 80– keV

µm

0 50– nm

0 50– nm 15 80– keV

Ci x( ) φf x xt– xeff+( )=

xt tk

1 k i 1–≤ ≤∑=

Page 190: athena_users

ATHENA User’s Manual

3-60 SILVACO International

. 3-152

where is the portion of the total implant dose which is consumed in the kth layer. Obviously, for the

first layer xeff = 0 and xt = 0.

RP.SCALE and MAX.SCALE

The other two methods for analytical calculation of implantation profiles in the layered structures areprojected range depth scaling (set by RP.EFF or RP.SCAL in the IMPLANT statement) and maximaldepth scaling (set by the parameter MAX.SCALE). These two methods differ from the dose-matchingmethod in the way the effective depth xeff is calculated as well as in the normalization of the partialprofiles in the layers. Like in the dose-matching method, the distribution in the first layer is calculateddirectly from the moments corresponding to the first layer without any corrections. For subsequentlayers, the implant distribution is calculated by the formulae:

. 3-153

and

. 3-154

where N is the normalization factor, is the total implantation dose, and xeff is the effective depth

calculated as follows. In the case of projected range scaling, xeff for the ith layer is:

. 3-155

where is the projected range of the specified ion in the material of the kth layer. For the case of the

maximal range scaling, xeff is calculated as:

3-156

where is the projected range straggling in the kth layer. In this approximation, the estimated

maximum ion range is taken as the measure of the ion penetration into the corresponding

material.

MOM.SCALE

In all three models described above, the range parameters in each layer are considered independent ofthe presence of other layers. However, it is obvious that the distribution of ions stopped in the deeperlayers may depend on the thickness and stopping characteristics of the upper layers because each iontrajectory passes through these upper layers. The moment correction method (set by the MOM.SCALEparameter of the IMPLANT statement partially accounts for this effect. In the SCALE.MOM method theprojected range and range straggling in the layer are normalized according to the probability for theion to penetrating into the layer. The only available measure of the probability is the portion of the

Ci x( ) xd

0

xeff

∫ φk

1 k i 1–≤ ≤∑=

φk

Ci x( ) Nf x xt– xeff+( )=

N φ φk1 k i 1–≤ ≤

∑–

= φi⁄

φ

xeff

tk

Rkp

--------Rpk 1+

1 k i 1–≤ ≤∑=

Rpk

xeff

tk

Rkp 3∆R

kp+

------------------------------- Rpk 1+

3∆Rk 1+p+( )

1 k i 1–≤ ≤∑=

∆Rpk

Rp 3∆Rp+

Page 191: athena_users

SSUPREM4 Models

SILVACO International 3-61

dose accumulated in the specific layer. Therefore, the corrected projected range Rpc and range

straggling in the ith layer are calculated as follows:

3-157

3-158

where

3-159

The SCALE.MOM can be used together with any of three depth matching methods.

Creating Two-Dimensional Implant Profiles

Convolution Method

ATHENA calculates 2D implant profiles using a convolution method described below. First, the

implantation direction within the simulation plane is found using TILT and ROTATION angle

parameters specified in the IMPLANT statement. is the angle between the ion beam direction and y-

axis, is the angle between ion beam direction and the simulation plane. For example, = 0o and

> 0o correspond to an ion beam parallel to the simulation plane and directed toward the lower-right

corner of the simulation area. The case of = 90o and > 0o corresponds to an ion beam in the planeperpendicular to the simulation plane and directed from behind the simulation plane. The effectiveimplantation angle in the simulation plane could be found from:

. 3-160

When parameter FULLROTAT is specified in the IMPLANT statement ATHENA calculates superposition

of 24 implants with rotation angles equal to (15n)o and doses equal to /24.

The implantation front (perpendicular to the direction) is divided into a number of slices Ns (usually> 100) of width a. The implant concentration in each grid point i with coordinates (xi, yi) is calculatedby summation of contributions from each slice k:

. 3-161

The contribution from each slice Ck is calculated by integration of the point source 2D frequency

function F2D(x,y) (with the starting point at the intersection of the normal to the central of the slicewith the structure surface) over slice width:

∆Rpc

Rpc φiRip φkR

kp

1 k i 1–≤ ≤∑+=

Rpc φiRip φkR

kp

1 k i 1–≤ ≤∑+=

φi φ φk

1 k i 1–≤ ≤∑–=

θ ϕθ

ϕ ϕ θ

ϕ θ

α( ) θ( ) ϕ( )costan=tan

ϕ

α

C xi yi,( ) Ck xi yi,( )1 k Ns≤ ≤

∑=

n

Page 192: athena_users

ATHENA User’s Manual

3-62 SILVACO International

. 3-162

where di is the depth along implant direction (i.e., distance between the starting point and the

projection of the point i on the vector ) and ti is the transversal distance (i.e., distance between the

point i and the vector ).

Depth-Independent Lateral Distribution

The simplest type of the 2D frequency function is a product of longitudinal function fl(x) (which can bea Gauss (Equation 3-134), Pearson (Equation 3-147), or dual-Pearson (Equation 3-149) and depth-independent transversal function ft(y):

3-163

This approximation is used in ATHENA by default. Obviously, the function ft(y) must be symmetricaland have a bell shape.

Gaussian Lateral Distribution Function

The traditional selection for this function is a Gaussian. ATHENA uses the Gaussian approximation

unless the transversal kurtosis (KURTT in the MOMENTS statement) is specified to be different from

its default value of 3.0. In this case Equation 3-172 can be easily integrated:

3-164

where is the transversal(lateral) standard deviation defined from

3-165

Specification of Lateral Standard Deviation

Lateral standard deviation LSTD.DEV or LDRP could be specified together with other moments in theMOMENTS statement (see Specification of Implant Parameters). It can be also controlled by the

LAT.RATIO1 parameter in the IMPLANT statement. LAT.RATIO1 is the ratio between and

and is equal to 1.0 by default.This means that if the lateral standard deviation and LAT.RATIO1 are

not specified it will be equal to projected range straggling . In the case of dual Pearson model for

longitudinal profile, corresponding parameters, SLSTD.DEV or SLDRP and LAT.RATIO2 are used. Theonly difference is that the default for LAT.RATIO2 is 0.2. This is because the channelled portion of a 2Dprofile is obviously very narrow.

Parabolic Approximation of Depth-Dependent Lateral Distribution

It has been shown [25, 144, 145 and 146] that in general, the transversal function ft(y) is notindependent of depth because there is considerable correlation between transversal and longitudinal

Ck xi yi,( ) φ f2D di ti,( ) td

a 2⁄–

a 2⁄

∫=

n

n

f2D x y,( ) fl x( )ft y( )=

βy

C xi yi,( )12---φfl di( ) erfc

ti a 2⁄–

2∆Y------------------ erfc

ti a 2⁄+

2∆Y-------------------–=

∆Y

∆Y f2D x y,( )y2

xd yd∫∞–

∫=

∆Y ∆Rp

∆Rp

Page 193: athena_users

SSUPREM4 Models

SILVACO International 3-63

motion of the implanted ions. This correlation could be taken into account by using a transversal

function with the depth-dependent lateral standard deviation . As it was shown in [144] and

[146], if the spatial moments up to fourth order are used, the best approximation for is the

parabolic function:

3-166

In order to find the coefficients of the function two additional spatial moments should be used. Theseare so-called mixed skewness:

3-167

and mixed kurtosis:

3-168

Parameters c0, c1, and c2 can be found by substituting Equation 3-163 into Equation 3-165, 3-167 and3-168 and taking into account Equations 3-146—3-152 while integrating over x. This results in thesystem of equations from which the following relations could be found:

. 3-169

3-170

. 3-171

where

3-172

This parabolic approximation for depth-dependent ft will be used if the parameter, FULL.LAT is used

in the IMPLANT statement and when mixed spatial moments (parameter SKEWXY) and

(parameter KURTXY are non-zeros. In the case of the dual Pearson longitudinal function, the mixedspatial moments for the second Pearson SSKEWXY and SKURTXY could be also specified. The values ofspatial moments are not yet included in the default moments tables and should be specified in theMOMENTS statement (see Specification of Implant Parameters).

Non-Gaussian Lateral Distribution Functions

Detailed Monte Carlo simulations [147] and [148] also show that in most cases transversal

distribution function ft is not Gaussian. In other words, the transversal kurtosis calculated as:

σy x( )

σy x( )

σ2y x( ) c0 c1 x Rp–( ) c2 x Rp–( )2

+ +=

γxy f2D x y,( ) x Rp–( )y2xd yd∫

∞–

∫=

βxy f2D x y,( ) x Rp–( )2y

2xd yd∫

∞–

∫=

c0 ∆Y2

1 B–( )=

c1∆Y

2

∆Rp---------- γxy γB–( )=

c2∆Y

2

∆R2p

------------B=

Bβxy 1– γγxy–

β 1– γ2–

---------------------------------=

γxy βxy

βy

Page 194: athena_users

ATHENA User’s Manual

3-64 SILVACO International

. 3-173

is not always equal to 3.0 and also depends on depth. Several non-Gaussian transversal distributionfunctions were examined in [165]. Their conclusions were as follows. The symmetrical Pearson

functions (type II for and type VII when >3.0)are acceptable, provide very good

agreement with amorphous Monte Carlo simulations and have computational advantage because theycan be integrated over x in a closed form through incomplete beta functions [151].

Another good alternative for transversal distribution function is the modified Gaussian function(MGF) suggested in [147]. It is shown in [151] that it also can be integrated in the close form thoughthe incomplete gamma function. Selection of transversal distribution function is somewhat subjectivebecause it is based on comparison with the lateral cross-section of the 2D Monte Carlo distributionswhich accuracy diminishes further away from its maximum. Analysis of [148] based on the BCA

simulation, (see the Monte Carlo Implant section) showed that when , which usually

happens for “random” part of the 2D distribution or for amorphous implants, the Pearson type IIfunction slightly underestimates concentrations obtained in the BCA calculations while the MGFslightly overestimates these concentrations. Therefore, it was decided to use in ATHENA an averagebetween the Pearson type II and the MGF for all . When both functions reduce

to the standard Gaussian. Finally, in the case of higher values of lateral kurtosis it was found [148]that the MGF appears to be a better approximation, so it is used in ATHENA.

It is very difficult to find as was done for above, because the spatial moments of fifth and

sixth order would be needed to build analytical functions for . Therefore, ATHENA uses constant

(parameters KURTT and SKURTT for the first and second Pearson functions, correspondingly) when

the FULL.LAT model is specified in the IMPLANT statement. The generic approximations [148] for

(instead of Equation 3-166) and for will be implemented in future when more complete

tables of lateral parameters will be generated using Monte Carlo simulations.

Specification of Implant Parameters in the Moments Statement

As mentioned previously, the analytical ion implantation simulations strongly depend on the inputparameters (moments). ATHENA provides several ways of implant parameter specification: look-uptables, user-defined look-up tables, and the MOMENTS statement. Two types of look-up tables arecurrent provided with ATHENA. The files containing the tables are in ASCII format and maybe foundin the <install>/lib/athena/<>version>/common/implant-tables directory. The first is standard tables (the file is std-tables) which contains parameters for most ion-material combinations used in ATHENA. These arelongitudinal parameters for the single Pearson distribution in the energy interval 10 to 1000keV. Theenergy interval is extended to 1–8000keV for B, P, and As in silicon, silicon oxide, polysilicon, andsilicon nitride. These tables also include a very limited set of parameters for the dual-Pearson function(only for B and BF2 in the energy interval 10–100keV, tilt angle 7o, rotation angle 30o, and native oxide

as well as with simple interpolation of the dose ratio parameter between different doses).Parameters for the FULL.LAT model are provided only for B implants in silicon. They are based on thespatial moment calculations in amorphous silicon as in [21]. The auxiliary file userimp in the <install>/lib/athena/<version>/common directory provides a template for specifying implant parameters in theform(at) of standard tables. The second type of look-up tables is SVDP tables described in the DualPearson Implant Model section. The format of these tables is much more flexible than the format ofthe standard tables. It also allows parameters for lateral distribution to be added easily.

βy f2D x y,( )y4

xd yd∫∞–

∫=

βy 3.0≤ βy

βy 2.5 2.8÷≤

βy 3.0< βy 3.0=

βy x( ) σy x( )

βy x( )

βy

σy x( ) βy x( )

Page 195: athena_users

SSUPREM4 Models

SILVACO International 3-65

The SVDP tables are used by default. If moments are not found ATHENA searches through standardtables, and if it cannot find parameters for a specified energy/ion/material combination, a warningmessage is issued which tells the user that a very small projected range and straggling will be used insimulation for this combination and also suggests that the Monte Carlo method be used in order tofind the right moments. This is the sequence of ATHENA actions in the case when there is no MOMENTSstatement preceding the current IMPLANT statement. The MOMENTS statement serves to control themoment parameters/tables to be used in subsequent IMPLANT statements. If parameter STD_TABLESis specified, ATHENA will skip searching through SVDP tables and proceed directly to the standardtables. If parameter USR_STD_TAB or USR_SVDP_TAB is specified, then the user-defined file specifiedwith parameter USER.TABLE=<filename> will be used as the first choice. Of course, if the momentsare not found in the specified file, ATHENA will proceed to the standard tables. Finally, the set ofMOMENTS statement could be used to specify all spatial moments for any ion/material/energy/dosecombination. ATHENA will use parameters from this set before proceeding to a standard searchsequence. If the moments for certain implant conditions are not available, then the Monte Carlosimulation can be done for these conditions.

USE of PRINT.MOM for Extraction of Spatial Moments

Parameter PRINT.MOM in the IMPLANT statement prints the calculated (or extracted from the tables)moments into output and also saves the moments in the standard structure file. The last capabilityallows use of the EXTRACT and substitution functions of DECKBUILD for automatic generation of theMOMENTS statement. If spatial (lateral and mixed) moments need to be found from Monte Carlocalculation, the parameter IMPCT.POINT should be used (it forces all trajectories to be started in onepoint). This not only allows the spatial moments to be found, but also the building of a Monte Carlocalculated source-point 2D distribution function, which can be useful for comparison purposes.

Monte Carlo ImplantsThe analytical models described in the previous section give very good results when applied to ionimplantation into simple planar structures (bare silicon or silicon covered with thin layer of othermaterial). However for structures containing many non-planar layers (material regions) and for thecases which have not been studied yet experimentally more sophisticated simulation models arerequired. The most flexible and universal approach to simulate ion implantation in non-standardconditions is the Monte Carlo technique. This approach allows calculation of implantation profiles inan arbitrary structure with accuracy comparable to the accuracy of analytical models for a single layerstructure.

ATHENA contains three models for Monte-Carlo simulation of ion implantation: amorphous materialmodel, crystalline material model and BCA crystalline material model. All of them are based on theBinary-Collision Approximation (BCA), but apply different approximations to the material structureand ion propagation through it.

Nature of the Physical problem

A beam of fast ions (energy range approx. 1 eV/amu to 25 keV/amu) entering crystalline or amorphoussolid is slowed down and scattered due to nuclear collisions and electronic interaction. Along its path,an individual projectile may create fast recoil atoms which in turn may initiate collision cascades ofmoving target atoms. These may either leave the surface (be sputtered) or deposited on a site differentfrom their original one. Together with the projectiles being deposited in the substrate, this results inlocal compositional changes, damage creation and finally amorphization of the target. Depending onthe crystal orientation and/or the direction of the beam, the implanted projectiles and the damagecreated by them has different spatial distribution. With even more higher fluences, these phenomenawill cause collisional mixing in a layered substances, changes of the surface composition due topreferential sputtering and the establishment of a stationary range profile of the implanted ions.

Page 196: athena_users

ATHENA User’s Manual

3-66 SILVACO International

Method of Solution

The paths of the individual moving particles and their collisions are modeled by means of the binarycollision approximation for a crystalline, polycrystalline and amorphous substance, using a screenedCoulomb potential for the nuclear collisions and a combination of local and nonlocal free-electron-gasapproximation for the electronic energy loss. For each nuclear collision, the impact parameter and theazimuthal deflection angle are determined according to the crystal structure using its translationalsymmetry. For amorphous materials the impact parameter and the azimuthal deflection angle aredetermined from random numbers. A proper scaling is chosen so that each incident projectile("pseudoprojectile") represents an interval of implantation fluence. Subsequent to the termination ofeach pseudoprojectile and its associated collision cascades, the local concentrations of the implantedspecies, created vacancies and interstitials are calculated according to the density of the matrix.

Nuclear Stopping

As it was mentioned before, during their passage through matter ions interact not only with the atomsfrom the lattice but also with the electrons. Figure 3-14 shows the scattering geometry of two particlesin laboratory co-ordinate system. In the computational model, it is assumed that ions from onedeflection point to the next move along straight-line segments, these being the asymptotes of theirpaths. At each collision, ion loses energy through quasielastic scattering by a lattice atom and by anessentially separate electron energy loss part.

Figure 3-14: The trajectories of the ion (projectile) and the lattice atom (recoil).

The scattering angles of the projectile and the recoil are:

3-174

3-175

where

3-176

Q is the energy lost by electron excitation.

θ

θ

1

2

r(t)r0 (t)

p

Y

X

path of ion

initial locationof lattice atom

path ofrecoil

recoilasymptote

ionasymptote

ϑ1tan Af θsin 1 Af θcos+( )⁄=

ϑ2tan f θsin 1 f θcos+( )⁄=

f 1 Q Er⁄–=

Page 197: athena_users

SSUPREM4 Models

SILVACO International 3-67

is the ratio of the mass of the target (scattering) atom to that of the projectile

(implanted ion).

is the barycentric scattering angle calculated as follows:

3-177

where

3-178

where:

p is the impact parameter,

is the relative kinetic energy,

is the incident energy of the projectile,

r is interatomic separation,

is the interatomic potential, and

R is defined from equation .

In ATHENA, the intersections of the incoming and outgoing asymptotes are evaluated with the hardcore approximation of the time integral:

3-179

3-180

Interatomic Potential

ATHENA uses two-body screened Coulomb potentials with a screening function, which is a numericalfit to the solution given by Firsov [152] and which preserves the same analytic form as for the isolatedatom:

3-181

where:

Z1 and Z2 are the atomic numbers of the two atoms and

is the screening length defined by:

3-182

where is an ‘average’ atomic number of the two atoms calculated as:

A M2 M1⁄=

θ

θ π 2p1

r2g r( )

--------------- rdR

∞∫–=

g r( ) 1p

2

r2-----–

V r( )Er

-----------–=

Er AE0 1 A+( )⁄=

E0

V r( )

g R( ) 0=

x1 p θ 2⁄( )tan=

x2 0=

V r( )Z1Z2e

2

r------------------χ

ra0-----

=

a0

a0 0.8853aBZ1 3⁄–

=

Z

Page 198: athena_users

ATHENA User’s Manual

3-68 SILVACO International

M 3-183

The main drawback of these two-body potentials is their relatively slow decay as . The

screening parameter, , is often regarded as an adjustable parameter for each two-body combination,

which can be matched either to self-consistent field calculations or to experimental data. ATHENA usesthe screening function of the form:

3-184

where and are taken from Ziegler’s “The Stopping and Range of Ions in Solids” [157].

Electronic Stopping

Electronic stopping used in the simulation consists of two essentially separate mechanisms forinelastic energy losses - local and non-local. These two electronic stoppings are quite different innature and behavior - they have different energy and spatial dependencies [153]. The local inelasticenergy losses are based on the model proposed by Firsov [154]. In this model, the estimation of theelectronic energy loss per collision is based on an assumption of a quasiclassical picture of theelectrons i.e., the average energy of excitation of electron shells, and electron distribution and motionaccording to the Thomas-Fermi model of the atom. In this quasiclassical picture, the transfer of

energy, , from the ion, to the atom, is due to the passage of electrons from one particle to the other,

thus, resulting in a change of the momentum of the ion (proportional to its velocity, , and a rising of aretarding force acting on the ion. When ions move away from the atom, in spite of being trapped byions, electrons are returned back to the at., There is no transfer of momentum calculated back, becausethe electrons fail in higher energy levels. The energy loss in the Firsov's model is calculated as follows:

3-185

where:

is their distance of closest approach in , which is approximately equal to the impact parameter

in case of small-angle collisions,

is the energy of the moving atom (the ion) in eV, and

is its mass in a.m.u.

In a binary collision, the scattering angles are affected by the inelastic energy loss (see previous

equations) through the parameter .

The non-local electronic energy losses are based on the model proposed by Brandt and Kitagawa [155].

Their stopping power, , of the medium for an ion is in the first approximation proportional to

a mean-square effective ion charge. They derive the effective stopping power charge of a projectile,

from a given ionization state, . If a fractional effective charge of an ion with the given ionization

state, is defined as:

Z1 3⁄–

Z10.23

Z20.23

+( )1–

=

r ∞→a0

χ ai bix–( )exp

i 1=

4

∑=

ai bi

∆E

υ

∆E–0.05973 Z1 Z2+( )5 3⁄

E M1⁄×

1 0.31 Z1 Z2+( )1 3⁄R0+( )

5-----------------------------------------------------------------------------eV=

R0 A°

E

M1

∆E

f

SdEdx-------–=

Z∗1 q

q

Page 199: athena_users

SSUPREM4 Models

SILVACO International 3-69

3-186

where is the stopping power for bare nucleus. Brandt and Kitagawa theories produces the

following simple expression for the fractional effective charge of an ion:

3-187

where:

is the fractional ionization,

N is the number of electrons still bond to the projectile nucleus,

and are Bohr’s radius and velocity, and

and are Fermi wave vector and velocity.

For the screening radius , Brandt and Kitagawa assume exponential electron distribution, whichbecomes:

3-188

The only undefined quantity, , is of about 0.5 and weakly depends on the target. The degree of

ionization, , can be expressed as

3-189

where is the relative velocity between the projectile and the target electrons which

are calculated as follows:

3-190

3-191

Damage Accumulation Model

The present model includes dynamic processes of the transformation from crystalline to amorphousstate as ion implantation proceeds. Each pseudo projectile in the simulation represents

3-192

ζZ∗

1Z1---------

Sq

Sq 1=-------------

1 2⁄=≡

Sq 1=

ζ q C kF( ) 1 q–( ) 1 2ΛvFa0v0( )2+( )ln+≈

q Z1 N–( ) Z1⁄=

a0 r0

kF vF

Λ

Λ 0.48N2 3⁄

Z1 1 N 7N1( )⁄–( )-------------------------------------------=

C

q

q 10.92vr–

v0Z12 3⁄-------------------

exp–=

vr v1 ve–⟨ ⟩≡

vr

3vF

4--------- 1

2v12

3vF2-----------

1

15------

v1

vF-----

–+ for v1 vF<=

vr v1 1vF

2

5v12-----------+

for v1 vF≥=

∆Φ ΦN----=

Page 200: athena_users

ATHENA User’s Manual

3-70 SILVACO International

of the real dose , where is the number of projectiles. The deposited energy is accounted for eachgrid point of the target and accumulated with the number of projectiles. As the implantation proceeds,deposited energy increases and the crystalline structure gradually turns into amorphous. This isquantified by the amorphization probability function:

3-193

where is the energy deposited per unit volume at the grid point ,

is the critical energy density, which represents the deposition energy per unit volume needed to

amorphize the structure in the relevant volume. It is defined as:

3-194

where:

is activation energy,

is Boltzmann’s constant, and

is temperature at and above which, the infinite dose is required for crystalline to amorphous

transition.

Some experimental values for are given by F. L Vook [156]. In the BCA module the

value corresponds to a fully amorphized state and any additional energy deposited at point

, does not contribute to the amorphization process.

Implantation Geometry

Figure 3-15 shows the orientation of ion beams, relative to the crystallographic orientation of thesubstrate. There are three major planes with regard to ion implantation:

• implantation plane α

• surface plane Σ

• simulation plane β

The implantation plane is where the initial beam of incoming ions lay in. It equivocally defines thedirection of the incoming beam - tilt and rotation. If the orientation of the surface plane is {100}, whichis the only substrate orientation available currently in the BCA module, the offset of the rotation angleis the direction <101> on this plane. This means that the tilt angle [ϑ] , specified by parameter TILT inthe IMPLANT statement, will be the polar angle in this plane and the rotation angle [ϕ], specified bythe parameter ROTATION, will be the difference of azimuths of the line where the implementationplane crosses the surface plane and of the direction <101>.

Note: If the surface orientation (parameter ORIENT in the INITIALIZE statement) is other than 100, theBCA module will not be used and ATHENA will proceed with the old Crystalline Monte Carlo Method.

Φ N

f r( ) 1∆E r( )

Ec---------------exp–=

∆E r( ) r

Ec

Ec T( ) Ec0 1E0 T T∞–( )

2kBTT∞----------------------------exp–

2–

=

E

kB

T∞

Ec Ec0( )

f r( ) 0.6=

r

Page 201: athena_users

SSUPREM4 Models

SILVACO International 3-71

The simulation (projection) plane is where all data regarding the simulation is projected in, from, andhold. The orientation of the simulation plane is specified by the parameter ROT.SUB in theINITIALIZE statement. By default, the simulation plane is oriented along <101> (ROT.SUB=-45°) .

Figure 3-15: Implantation geometry

Amorphous Material Monte Carlo

In the doping of semiconductors the rest distribution of the implantations is of principal importance.The penetration of ions into amorphous targets is most simply described by using a statisticalTransport model - solution of Transport Equations or Monte Carlo simulation. Among these twoapproaches, Monte Carlo is more convenient for multi components and two- and three- dimensionaltargets. That is partly possible because the Monte Carlo method treats an explicit sequence ofcollisions, so the target composition can change on arbitrary boundaries in space and time. The rest ofthe distribution is built up from a vast number of ion trajectories, the statistical precision of which

depends directly on this number ( ). As the ion penetrates a solid it undergoes a sequence ofcollisions with the target atoms until it comes to rest. A simplified model of this interactions is asequence of instantaneous binary nuclear collisions separated by straight line segments (free flightpath lengths) over which the ion experiences continuous (non-local) electronic energy loss. Thecollisions are separated, i.e. the state of an ion after a collision depends solely on the state of the ionbefore the collision. The model assumes that the arrangement of the target atoms is totallyrandomized after each collision, i.e. the target has no structure and no memory. As a result, asequence of collisions is described by randomly selecting the location of the next collision partnerrelative to the pre-flight location and velocity direction of the ion. This means that this model cannotsimulate the anomalous tail penetration observed for implanted ions into aligned single crystaltargets. The model adequately describes the ion penetration into multilayer nonplanar structures.

ΣX

Y

Z

α

β

implantation plane

simulation(projection) plane

surfaceplane {100}

θ

ϕ

N∝

Page 202: athena_users

ATHENA User’s Manual

3-72 SILVACO International

Crystalline Material Monte Carlo

ATHENA includes two different modules for implantation in crystalline materials. The old one isbased on PEPPER simulator [100] and the new one on the CRYSTAL program [165]. In order tocalculate the rest of the distribution of the projectiles, both modules simulate atomic collisions incrystalline targets using the binary collision approximation (BCA). The algorithm follow out theconsequences of an energetic atomic projectiles (ions) launched from an external beam into a target.The targets my have many material regions, each with its own crystal structure, (crystalline oramorphous) and with many kinds of atoms. The slowing-down of the projectiles is followed until theyeither leave the target or their energy falls below some predefined cut-off energy.

The new BCA model is invoked with the parameter, BCA, in the implantation statement while the oldcode is invoked, using two parameters – MONTE and CRYSTALLINE. The substantial difference in theBCA module is the better simulation core which allows much more accurate handling of particlesmoving along the open channels. This allows the BCA module to be used for simulation experimentswhere implantation is done exactly for zero degrees when a substantial number of implanted particles''channel'' into the open directions. Also much better electronic stopping has been introduced, whichwas optimized for implantation of the most popular implantation species into silicon. Comparisonsexperiments have shown very good agreement over the old code. When doing implantation at ''zerotilt'', the user must pay much higher attention to the exact values of the tilt angle, divergence and thesurface native oxide layer. In normal implantation experiments, the accuracy of the tilt angle mightspread to up to 0.5 degrees, similar or higher values might have the divergence. The precise knowledgeof these values is very important when performing high energy implantation under channelingconditions. Only high quality, properly monitored laboratory experiments can guarantee the preciselow value of these two parameters, which is usually 0.05-0.1 degrees!

Ion Implantation DamageIon implantation induced crystal damage can play an important role in the various mechanismsrelated to diffusion and oxidation. ATHENA includes several different types of damage formulationwhich may be all used in a subsequent diffusion calculation. Implantation induced damage resultsfrom cascades of atomic collisions. If these collisions cascades are dense it may result in the a crystallattice becoming locally amorphized. Accurate simulation of collision cascades with simultaneousestimation of generation of various types of point defects, clusters, and spatial defects can be done onlyin very elaborated BCA or Molecular Dynamics (MD) simulators. Such simulations are usually verytime consuming and would not be practical within general-purpose process simulators. In general, theamount of damage and distribution of defects associated with it depend on the energy, species, anddose of implanted ions.

ATHENA includes several simple models which link various types of defect distributions with ionimplantation distributions calculated using any of the models described in previous sections.

The following types of defects can be estimated:

• Interstitial profiles

• Vacancy profiles

• <311> Clusters

• Dislocation Loops

The damage types may be described to the simulator during the analytical ion implantation processsimulation step by scaling their distribution densities to the implanted profile.

Plus 1 Model

The first damage model is related to free point defects. Here, interstitials are scaled to the as-implanted dopant profile, with a scaling parameter DAM.FACTOR=<n>. This model is invoked with theflag UNIT.DAM on the IMPLANT line.

Page 203: athena_users

SSUPREM4 Models

SILVACO International 3-73

For example:

IMPLANT PHOS DOSE=5E14 ENERGY=45 UNIT.DAM DAM.FACTOR=0.001

This model has been refereed to as the Plus One model. In the case of low implantation doses, thevalue for DAM.FACTOR has been suggested to be equal to unity. Although perhaps valid at low doses,the related and subsequent diffusion mode METHOD FULL.CPL is probably not required and thuscombination is not a practical approach. Recent research on RTA diffusion models (e.g., Stanford’s<311> Cluster model) have introduced other forms of damage thus lowering the dependency of freepoint defects being initially set at a Plus One scaled profile.

In practice, the DAM.FACTOR parameter, when used together with the <311> Cluster model, shouldhave a far lower value in the order of 0.001.

Users should note that this is an extremely sensitive parameter when studying shallow junctionformation and therefore it should be used carefully.

<311>Cluster Model

The <311> Cluster model [140] introduces a bulk injection source of interstitials in addition to anyother free point defects sources. Clusters are introduced during ion implantation, scaled to the dopant,and within two user defined concentration thresholds. For example, clusters may be scaled to 1.4 timesthe dopant concentration but exist only between the dopant concentrations of 1e19 and 1e17 cm-3. Thisallows a scalable approach, where clusters will follow implanted dopant as energies and doses vary.(See Figure 3-16).

The syntax to both switch on and control the cluster model damage scaling is as follows:

METHOD FULL.CPL CLUSTER.DAMCLUSTER CLUST.FACT=1.4 MIN.CLUST=1e17 MAX.CLUST=1e19 PHOS

Refer to the section describing RTA diffusions models for the use of the <311> clusters during RTA.

Dislocation Loops Model

Dislocation loops may be also scaled to the as-implanted dopant profile. Loops are introduced as asimple static band to act as an interstitial sink. Here interstitials will be recombined at an enhancedrate according to the equation:

3-195

Where:

CI is interstitial concentration and

is equilibrium interstitial concentration.

Loops are placed in a band scaled to dopant concentration with the following command, prior toimplantation.

DISLOC.LOOP MIN.LOOP=1e16 MAX.LOOP=1e18 PHOSPHORUS

The recombination rate, within the loop band is controlled as follows:

INTERSTITIAL SILICON DAMALPHA=1e8

Rate damalpha CI CI∗–

=

CI∗

Page 204: athena_users

ATHENA User’s Manual

3-74 SILVACO International

Figure 3-16: Cluster Damage Control

C-Interpreter Model

C-Interpreter allows to extend user control over the damage formation models described above. TheC-Interpreter function is introduced using parameter DAMAGEMOD.FN in the MOMENTS statement.Theinput parameters of the function are the implanted ion number, implanted concentration in the pointof the structure, corresponding material, and absolute coordinates of the point. Using theseparameters user can build specific models for point defects, <311>-clusters, and dislocation loops.

Deposition ModelsA deposition step is simulated by the DEPOSIT statement in which the material to be deposited andthe thickness (parameter THICKNESS) of the layer to be deposited must be specified. The depositedlayer is constructed by a simple algorithm that describes conformal deposition. In this algorithm thewhole layer is divided into a number of sublayers with thicknesses equal to grid spacings calculatedaccording to the grid control algorithm (see below). Each sublayer is deposited and triangulatedseparately.

More complete physically based models for deposition are available in the ELITE module as describedin Chapter 4 of this manual.

Deposition of Doped LayersThe uniform doping concentration of impurities can be also added to the each node of the depositedmaterial. The parameter CONC=<n> with the impurity name or parameters C.BORON,C.PHOSPHORUS etc. should be used in the DEPOSIT statement.

Grid Control During DepositUser can control the grid inside deposited layer. The grid distribution along normal direction iscontrolled by a number of divisions (parameter DIVISIONS) in the case of uniform vertical grid. If non-

Page 205: athena_users

SSUPREM4 Models

SILVACO International 3-75

uniform vertical grid is to be used two additional parameters DY and YDY should be specified in theDEPOSIT statement. DY specifies nominal spacing and YDY specifies the position at which the nominalspacing is to be applied. The spacings further from the nominal position YDY are increasing ordecreasing according to geometrical series. The coefficients of the geometrical series are calculatedsuch a way that total number of the spacings will be equal to the user-specified DIVISIONS. In thecase when the conformal deposition algorithm fails to deposit next sublayer (it happens whendeposition takes place on the structure with narrow trenches and/or undercuts) the current spacing isdivided by two and thinner layer is tried. This spacing division algorithm is applied recursively.Because of such situations the number of sublayers (divisions) actually deposited can be sometimeshigher than the DIVISIONS specified by the user.

Etching Models Although etching is an integral process step in silicon technology, SSUPREM4 lacks a complete physicaldescription of etching steps. To circumvent this problem, SSUPREM4 considers etching simulation as apurely geometrical problem. Etching is simulated as a low-temperature process. Impurityredistribution is ignored during the etching process.

Etch steps are simulated using the ETCH statement in which the material to be etched and thegeometrical shape of the etch region are specified. It is not necessary that material to be etched beexposed or at the top surface of the structure. There are four different ways to define an etch region:

1. A polygonal region may be defined by specifying the x and y coordinate of each vertex in the poly-gon. Etching will confined to that polygon only.

2. A region to the left or right of a line segment may be defined by specifying the x and y coordinates ofthe end points of the line segment. Etching will then proceed from the left or right of the line seg-ment to the edge of the structure.

3. A region between the top boundary of the structure and a line obtained by translating the topboundary down in the y-direction may be defined by specifying the DRY parameter in the ETCHstatement. The THICKNESS parameter will determine the distance to etch in the y-direction.

4. All regions of a particular material may be etched by specifying the ALL parameter of the ETCHstatement.

When a region is defined in one of the first three ways, by default all materials in the defined regionwill be etched. Specifying a material in the ETCH statement limits etching to only that material withinthe defined region. For a complete description of physically based etch models, refer to the ELITEchapter (Chapter 4) of this manual. ELITE is a complete 2D topography simulator included in theATHENA framework.

Epitaxy ModelsSSUPREM4 models high temperature deposition of single crystal silicon via the EPITAXY statement.This statement combines deposit and diffusion steps and parameters.

Page 206: athena_users

ATHENA User’s Manual

3-76 SILVACO International

This page intentionally left blank.

Page 207: athena_users

Chapter 4:ELITE Models

SILVACO International 4-1

OverviewThe ELITE module of ATHENA allows the use of sophisticated models for deposition and etch processes.These processes are modeled by defining a machine and invoking the machine to perform eitherdeposit or etch. ELITE also includes a model for material reflow. ELITE can also be licensed withmodules for Monte Carlo deposition, Monte Carlo etching, and Chemical Mechanical Polishing (CMP).

In ELITE, a number of default machines have been defined, so that specifying any process reasonablyclose to the standard is especially simple. Process modifications or additions are easily implemented bychanging or adding individual machines without affecting the remainder of the simulator.

For all models except Monte Carlo deposition and Monte Carlo Etching, ELITE uses a string algorithmto describe topographical changes that occur during deposition and etching processes. This chapterdescribes the models and techniques used in ELITE and the command language used to access modelparameters.

String AlgorithmThe ELITE simulation regime consists of a set of triangles that hold information on the materials thatare being simulated. The string algorithm treats each of these interfaces as a set of segments thatmove in response to a particular process calculation.

As microfabrication technology becomes more complex, modeling each step of the manufacturingprocess is increasingly important for predicting the performance of the technology. Etching is a stepthat is universal in microfabrication. It may take place as the dissolution of a photoresist by an organicsolvent, the etching of an oxide by an alkali, or the plasma etching of an electron resist. Whatever itsphysical details, the etching process can in many cases be modeled as a surface etching phenomenon.Etching simulation starts from an initial profile which moves through a medium in which the speed ofetching propagation can be a function of position and other variables that determine the final profile.

Two major assumptions limit the generality of the string algorithm in ELITE. First, the pattern to beetched is uniform in one dimension, so the problem can be solved using only two dimensions. For mostmicrofabrication problems, the important cases involve the cross-sections of lines, so this model isdirectly applicable. In certain other cases, such as round holes, the symmetry of some cross-sections issuch that the algorithm is still valid.

The second major assumption is that the etch rate is a scalar function of position, and is independentof the direction of local etch front motion and the history of the front. In some real situations this doesnot hold. PMMA, for instance, has been found to have a gel region at the resist-solvent interfaceduring development, so the etch rate is a function of the history of the adjacent regions as well as ofthe exposure. Another case where the second assumption does not hold is in the so-called “preferentialetching” where etching proceeds more quickly along certain crystal directions, making the etchanisotropic.

The algorithm described here is known as a “string algorithm”. The etch front is simulated by a seriesof points joined by straight line segments, forming a string. During each time increment, each pointadvances perpendicularly to the local etch front, as in Figure 4-1. A major portion of the algorithmadjusts the number of segments to keep them approximately equal in length. Other subroutines inputthe data and output the etch front.

Choosing suitable criteria for segment length was a major problem in developing the algorithm. Itseemed that segments must be short enough so that any curve that developed would be well defined,i.e., there should be some maximum angle between adjacent segments, perhaps 0.1 radians. However,this criterion led to a proliferation of segments in regions where the front was either expanding or

Page 208: athena_users

ATHENA User’s Manual

4-2 SILVACO International

contracting. The algorithms in ELITE attempt to maintain approximately equal segment lengths. Thisresults in position errors of about one-half segment length. The error can be reduced by decreasing theaverage segment length with a proportional increase in computation time.

Figure 4-1: String Model approximation to the Etch Front

For the most cases of interest, the etch rate varies with position. This leads to some errors in theposition and in the direction of each point on the string. Errors in position arise from the use of arather simple integration algorithm. The local rate at the start of each time step is assumed to beconstant throughout the step. This can easily lead to position errors as large as the distance covered inone step. Consider, for example, an etch front in a photoresist approaching an unetchable substrate. Apoint which is barely outside the substrate at the start of the time step will advance into the substrateat the rate associated with the resist. Thin layers of alternating fast and slow etch rates could spawnerrors in position. With too large a time step, a point could jump over a slow region.

Errors in direction arise from nonuniform rates along the string, and from certain boundaryconditions. During each step, perpendicularity to the front, which is defined below, is assumed to beconstant in direction. However, if two adjacent points have greatly differing rates, the quickly movingpoint cannot start turning towards the slower point until the end of the time step. This mechanismtends to introduce relatively small errors in position because the error is roughly proportional to thecosine of the angle error.

Deposition ModelsDeposition Overview

ELITE provides a set of deposition models that correspond to different physical deposition techniques.Any one of these models may be selected to define a “machine” for simulating processes on thestructure. In addition, ELITE provides a conformal deposition capability that can be used to defineinitial structures.

In most integrated-circuit processes, at least one layer of interconnect is formed by depositing andpatterning an Al or Al alloy film. The trend toward lower temperature processing, combined with thevery steep edge profiles produced by anisotropic dry etching processes, results in sharp step profileswhich are difficult to cover with a uniform film of metal. Blech et al. have simulated a number ofimportant cases of Al deposition and compared the results with experiment results [75]. Comparisonsof ELITE simulations with the experimental results reported by Blech et al., as well as their simulatedcases agree closely.

Page 209: athena_users

ELITE Models

SILVACO International 4-3

Conformal Deposition Conformal deposition can be performed simply by specifying a material to deposit, a thickness, and anumber of vertical grid spacings on the DEPOSIT statement. The conformal deposition model producesunity step coverage.

CVD Deposition This model is invoked by specifying the CVD parameter on the RATE.DEPO statement, as well as thematerial type, the deposition rate DEP.RATE, and step coverage STEP.COV.

The local deposition rate R(x,y) for the CVD model is given by:

4-1

where θ is the angle between the surface segment and the horizontal.

Unidirectional DepositionThis model is invoked by specifying the UNIDIREC parameter on the RATE.DEPO statement.

As shown in Figure 4-2, the region of the substrate not shadowed sees the arrival of the vapor streamsin one direction only. The growth rate of the deposited film in the shadowed region is equal to zero.According to these assumptions, growth rate on the substrate R(x,y) can be expressed as:

, if point (x,y) is shadowed 4-2

4-3

where:

ω is the angle between the y-axis and the direction of the vapor stream,

i and j are the unit vectors in the x and y direction respectively, and

C is the growth rate of an unshadowed surface normal to the vapor stream.

Angle ω is specified as ANGLE1 on the RATE.DEPO command.

The following is a short description of the cosine law deposition. This is a simple model that accountsfor metallization, due to evaporation. The cosine law deposition model is based on the the followingassumptions:

1. The mean free path of atoms or particles is much larger than the distance between the source and the substrate.

2. The source to substrate distance is large compared to the surface topography.

3. The film grows in the direction toward the vapor flux.

4. Shadowing effects must be included.

The magnitude of the film growth rate follows the cosine distribution law, which says that depositedfilm thickness grows at a rate proportional to cos (ω), where ω is the angle between the vapor steamand the normal surface.

The sticking coefficient can be used as a tuning parameter. It is assumed to be 1.0 for deposition on thecold substrates (at 300 K).

R x y,( ) DEP.RATE 1 STEP.COV–( ) θ STEP.COV+cos[ ]=

R x y( , ) 0=

R x y( , ) C ωsin i C ωsin j+=

Page 210: athena_users

ATHENA User’s Manual

4-4 SILVACO International

Figure 4-2: Step Profile with a Unidirectional Source

Dual Directional Deposition This model is invoked by specifying the DUALDIREC parameter on the RATE.DEPO statement. In thistype of source, each point in the unshadowed region views the vapor streams arriving from twodifferent directions, and assumes the diffusion length of deposited material large compared to thefeatures (Figure 4-3). Growth rate is given as:

4-4

4-5

if point (x,y) is partially shadowed.

4-6

if point (x,y) is unshadowed, where ω1 and ω2 are the incident angles. ω1 and ω2 are specified on theRATE.DEPO command by ANGLE1 and ANGLE2, respectively.

ω

R x y,( ) 0, if point x y,( ) is shadowed=

R x y,( ) C ω1 isin C ω1 j or R x y,( ) C ω2 isin C ω2 jsin+=sin+=

R x y,( ) C ω1cos ω2cos+( )i C ω1sin ω2sin+( )j+=

Page 211: athena_users

ELITE Models

SILVACO International 4-5

Figure 4-3: Step Profile with Dual Source

Hemispheric DepositionThis model is invoked by specifying the HEMISPHE parameter on the RATE.DEPO statement

The flux of vapor is continuously distributed in a range of directions (Figure 4-4). The growth rate canbe calculated as:

4-7

where ω1 and ω2 are the lower and upper bounds, respectively, of the incident angles of the vaporstreams set by parameters ANGLE1 and ANGLE2, respectively.

To avoid step-coverage problems, planar sputtering is often used to achieve better film profiles. Theideal sputtering source is modeled by means of a hemispheric vapor source with atoms impinging onthe substrate from all angles.

ω2ω1

R x y,( ) C ω1cos ω2cos–( )i C ω1sin ω2sin–( )j+=

Page 212: athena_users

ATHENA User’s Manual

4-6 SILVACO International

Figure 4-4: Step Profile with a Hemispherical Vapor Source

Planetary DepositionThis model is invoked by specifying the PLANETAR parameter on the RATE.DEPO statement.

Figure 4-5 illustrates the planetary evaporation system. By inspecting this system, one can beconvinced that the rotation of the planet along the system central axis has no effect on the depositionrate. For the sake of simplicity, the growth rate can be calculated by holding the planet stationary andby rotating only the source along the axis of the planet (Figure 4-5). The growth rate is derivedaccording to the following equations:

4-8

4-9

where:

• δ is the incident angle of the vapor stream,

• β is the tilt angle of the planet plane,

• r is the distance between the position of the wafer and the planet axis, and

ω2ω1

Rx x y( , )

R2

r2

– rL δ β–( )tan LW+–[ ] L δ β–( )sec2

–[ ] L δ β–( ) βsintan L βcos–[ ] δtan⋅ ⋅ ⋅

R2

W2

+ R2

r2

– L2

2rL δ β–( )tan–+[ ]2

R2

r L δ β–( )tan+( )2–⋅ ⋅

--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- δd∫

=

Rx x y( , )

R2

r2

– rL δ β–( )tan LW+–[ ] L δ β–( )sec2

–[ ] L δ β–( ) βsintan L βcos–[ ] δtan⋅ ⋅ ⋅

R2

W2

+ R2

r2

– L2

2rL δ β–( )tan–+[ ]2

R2

r L δ β–( )tan+( )2–⋅ ⋅

--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- δd∫

=

Page 213: athena_users

ELITE Models

SILVACO International 4-7

• R, L, and W are the parameters dependent on the system dimensions.

Figure 4-5: Illustration of Planetary Evaporator

Using the planetary model of ELITE, one can observe asymmetries both in edge coverage and thedepth of cracks produced by the particular location and orientation of a specimen in a planetarysystem.

The Planetary model parameters:

γ = ANGLE2, β = ANGLE3,

r = DIST.PL, P.AXIS, C.AXIS, and ANGLE1

are shown in Figure 4-5. ANGLE1 is used to calculate DIST.PL = P.AXIS * tan(ANGLE1).

γ

β

Page 214: athena_users

ATHENA User’s Manual

4-8 SILVACO International

Figure 4-6: Geometric of Source to Substrate in a Conical Evaporator

Conical Deposition This model is invoked by specifying CONICAL parameter on the RATE.DEPO statement.

The Conical model is a simplified version of the Planetary model with β and r = 0, the substrate alwayssees a symmetrical cone source. In this type of configuration, the integral of the above two equationscan be evaluated analytically, and expressed in the following simple closed form:

4-10

γ

Rx x y,( )R R

2LW+( )–

R2

W2

+ R2

L2

+( )2

⋅------------------------------------------------------ 1

LR--- δmaxtan

2

– 1LR--- δmintan

2

––

=

Page 215: athena_users

ELITE Models

SILVACO International 4-9

4-11

In the Conical model, the parameter, ANGLE1=γ. Other parameters are C.AXIS and P.AXIS as seen inFigure 4-6.

Monte Carlo DepositionThere are two models which are invoked by specifying the MONTE1 or MONTE2 parameters in theRATE.DEPO statement, and the parameters SIGMA.DEP, DEP.RATE, and ANGLE1. MONTE1 invokes theMonte Carlo based deposition model which can be used to model low-pressure chemical vapordeposition (LPCVD) [103], [104].

Since the radicals are incident on the substrate with non zero thermal velocities, they may be re-emitted from the surface before they react. Therefore, the probability of their sticking is considered.The sticking coefficient can be defined using STICK parameter in the RATE.DEPO statement.

The model uses an analytical approach to calculate a surface diffusion through a normalized gaussiandistribution nd:

4-12

where x is the point of contact with the surface, as shown in Figure 4-7.

MONTE2 invokes a ballistic deposition model which simulates film growth by the random irreversibledeposition of hard two-dimensional discs launched with linear trajectories from a random point at thetop of the simulation area towards the structure surface.

At the point of contact with the growing film, the incident discs are relaxed to the nearest cradle pointwith the highest coordination number (contacting the largest number of neighbor discs) within aradius equal to SIGMA.DEP, which is four disc diameters by default.

The profile was initialized using a series of discs. In order to inhibit unrealistic “epitaxial” growthfrom a closest-packed surface [106, 107], the initial series of discs was spaced with centersapproximately 1.3 diameters apart.

This relaxation process simulates limited surface diffusion that occurs in films to reduce the surfaceenergy associated with areas of high curvature.

A prediction of the trends in local film density can be achieved. Plot with discs can be obtained usingparameter OUTFILE=<filename> in the DEPOSIT statement. The vapor flux distribution arriving can bedefined using the ANGLE1 parameter describing the angle measured between the vertical from thesource, and the wafer normal as seen in Figure 4- 7.

Multiple steps for both MONTE1 and MONTE2 models can be used by setting the DIVISION parameter inthe DEPOSIT statement. The number of incoming particles can be defined by the N.PARTICLEparameter in the DEPOSIT statement.

Rz x y,( )L R

2LW+( )

R2

W2

+ R2

L2

+( )2

⋅------------------------------------------------------

LR--- δmaxtan

asinL

2

R2------ δmintan

2

asin–

=

ndx

2

SIGMA.DEP2

-------------------------------–

exp=

Page 216: athena_users

ATHENA User’s Manual

4-10 SILVACO International

Figure 4-7: Deposition and Relaxation Model used in Ballistic Deposition model (MONTE2)

Etch ModelsOverview

ELITE provides a set of etch models that correspond to different physical etching techniques. Any oneof these models may be selected to define a “machine” that can then be invoked to perform processingon the structure. In addition, ELITE provides a primitive etching capability that can be used to defineinitial structures.

Isotropic Etch ModelThis model is invoked by specifying the WET.ETCH parameter on the RATE.ETCH statement. In wetetching and simple plasma etching, the substrate is immersed in a fluid (liquid or gas) whichchemically reacts with the exposed surface. In wet etching, the liquid attacks the surface and solubleproducts are removed. This reaction produces volatile by-products which are removed by a vacuumpump. Physical reactions do not take place. A barrel plasma reactor achieves such conditions, usuallyat low powers and moderate pressures. Due to the chemical reaction, isotropic profiles develop withmask undercutting and circular cross-sections.

Ψ

Page 217: athena_users

ELITE Models

SILVACO International 4-11

Figure 4-8: Segment Point in Case of Isotropic

RIE ModelIn the Reactive Ion Etching (RIE) model, the etching process is divided into the two adjustablecomponents isotropic etching and anisotropic etching. Each of these components is characterized byempirical etch rates (riso and rdir).

The ratio:

4-13

defines the measure of anisotropy.

The isotropic component riso models chemically reactive etching which results in profiles withundercut and circular cross-sections. For A=0, the process is completely isotropic. Under isotropicconditions, the string-points are advanced at the constant rate riso, in the direction of theperpendicular bisector of the adjacent segments (Figure 4-7).

The anisotropic etch rate component rdir is proportional to the cosine of the angle between the fluxdirection and the surface normal (the perpendicular bisector of adjacent segments). For A=1 theprocess is anisotropic yielding vertical sidewalls (Figure 4-8).

Figure 4-9 illustrates the regions of significance for each component in the RIE model. The shadowingeffect is accounted for by the riso component in the shadowed area.

r = riso

riso bisects the anglebetween line segments.

Ardir

rriso rdir+-------------------------=

Page 218: athena_users

ATHENA User’s Manual

4-12 SILVACO International

Figure 4-9: Point Advance due to Directional Influence

Figure 4-10: Regions of Significance of rdir and risc

Plasma Etch ModelThe plasma etch model in ATHENA is based on a Monte Carlo simulation of the ion transport from theneutral plasma or bulk, denoted by its glow, through the dark sheath surrounding the electrodes andwalls and isolating the plasma. Ions enter the sheath from the plasma and are then acceleratedthrough the sheath due the electrical potential drop between the plasma and the electrodes. TheMonte Carlo simulation follows a large number ions in their transport through the sheath includingcollisions with other gaseous species present in the etch chamber. The number of collisions

r = rdir

r = rdir + cos α rdir

α

α α

Page 219: athena_users

ELITE Models

SILVACO International 4-13

encountered by a particular ion depends on both the ion mean free path, a calculated quantity, and thesheath thickness, an user specified quantity. To reduce the computation time, ion trajectories arecalculated independently and inter-ion interactions are not considered in this version of the code.

In the current version of ATHENA, the simulated Monte Carlo distributions are used to calculate an ionflux incident on the substrate surface. This flux is then used to calculate an etch rate by integratingthis flux over the “window of visibility” at each point on the surface. The “window of visibility” is, for

point on a flat surface, simply from 0 to . However, for more complicated structures, e.g., trenches,points on the surface are shadowed and the “window of visibility” is reduced. Currently, only a simplelinear surface kinetic model for etching is supported.

See Chapter 7: Statements for a description of the RATE.ETCH parameters required for plasma etchsimulation.

Dopant Enhanced Etching

Dopant enchaned etching is a feature included in ATHENA and allows the etch rate at any point on thesurface to be enhanced depending on the value of any solution variable present. The etch rate at anypoint is then given by the formula

ERenh = (1 + enh)ERM 4-14where ERenh is the enhancement due the presence of particular dopant.

This enhancement is calculated using the formula

enh = 0.5 ENH.MAX (tanh(ENH.SCALE (S - ENH.MINC)) + 1)/2 4-15where ENH.MAX is the maximum enhancement, ENH.MINC gives the solution value below whichenhancement decays and ENH.SCALE gives the spread of the enhancment over solution values, i.e., howquickly does the enhancement factor reach its maximum. S is the dopant value.

For exponentially varying solutions, e.g., oxidation stress and dopant concentrations, both S andENH.MINC are taken to be log base 10 of their respective value. For dopant enhanced etching, see theRATE.DOPE card description.

Monte Carlo Etching ModelThe shrinking critical dimensions of modern technology place a heavy requirement on optimizing theetching of narrow mask opening. In addition, the aspect ratio of etches has been increased, requiringdeeper etches along with the small CDs. The simulation of these process requires more advancedtechniques than the analytical rate-based etching models described above. A more complete treatmentinvolving calculation of the plasma distribution and direct interaction of plasma particles withsubstrate materials is required.

The Monte Carlo etch module is implemented into ATHENA/ELITE. The main application of the moduleis simulation of plasma or ion assisted etching. The module can take into account the redeposition ofthe polymer material generated as a mixture of incoming ions with etched (sputtered) molecules ofsubstrate material. In addition, the module has interface to the C-INTERPRETER which allowssimulation of several other processes like wet etch and deposition, ion milling and sputteringdeposition of various materials. This model can be used to simulate the redeposition of material duringetches for:

– deep and narrow trench etches

– via etches

– loading effects

Parameters for Monte Carlo etch model are specified in the RATE.ETCH statement

Page 220: athena_users

ATHENA User’s Manual

4-14 SILVACO International

Simulation of Incoming Ions and Neutrals

Direct modeling of the plasma sheath is not included into this release and will be added later. It isassumed that ions and neutrals fluxes leaving plasma sheath are represented by bimaxwell velocitydistribution function along the direction determined by user specified incident angle:

4-16

where:

is the ion velocity component parallel to the incident direction,

is the ion velocity component perpendicular to the incident direction,

I ion (or neutral) current density specified by parameters, MC.ION.CU1 or MC.ION.CU2 in theRATE.ETCH statement

is the dimensionless parallel temperature specified by parameters, MC.NORM.T1 or MC.NORM.T2,

is the dimensionless lateral temperature specified by parameters MC.LAT.T1 or MC.LAT.T2

Calculation of Ion and Neutral Fluxes

During each time step the simulation consists of the three stages:

1. Calculation of ion, neutral, and polymer fluxes

2. Calculation of etch, polymer ejection and redeposition rates

3. Surface movement

On the first stage, the fluxes of incoming and reflected ions and neutrals are calculated on the eachsegment of the surface. Computation of the ion fluxes is done by tracing the user-defined number ofparticles (Figure 4-11, model a). Each particle is generated at random positions on top of thesimulation area, with normal and lateral velocities randomly determined from the bimaxwelldistribution function (Eq. 1). Then each particle trajectory is traced until the ion is either absorbed bythe surface or back scattered out of the simulation area.

f υ || υ⊥,( ) Iυ ||

T ||------------–

υ⊥T⊥------–

exp⋅∼

υ ||

υ⊥

T ||

T⊥

Page 221: athena_users

ELITE Models

SILVACO International 4-15

Figure 4-11: Diagram of Plasma Flux algorithm: (a) including zoom-in of ion reflection models (a & b)

Interaction of the ion with material surface is governed by two factors: reflection coefficient Preflspecified by parameters MC.ALB1 and MC.ALB2 for two types of plasma particles and MC.PLM.ALB forpolymer particles and roughness of the surface R specified by parameter MC.RFLCTDIF. Both factorsdepend on the surface material and the type of ion. Reflection coefficient is the probability of theparticle to be reflected from the surface. Roughness determines how the ion is reflected. If R = 0 thereflection is specular (Figure 4-11, model b), if R = 1, the reflection is random with uniform angular

distribution (Figure 4-11, model c). In a general case, the velocity of the ion after a collision with a

surface segment could be presented as follows:

4-17

4-18

where:

is the ion velocity after specular reflection,

vrefl

vrefl 0(ion is absorbed) , if x Prefl>=

vrefl vsp 1 R–( )⋅ vrand R if x Prefl>,⋅+=

vsp

Page 222: athena_users

ATHENA User’s Manual

4-16 SILVACO International

is the ion velocity after random reflection,

x is a random number, and

, where is the velocity of incedent ion.

Each absorbed ion is used to compute the incoming flux at the surface segment. The following

characteristics describe the flux:

• normalized number of absorbed particles :

4-19

where:

is the number of absorbed particles,

is the number of trajectories specified by parameters MC.PARTS1 and MC.PARTS2 for each type of

plasma particles, and by parameter MC.POLYMPT for polymer particles;

• normalized normal and tangential velocity components of the absorbed particle

before the encounter with the surface:

4-20

4-21

• normalized kinetic energy of absorbed particles:

4-22

Calculation of Polymer Fluxes

After ion and neutral fluxes are determined, the fluxes of the polymer particles are calculated asfollows. As the result of ion flux interaction with the surface segment the polymer particles aregenerated. The angular distribution of the polymer particles is uniform and the current density ofthese particles is determined by the etch model (see below) and the sum of the fluxes from incomingions, neutrals, and from polymer particles ejected from other surface segments. Obviously, the latterflux needs to be pre-calculated.

This flux is computed as follows. First, the configuration (or geometrical) factors, are calculated. Thesefactors are the fractions of the number of particles ejected from one segment and absorbed by the otherone. These are calculated using the same trajectory tracing algorithms which are described above forthe incident ions and neutrals with the only one difference: starting points are not at the upperboundary of the simulation area, but at the surface segments. After this, an iteration process is

vrand

vsp vrand vi= = vi

Fi

Nnorm

Nnorm Nabs I Ntraj⁄⋅=

Nabs

Ntraj

v⊥absv abs||

v⊥absI

Ntraj----------- v⊥

Nabs

∑⋅=

v abs||I

Ntraj----------- v ||

Nabs

∑⋅=

v2

absI

Ntraj----------- v2

Nabs

∑⋅=

Page 223: athena_users

ELITE Models

SILVACO International 4-17

initialized. At the first iteration, only the incoming ion and neutral fluxes are used for calculation ofthe ejection rates from each surface segment. Knowing the current densities of ejected particles andthe configuration factors, the polymer fluxes are calculated. At subsequent iterations, the polymerfluxes calculated at the previous iteration are used to update the etch and ejection rates. Theiterations are repeated until etch and ejection rates converge.

Calculation of Rates

The second stage involves calculation of the etching rates as well as ejection and redeposition rates ofthe polymer particles. During each time step the two processes simultaneously take place on eachsurface segment. The first is redeposition of the polymer with the rate equal to the polymer flux. Thesecond is etching by incoming ions and neutrals. The combination of these two processes can betreated as deposition of a virtual polymer layer with subsequent etching of the two-layer structure. Ifthe etch rate of polymer by incoming ions and neutrals is less than the polymer deposition rate theresult is redeposition of a polymer layer on the surface. If the etch rate of polymer by incoming ionsand neutrals is larger than the polymer deposition rate, the result is actual etch of the underlyingmaterial.

Linear Etch Model

In the case of the linear model, the etch rate ER(m) of each material m is calculated as:

4-23

where:

n is number of plasma ion types specified by parameter ION.TYPES (currently n could be equal to 1 or2),

EP(m,i) is the the etch parameter for material m and ion type i specified by parameters MC.ETCH1and MC.ETCH2,

is the ion velocity as calculated in Eq. 4-22.

If calculated ER (polymer) is less than the polymer flux (redeposition rate) PF the actual etch rate ERis negative which corresponds to redeposition:

4-24

Corresponding ejection rate EJR is equal to the etch rate of polymer:

When calculated ER(polymer) is larger than polymer flux the actual etch rate is positive:

4-25

Corresponding ejection rate is calculated as follows:

4-26

C-Interpreter

C-INTERPRETER can be used for introduction of different etch and ejection models. The followingparameters are passed to the C-Interpreter file and can be used for implementing the models:

ER m( ) EP m i,( ) vabs⋅n

∑=

vabs

ER ER polymer( ) PF 0<–=

EJR ER polymer( )=

ER ER m( ) PFEP m i,( )

EP polymer i,( )---------------------------------------n

∑⋅–=

EJR PF ER+=

Page 224: athena_users

ATHENA User’s Manual

4-18 SILVACO International

number of ion types, the four characteristics of ion fluxes for each ion type (Eq . 4-19 - 4-22), PF, andsurface material m. Returned parameters are ER and EJR.

For example, the wet etching can be simulated by setting the etch rate to a constant positive valuedepending only on the surface material. In this case the trajectory tracing part of the model is notneeded. The number of trajectories can be set to one.

Uniform deposition can be simulated by the setting of a negative constant etch rate and by specifyingthe redeposited material other than polymer in the etch statement. If the fluxes are not used, as in thewet etching simulation, the void formed will eventually be filled with the deposited material, becauseinside the C-Interpreter there is no way to determine if the current surface segment belongs to thevoid or not. This obstacle can be overcome by simulating ion fluxes and by setting the etch rate to zeroif the flux on the surface segment is less than some small threshold value.

Surface Movement

A sophisticated string algorithm is used to move all segments, according to the rates (positive ornegative), calculated at each time step. If the rate is negative, the surface moves outside and the areais filled with redeposited material (by default, polymer). If the rate is positive, the surface movesinwards and the area is filled with vacuum.

REFLOW ModelA two-dimensional viscous reflow capability is included in ELITE. The vitreous silica (oxide, BPSG,etc.) are modeled as the viscous incompressible fluids, which are dynamically deformed under thedriving force of surface tension. The finite-element method is used to solve the creeping flow equationsfor the chosen materials. With a 7-node triangle element as the basic discretization unit, arbitrarilyshaped 2D regions and surface curvatures are automatically described. Using the built-in user definedmaterial capability, multiple material combinations can be simulated. The flow equation solver can becoupled with impurity diffusion to simulate the impurity redistribution and oxide growth.

The reflow is invoked by setting the reflow flag in the DIFFUSE statement and by setting REFLOW flag inthe MATERIAL statement to choose a specific material. Physical parameters which are specific forvarious materials, such as viscosity and surface tension, are also given in MATERIAL statement. Reflowwill proceed according to the time and temperature given in the DIFFUSE statement. The finite elementsolver are invoked by specifying flag VISCOUS and various numerical control parameters in theMETHOD statement.

The viscous creep flow equations solved are [105]:

4-27

4-28

4-29

where v is the velocity, P the pressure, µ the viscosity, ν the Poisson’s ratio, and E the Young’smodulus. The parameters v and E can be specified as POISS.R and YOUNG.M in the MATERIALstatement.

µ∇2v ∇P=

∇ v⋅1 2ν–

µ--------------- – P=

µ E2 1 ν+( )--------------------=

Page 225: athena_users

ELITE Models

SILVACO International 4-19

Chemical Mechanical Polish (CMP)Chemical Mechanical Polish (CMP) is a module in ATHENA. It requires that ELITE be licensed alongwith the CMP in order to run it. CMP is used to model wafer planarization via polishing pad andchemical slurry characteristics. CMP is used to circumvent two major problems; first is the depth offocus of high numerical aperture lithigraphy systems. The second is metal thinning that can occurover non-planar topographies

The CMP module that is incorporated into ATHENA has two distinct models. The first is the hardpolish or “buzz saw” model. The second is the soft polish model based on the work of J.Warnock [122].The two models are accessed using the ATHENA statements RATE.POLISH and POLISH. These are verysimilar to the statements for the ELITE deposition and etching modules RATE.DEPO and DEPOSIT, andRATE.ETCH and ETCH. The RATE.POLISH statement sets up the parameters for a particular machinewhile the POLISH statement executes the actual polishing step using the machine.

Hard Polish Model

:The hard polish models the grinding down of the topography based on a rate that is calculated as afunction of the “pattern factor”(Pf) of the surface. The higher the pattern factor the lower the polishingrate. The rate for the highest point on the structure Ymax is calculated to be

4-30Parameters for the hard polish model are MAX.HARD and MIN.HARD. MAX.HARD is the rate for Pf=0 andMIN.HARD is the rate for Pf=1. A Pf of 1 corresponds to a flat surface. Pf is calculated from thetopography by the formula:

4-31

Surface points that are ∆Y (the rate effective height) below the highest point on the structure definethe pattern factor. Figure 4-11 represents a pattern factor defined by:

4-32The value of the rate effective height, ∆Y, is calculated by the previous rate multiplied by the currenttimestep value. The rate for points at height Ymax are equal to the R calculated in Equation 4-16.Points below Ymax have a rate that causes the structure to polish to the y coordinate Ymax - ∆Y so thatthe structure becomes more planar, as seen in Figure 4-12.

R x y,( ) MAX.HARD 1 Pf–( )⋅ MIN.HARD Pf⋅–=

PfXtotal ∆Y–

Xtotal--------------------------=

Pf∆X1 ∆X2+

Xtotal--------------------------=

Page 226: athena_users

ATHENA User’s Manual

4-20 SILVACO International

Figure 4-12: Illustration of the Hard Polish Model: Structure before Planarization

Figure 4-13: Illustration of Hard Polish Model: Structure after Planarization

A total amount of ∆Y is always removed at each time step in the above fashion.

The hard polish model can be mixed with the soft polish model and isotropic etch component which canbe specified by the ISOTROPIC parameter of the RATE.POLISH statement.

Soft Polish Model

The soft polish model is based on the work of J.Warnock [122]. It has four parameters: SOFT, the polishrate on a flat surface. Units are specified by A.H. (A/hr), A.M (A/min), A.S (A/sec).is default),U.H(microns/hr), U.M (microns/min.), U.S.(microns/sec), or N.M (nm/min.); LENGTH.FAC is thehorizontal deformation scale in microns. It is a measure of the polishing pad’s flexibility. It describes

Page 227: athena_users

ELITE Models

SILVACO International 4-21

the distance at which shadowing will be felt by a tall feature. HEIGHT.FAC is the vertical deformationscale in (microns). HEIGHT.FAC measures how much the polishing pad will deform with respect to theheight of the feature. KINETIC.FAC increase the vertical polish rate as the surface becomes morevertical.

The polishing rate is given by:

4-33

Where Ki is the kinetic factor or horizontal component of the polish removal rate at point i, Ai is theaccelerating factor of point i and is large for points that are higher and shadow other points. Si is theshadow factor and decreases the polish rate as a function of the points that are above point i. For a flatsurface Ki Ai / Si = 1. Following the work of Warnock these three factors are calculated using thefollowing set of equations.

The shadow factor is one for flat surfaces. But in the general case is calculated due to one or most twopoints that shadow point i and is given by the equations below.

4-34

where ∆zi >= 0.0, so Si > 1. ∆zi is obtained by integration over the surrounding topography:

4-35

In these equations ileft and iright refer to the two points that can possibly shadow point i. The effect ofthese shadow points is dependent on the two parameters LENGTH.FAC and HEIGHT.FAC as seen in theequations. The variable zi is the vertical distance between the point i and the point ileft/iright. Thevariable ri is the horizontal distance between the point i and the point ileft/iright.

The acceleration factor, Ai is given by the equations below. Ai is calculated for the two points that

shadow point i. In this manner multiple shadowing effects are taken into account via the termAileft / Airight is the acceleration factor for the point/points that shadow. If point i shadows some otherpoint j in the system Ai will be increased by a similar equation. This increase is then passed on toAileft.

4-36

4-37

The constant Bileft/Biright is a weighing factor that is based on the amount of shadowing at point i dueto shadow point ileft/iright.

The kinetic factor is based on the following equation.

4-38

Pi

KiAi

Si----------=

Si

∆zi

HEIGHT.FAC--------------------------------------

exp=

∆zi zi

ri

LENGTH.FAC--------------------------------------

cosh⁄ileft

iright

∑=

Aileft Aileft Ai Bi 1 1 Si⁄–( )⋅ ⋅+=

Airight Airight Ai Bi 1 1 Si⁄–( )⋅ ⋅+=

Ki 1 KINETIC.FAC αitan⋅+=

Page 228: athena_users

ATHENA User’s Manual

4-22 SILVACO International

This shows the effect of the parameter, KINETIC.FAC, on the polishing rate. The angle αi is the localangle that is tangent to the polished surface. To avoid calculation errors, the maximum allowableangle αi is 89.9544 degrees (1.57 radians).

Figure 4-14 demonstrates three regions where each of the components of the polishing rate would belarge.

Figure 4-14: Soft Polishing Model: Areas where different components dominate

Page 229: athena_users

Chapter 5:OPTOLITH Models

SILVACO International 5-1

OverviewThe OPTOLITH module of ATHENA allows the use of sophisticated models for imaging, photoresistexposure, photoresist bake, and photoresist development. OPTOLITH includes a library of photoresistswith default characterizations for development and optical properties. These default characterizationscan easily be tuned to adjust for variations that very typically occur from one facility to another. Thischapter describes the models and capabilities of OPTOLITH.

The Imaging ModuleOPTOLITH includes an imaging module that utilizes the Fourier series approach.

The theoretical resolution (RES) and Depth Of Focus (DOF), of a microlithographic exposure systemare approximated by:

5-1

and

5-2

where λ is the wavelength of the exposing radiation, NA is the Numerical Aperture of the imagingsystem, and k1 and k2 are process dependent constants. Typical values for k1 are 0.5 for a researchenvironment and 0.8 for a production process; the value usually assigned to k2 is 0.5.

We shall discuss the basic assumptions upon which the model rests. Next, we shall derive the principalequations used for calculation of the image irradiance distribution for objects illuminated by partiallycoherent light.

The treatment presented here assumes the radiation incident on the object to be quasi-monochromatic, which means that the spectral bandwidth is sufficiently narrow so that wavelength-dependent effects in the optics or in diffraction angles are negligible. The source is of a finite spatialextent so that the advantages of spatial incoherence are realized in imaging.

The mask is completely general in that phase and transmission are variable, but it must be composedof rectangular features.

The calculation of the diffraction phenomena is based upon the scalar Kirchhoff diffraction theory.Since the dimensions of the mask are almost the same as the illumination wavelength, we can ignoreany polarization taking place as the radiation propagates through the mask.

We assume scalar diffraction, which means neglecting the vector nature of the radiation. This isacceptable if all convergence angles are small.

According to Watrasiewicz [58], who experimentally investigated the limiting numerical aperture, thebreakdown of the scalar theory occurs at angles of convergence greater than 30 degrees, whichcorresponds to a numerical aperture of 0.5. Similar results were published by Richards and Wolf [59],who used theoretical calculations to investigate the electromagnetic field near the focus produced byan aplanatic system working at a high convergence angle. They also found appreciable departuresfrom scalar theory for convergence angles larger than 30 degrees. Since the convergence angles are

RES k1λ

NA--------⋅=

DOF k2λ

NA( )2---------------⋅=

Page 230: athena_users

ATHENA User’s Manual

5-2 SILVACO International

calculated in air, we can assume that the accuracy of this model is even better inside the photoresist,where angles are reduced in accordance with Snell’s law.

Consequently, it can be stated that the scalar diffraction theory gives a reliable limit for imagingsystem numerical apertures of 0.5.

The approach used for calculation of the image irradiance distribution is based on the work of Hopkins[60, 61], who showed that the partially coherent illumination of the object structure may be simulatedin practice by the incoherently illuminated exit pupil of the condenser. The exit pupil serves as an“effective source” which produces the same degree of coherence in the illuminated object plane as theactual condenser system. The degree of coherence in the object plane is thus determined by the shapeand angular size of the effective source. The condenser system is assumed to be diffraction limited,that is, free of aberrations. Residual aberrations of the illuminator do have an appreciable influence onthe final image for Koehler type illumination systems, as shown by Tsujiuchi [64].

A schematic diagram of a generalized optical system is shown in Figure 5-1. The actual source and thecondenser system are replaced by the equivalent effective source having an irradiance distribution of g(x0, z0). The effective source for the object plane U is taken to lie in the exit pupil reference sphere ofthe condenser lens. This means, that directing from arbitrary points (x0, z0) on the effective source,plane waves propagate towards the object plane U having irradiance values of γ (x0, z0).

Figure 5-1: Schematic Diagram of a Generalized Optical System

The reduced coordinates [61] on the object plane are defined as:

5-3

5-4

X

X

h0

h h′

E′

P0

α0

α α′

P

E

P′

U

U′X

reticle planesource

(condensor) Image planeImaging system

u2πλ------ n αsin ξ⋅ ⋅ ⋅=

v2πλ------ n αsin η⋅ ⋅ ⋅=

Page 231: athena_users

OPTOLITH Models

SILVACO International 5-3

where ξ and η are the Cartesian coordinates of the object plane, 2π/λ is the absolute value of the wavevector, and n-sinα is equal to the numerical aperture NA of the imaging system. Primed quantitiesindicate the corresponding coordinates and angles in the image space of the projection system. Thefractional coordinates on the object pupil spheres are defined as:

5-5

5-6

where h is the radius of the pupil. The fractional coordinates of the exit pupil of the condenser aregiven by:

5-7

5-8

In these equations:

5-9

where α0 and α are angular semi-apertures of the condenser and the objective respectively. n0 and n arethe refractive indices in the image space of the illuminator and the object space of the imaging system;usually both are set to one. The ratio σ is the radius of the effective source referred to the aperture ofthe objective and governs the degree of spatial coherence in the object plane. The limits σ → 0 and σ →∞ correspond, respectively, to coherent and incoherent illumination.

The object is taken to be infinitely thin, so it can be described by a complex amplitude transmissionfunction, which gives the change in magnitude and phase produced on the radiation passing throughit. The object has the complex transmission A(u,v). Its real part is given by:

5-10

The complex amplitude of the Fraunhofer diffraction pattern on the entrance pupil reference sphere atE of the imaging system is given, apart from a constant factor, by:

5-11

which is the inverse Fourier transform of the complex amplitude transmission of the object. If notstated otherwise, integration ranges from to – ∞ to + ∞.

If the object is illuminated by an element dx0, dz0 of the effective source at (x0, z0), with its amplitude

proportional to , the object spectrum a(x,z) is shifted by a corresponding amount. In this

instance, the complex amplitude distribution on the entrance pupil sphere of the objective is:

xξh---=

zηh---=

x0xσ---=

z0zσ---=

σn0 αsin 0⋅n αsin⋅------------------------=

ℜ A( u v, )( ) 1 in transparent areas0 in opaque areas

{=

a x z,( )1

2π------ A u v,( )∫∫ i ux vz+( )–( )exp dudv⋅=

γ x0 z0,( )

Page 232: athena_users

ATHENA User’s Manual

5-4 SILVACO International

5-12

The complex amplitude on the exit pupil reference sphere at E’ will be given by:

5-13

In this equation f(x,z) denotes the pupil function of the optical system. If the system has an annularaperture, where the central circular obstruction has the fractional radius ε, the pupil function has theform:

5-14

t(x,y) is the pupil transmission which is usually set to one and W(x,z) denotes the wave-frontaberration. For an entirely circular aperture, ε becomes zero. Note that the approach taken here issomewhat similar to the one used in the investigations on phase contrast microscopy [62].

The function W(x,z) gives the optical path difference between the real wave-front and the exit pupilreference sphere. Commonly the wave-front aberration is expanded into a power series [61], giving

5-15

for a particular position (x,z) in the exit pupil. χ and ξ denote the fractional coordinates of the imagefield. The values of l, m, and n describe the order of aberrations, while the coefficients W(l,m,n)determine the magnitude of the aberrations.

For third order aberrations l, m, and n take the values:

l=0 , m=0 , n=2 : spherical aberrationl=0 , m=1 , n=1 : comal=0 , m=2 , n=0 : astigmatisml=1 , m=0 , n=1 : field curvaturel=1 , m=1 , n=0 : distortionl=0 , m=0 , n=1 : defocus

where isoplanatism is assumed for the particular section of the image field for which the irradiancedistribution is calculated. The coefficient W001 can be determined from:

5-16

where δ refers to the distance of the defocused image plane to Gaussian image plane.

The resulting amplitude in the image plane due to a wave coming from the point x0, z0 of the effectivesource is:

γ x0 z0,( ) a x x0 z, z0––( )⋅

a′ x z,( ) γ x0 z0,( ) a x x0 z, z0––( )f x z,( )⋅=

f x z,( )0 x

2z

2 ε2<+

τ x z,( ) i k W⋅ ⋅ x z,( )( )exp⋅ x2

z2

1≤+

1 x2

z2

1≥+

=

W x z,( ) Wl m n, ,l m n, ,∑ χ2 ζ2

+( ) xχ zζ+( ) x2

z2

+( )n

+ +=

W001 δ n′ α′sin⋅( )2

2 λ⋅------------------------------⋅=

Page 233: athena_users

OPTOLITH Models

SILVACO International 5-5

5-17

where (u′,v′) refers to a point in the image plane. The irradiance distribution associated with theilluminating wave of the effective source will then be represented by:

5-18

Since, by definition, the effective source is equivalent to a self-luminous source, the total irradiance at(u′,v′) can be obtained by integrating over the entire source Σ.

5-19

where Σ indicates the area of the effective source for which γ(x0,z0) has nonzero values.

For this purpose Equation 5-19 is put into the form:

5-20

where:

5-21

is proportional to the intensity at the point (u′,v′) due to a wave of unit irradiance

passing through (x0, z0) of the effective source.

In the case of an annular shaped source x0, z0 has the form:

5-22

where ε0 is the fractional radius of the centered circular obstruction in the exit pupil of the condenserlens. For a circular exit pupil, ε0 becomes zero.

Equation 5-20 is the principle relation of a generalized Abbe theory, where the image formation underpartially coherent illumination of the object is accounted for by a combination of coherent imagingprocesses for perpendicular and obliquely incident illuminating plane waves on the object. Since onlythe image irradiance itself is of interest, it can be determined without making explicit use of coherencetheory [61]. For the computation, the whole source is divided into a number of luminous point sourcesconsidering the imaging due to each source as an independent coherent image formation process. Thecontributions from each point source do not interfere, so the net image irradiance is the sum of theirradiance from each source point.

The normalization used throughout this investigation is that the mask is illuminated with unitirradiance, so that the ideal image has unit irradiance, where unit magnification is assumed. Hence,

A′ x0 z0 u′ v′,;,( )1

2π------ γ x0 z0,( ) a′∫ x x0– z, z0–( ) i(exp u′x v′z )+( )dxdz⋅⋅ ⋅=

dI′ x0 z0 u′ v′,;, ,( ) A′ x0 z0 u′ v′,;, ,( ) 2dx0dz0=

I′ u′ v′,( ) A′ x0 z0 u′ v′,;,( ) 2x0d z0d∫

Σ∫=

I′ u′ v′,( ) γ x0 z0,( )∫ Φ x0 z0 u′ v′,;,( ) 2⋅ x0d z0dΣ∫=

Φ x0 y0 u′ v′,;,( )1

2π------ a′∫∫ x x0– y, y0–( ) f x y,( ) ⋅ i(exp u′x v′y )+( )dxdy⋅⋅=

Φ x0 z0 u′ v′,;,( )

γ x0 z0,( )

0 for x02

z02 ε0

2<+

1 for x02

z02

1≤+

0 for x02

z02

1>+

=

Page 234: athena_users

ATHENA User’s Manual

5-6 SILVACO International

the brightness of the source decreases as its size increases. Equation 5-20 is the principle equation ofthe algorithm which is used for studying the influence of annular apertures.

The object spectrum (see Equation 5-11) is calculated analytically and the coherent image (seeEquation 5-18>) is calculated using a Fourier Series approach.

The shape of a single mask feature must be rectangular. This is due to the fact that the Fouriertransform for a rectangular feature is calculated based on an analytical formula.

Since the Fourier transform is linear, arbitrary shaped mask features can be composed from therectangular components. The object spectra of the single mask features (components) are simply addedup. The treatment can thus be considered as being exact and no numerical discretization errors in thesize and placement of the mask features can occur.

Note: You may use MASKVIEWS to create or import masks of any arbitrary shape. The mask layout willbe sliced (divided) on rectangular elements when it is imported into OPTOLITH. OPTOLITH can importmasks containing any number of mask elements.

Optical SystemThe optical system used by OPTOLITH is shown in Figure 5-2.

The meshes in the Fourier and Image planes are totally independent. There is no mesh in the object orreticle plane.

Figure 5-2: The Generated Optical System

Digitization ErrorsThe size of the window in the reticle plane is determined by the number of mesh points in the projectorpupil, the numerical aperture, and by the chosen wavelength:

5-23

α’ α

source condensor reticle projectionlens

projectionlens

aperturestop

image plane

CW NP lambda NA⁄⋅=

Page 235: athena_users

OPTOLITH Models

SILVACO International 5-7

where:

CW is a computational or sampling window (mask or image cell) in the object or reticle plane.

NP is the number of mesh points in the projector pupil.

NA is the numerical aperture of the stepper,

lambda is the chosen wavelength.

For an i-line stepper with NA = 0.54, the size of the sampling window is the square whose side lengthis equal to 6.8 µm (10 · 0.365/0.54). No mask feature should exceed this dimension.

The size of the sampling window for this particular stepper can be increased to any size simply byincreasing the number of mesh points in the projector pupil. This will be done automatically toaccommodate the mask and image windows that have been specified.

Mask features cannot be placed outside of the sampling window. As mentioned earlier, the image meshis totally independent of the mesh in the Fourier plane. This allows the user to arbitrarily specify thenumber and distance of image points.

MeshThe size of the computational window is determined by formula (see Equation 5-23), and the positionof the mask points. By positioning this window so that the mask cell in the object plane is covered,multiple image cells can be calculated.

Computation TimeComputation speed can be significantly increased by first using a very coarse mesh for screening-typesimulations and then refining the mesh as specific points of interest are approached.

Computation time is linearly dependent on the number of >source points (determined by the coherencefactor).

The Exposure ModuleThe exposure module calculates the standing wave intensity pattern in the photoresist via twodifferent models. They are the vertical propagation model and the nonvertical propagation model.

The vertical propagation model calculates the bulk image in the photoresist by propagating planewaves vertically into the photoresist. Each plane wave is associated with an intensity point in theaerial image as calculated by the imaging module or input via a user supplied input file. This modelallows fast bulk image calculations over nonplanar photoresists and underlying substrates.

The nonvertical propagation model also depends on propagation of plane waves to calculate the bulkimage. In this case the plane waves are derived from the continuous Fourier transform of the imageamplitude. Each individual Fourier component is discretized from the continouous Fourier transform.Each discretized component is mapped into a plane wave and then propagated throughout thephotoresist to calculate the bulk image.

The above Fourier spectrum and discretized components are derived directly from the imaging modulewhich is used prior to the exposure calculation to obtain them. Discretization of the Fouriercomponents is controlled as described in the Imaging Module section.

The number of reflections undergone in the exposure is a parameter controlled by the user. Morereflections implies a longer calculation time, so that preliminary exposures should be done with onlyone reflection specified.

Page 236: athena_users

ATHENA User’s Manual

5-8 SILVACO International

Use of the nonvertical propagation model allows simulation of defocus effects necessary when studyingfocus exposure latitude of a lithography step. The default is the nonvertical propagation model. Thevertical propagation model is specified by setting NA=0 on the EXPOSURE command.

In the nonvertical propagation mode, exposures can be made with either coherent or incoherentsources. Coherent sources are described by SIGMA=0.01 in the IMAGE command. This defines asmall enough source that only one discretization point is included. If a large SIGMA is defined anddiscretization of the source allows at least three source points in the x (or z) direction, then threepoints from the source will be used in the bulk image calculation with equal weight given to eachpoint. The points chosen will be the central point and the outermost points in the dimension of thechosen cross section (x or z). If multiple sources are defined using the ILLUM.FILTER command,then the central point of each SOURCE defined is used for calculating the bulk image in the exposure.The latter allows an arbitrary number of source points to be simulated for the bulk image calculation.

According to Dill’s model [68] for positive, Novolac type photoresists, three chemical components mustbe considered for a treatment of bleaching during the resists exposure:

• the dissolution inhibitor

• the base resin

• photoreaction products

Exposure converts inhibitor to reaction products, reducing the total absorption of the film (bleaching).

The change in absorption and the decomposition of inhibitor (the photoactive compound, PAC)during the exposure step is modeled by a three parameter fit. With reference to Dill’s publications,these parameters are commonly referred to as A, B, and C, where A + B corresponds to the resistabsorption before exposure, and C is the adsorption after a complete decomposition of the PAC.

The optical absorption coefficient is modeled as:

5-24where:

is the normalized PAC concentration relative to its pre-exposure value at any position and time ofexposure.

This means that when M(x,y;t) is known, the optical properties of the thin film structure are known.The intensity of each discrete wavelength component at a specific horizontal location is thendetermined by the solution of the equation:

5-25

The composition of the PAC can be determined from:

5-26

where C is the rate at which the PAC is destroyed. C is commonly expressed in cm2/mJ. Equations 5-25and 5-26 completely describe the exposure of standard photoresist.

In the exposure module, only equation 5-26 is used. Intensity is assumed to be independent of time.The absorption coefficient is assumed to be an average of the absorption of the fully exposed andunexposed photoresist.

M

α A M x y t, ,( ) B+⋅=

M x y t, ,( ) M x y t, ,( )M x y t, ,( )-----------------------=

∂ y t,( )∂y

--------------- I y t,( ) A M x y t, ,( ) B+⋅[ ]⋅=

∂M x y t, ,( )∂y

-------------------------- I– x y t, ,( ) C M x y t, ,( )⋅ ⋅=

Page 237: athena_users

OPTOLITH Models

SILVACO International 5-9

Photoresist Bake ModulePost Exposure Baking (PEB) of the photoresist has been demonstrated to dramatically reducestanding wave fringes of the developed resist image resulting from optical interference ofmonochromatic illumination. This effect is generally accepted to be a result of bulk diffusion of thePAC and photoreaction products.

The simple physical model which is adopted here to describe the PEB is that just one chemicalconstituent of the resist diffuses. This constituent is generally assumed to be PAC or the dissolutioninhibitor, which diffuses according to the diffusion equation with the diffusion constant D beingindependent of time, concentration and location.

5-27

where M is the PAC concentration and t is the PEB time. For a more general discussion see [67]. M iscalculated by solving the two dimensional diffusion of Equation 5-27.

The diffusion length can be related to the bake time t and the diffusion coefficient D:

5-28

For a PEB of 60 seconds at 125ºC, a diffusion length in the range of 0.04 < σ < 0.06m would beappropriate.

PEB can also be specified with parameters temperature and time. The diffusivity D is given by theequation:

5-29

The parameters D0 and De are accessible via the RATE.DEVELOP command which specifies allphotoresist parameters.

“Reflectiv” boundary conditions at the air/resist interface and at the resist/substrate interface must beincorporated to ensure that the total amount of dissolution inhibitor in the resist is conserved. M(x,y,t)is extended into regions outside the resist by reflection at the planar interfaces.

A post development bake is also available. It models a physically based reflow of the photoresist.

The Development ModuleThe development model is based on knowledge of the PAC distribution or dissolution inhibitor in theresist layer after exposure and post-exposure bake. In classical Novolac resists, the dissolutioninhibitor and the PAC are usually part of the same molecule. In chemically amplified resists, thereaction kinetics are more complicated; the inhibitor concentration, however, still is considered to bethe key quantity for the development process.

In positive tone Novolac resists, the inhibitor concentration can be determined from exposuresimulations using Dill’s model, as described above. This model applies when the resist materialundergoes a transition between two chemical states during the exposure step. The actual developmentprocess is treated as a surface limited etching process, which is dependent on the particular resist-developer chemistry and on the local concentration of the dissolution inhibitor at the surface of the

dMdt

-------- ∇ D∇M( )⋅=

2tD σ2=

D Do De kT⁄–( )exp=

Page 238: athena_users

ATHENA User’s Manual

5-10 SILVACO International

resist that has been decomposed to a degree during the exposure step. If the resist developer chemistryis held constant, the dissolution rate is assumed to be a function of the inhibitor concentration only.

The rate function r(x,y) is determined experimentally and usually fitted by an empirical function toexperimental development rate data as a function of the remaining PAC concentration M(x,y).

Any one of five models may be chosen to simulate the development process for the specific resist-developer combination. OPTOLITH includes models proposed by:

• Dill

• Kim

• Mack

• Trefonas

• Hirai

Each model assumes a specific rate function type in order to describe the rate-inhibitor concentrationrelation. These models are described in the following sections.

Dill’s Development ModeThe Dill model uses the parameters E1, E2, and E3. Surface induction effects are not considered. Thebulk development is given by:

5-30

and for M(x,y) ≤ 0.4

5-31

Kim’s Development ModelThe Kim model describes the development rate through the function

5-32

5-33

5-34

5-35

5-36

R x y,( ) E1 E2 M x y,( ) E3 M x y,( )2⋅+⋅+( )exp=

R x y,( ) E1 E2 0.4 E3 0.16⋅+⋅+( )exp=

RInduction 1 1 R5 R5 R6–(–(–( )M x( y z, , ) ) ) d y( )R4

----------–exp⋅–=

RBulk x y,( ) 11 M′– x y,( )

R1-----------------------------

M′ x y,( )R2

--------------------+--------------------------------------------------------=

M′ x y,( ) M x y,( ) R3 1 M x y,( )–(–( ) )exp⋅=

R x y,( ) RInduction RBulk⋅=

R x y,( ) RInduction RBulk⋅=

Page 239: athena_users

OPTOLITH Models

SILVACO International 5-11

where RBulk is the bulk development rate and RInduction is the surface induction factor. The limitingdevelopment rate values are R1 and R2 for, respectively, completely exposed and unexposed resist. Thefunction RInduction(x,y) is an empirical relationship describing the reduced dissolution rate at thesurface of a resist layer and is a function of the normal distance from the original surface of the resistd(y) and the amount of remaining PAC, M(x,y). The parameter R4 is the characteristic length alongthis path for the induction effect. The parameters R5 and R6 are, respectively, the ratio of the surfacerate to the bulk rate for a completely exposed resist, and the ratio of surface rate to bulk rate for anunexposed resist.

Mack’s Development Model

5-37

5-38

where the parameter n is a selectivity parameter describing the sensitivity of the developer to theexposed photoresist. The Mth parameter is the threshold PAC concentration. The parameter Rmax isthe development rate of a completely exposed resist. The parameter Rmin is the development rate oftotally unexposed resist.

Trefonas’ Development ModelThe Trefonas development rate model requires only two parameters.

5-39

where R0 is the development rate for unexposed photoresist and q is sensitivity.

Hirai’s Development ModelThe development rate model by Hirai is very similar to the one by Trefonas. The rate function of the

Hirai model is given by :

5-40

where R0 is the development rate for fully exposed photoresist, RC is the rate for unexposed resistmaterial and α is a reaction constant.

R x y,( ) Rmaxa 1+( ) 1 M x y,( )–( )n

a 1 M x y,( )–( )n+

---------------------------------------------------- Rmin+⋅=

an 1+n 1–------------ 1 Mth–( )n⋅=

R x y,( ) R0 1 M x y,( )–( )q⋅=

R x y,( ) R0 1 M x y,( )–( )αRC+⋅=

Page 240: athena_users

ATHENA User’s Manual

5-12 SILVACO International

[This page intentionally left blank]

Page 241: athena_users

Chapter 6:FLASH Models

SILVACO International 6-1

OverviewFLASH is an advanced two dimensional process modeling program which simulates ion implantationand diffusion processes used in compound semiconductor VLSI technology. FLASH is available as anextension to the capabilities of the ATHENA simulation package. FLASH can also be licensed with aMonte Carlo ion implant algorithm which allows explicit calculation of ion channeling and damage.

This chapter discusses the physical basis of each of the models and the numerical methods used byFLASH.

Diffusion ModelsFLASH includes a hierarchy of impurity diffusion models for GaAs.

Default ModelThe default diffusion model in FLASH is the same as that used by SSUPREM3 [1,2], the Bell IntegratedCircuit and Engineering Process Simulator (BICEPS)[3], and the Finite Element Drift DiffusionSimulator (FEDDS)[4]. Impurity diffusion as a function of distance (x) and time (t) is assumed to bedescribed by:

6-1

The boundary condition at the material interfaces is described by:

6-2

where:

• Ci is the concentration (the number of atoms per unit volume) of the ith impurity.

• Ni is the concentration of the impurity ions that have donated or accepted an electron.

• ψ is the electrostatic potential.

• Di is the diffusivity of the ith impurity.

• Zi is the charge state (+1 for donors and – 1 for acceptors).

• and µi is the mobility of the ith impurity.

• h is the transport coefficient.

• m is the segregation coefficient.

• The superscripts refer to the impurity concentrations on the left and right sides of the boundary.

At the top surface, is the concentration of the ith impurity in the furnace ambient gas. At the

bottom of the simulation region, the right hand side of Equation 6-2 is equal to zero. The mobility µi isgiven by an Einstein relation:

6-3

∂CI

∂t---------

∂∂x----- Di

∂Ci

∂x-------- ZiµiNi

2ψ∂x-------+

=

Di

∂Ci

∂x-------- h Ci

LCi

Rm⁄–( )=

CiL

uiq

kT------Di=

Page 242: athena_users

ATHENA User’s Manual

6-2 SILVACO International

where q is the charge of an electron, k is Boltzmann’s constant, and T is the temperature. Theelectrostatic potential ψ is determined from Boltzmann statistics and charge neutrality.

6-4

where n is the number of electrons per unit volume. The value of n is determined by:

6-5

where ni is the intrinsic electron concentration.

Equations 6-1 – 6-5 are the standard model for impurity diffusion. FLASH allows you to change thevalues of certain parameters in this model, such as the diffusion constants Dx in Equation 6-1.

Poisson EquationThe electrostatic potential (approximated by Equation 6-4), in general satisfies Poisson’s equation

6-6

This equation is to be solved fully coupled to Equation 6-1. However, the Boltzmann approximation isusually adequate [5,6] for most processing problems.

GaAs Diffusion ModelsThe diffusion mechanism of impurities in GaAs is different from that in Silicon. The diffusion modelsfor impurities of Si, Be, Se, Mg, and Zn in GaAs are implemented in the manner described by theIntegrated Circuits Laboratory [58] of Stanford University.

Donor Type ImpuritiesSilicon and selenium ion implanted impurities in GaAs are the two most commonly used donor typedopants. The diffusion of the n-type dopants is believed to be via a substitutional mechanism and ismodeled with a temperature dependent diffusion coefficient. Diffusion during anneals is simulated bymeans of Fick’s diffusion law which includes terms for electric field effects. In fact, diffusion of n-typeimpurities in GaAs is relatively slow, and can be simulated in a manner which is not dependent onimpurity concentration. Thus, for ion implanted silicon impurity in GaAs:

6-7

where DSi is measured in cm2/s, and the activation energy (1.6) is in electron volts.

The diffusion coefficient is experimentally verified up to a dos implant of 2.0 X 1013 cm-2.

For selenium implanted into gallium arsenide a similar expression for diffusivity is used:

6-8

This expression is valid for an implant dose up to 2.0 X 1013 cm-2 .

ψkTq

------1nnni----

=

n12--- ZjNj ( ZjNj )

24ni

2+

j

∑ 1 2⁄

j

∑=

0∂2ψ∂x

2---------

qε--- 2 ni sinh

qψkT-------

ZjNj( )j

∑–

–=

DSi 3.0 109–× 1.6– kT⁄( )exp⋅=

DSe 3.0 108–

1.6– kT⁄( )exp⋅×=

Page 243: athena_users

FLASH Models

SILVACO International 6-3

Acceptor Diffusion ModelsDiffusion of p-type dopants (Be, Mg and Zn) is modeled according to the substitutional interstitialdiffusion mechanism [58]. This mechanism accounts for the rather rapid diffusion exhibited by thedopant atoms. Most of the dopant atoms are substitutional but diffuse when some atoms occupyinterstitial positions.

When no other dopants are present, this mechanism predicts a diffusivity that is proportional to thedopant concentration raised to some power, where the power is dependent on the charge of the dopantinterstitial. If several impurities are present, diffusivity of p-dopants is governed by the holeconcentration, which is used instead of impurity concentration in the diffusion coefficient expressions.Thus, the diffusivity of acceptor impurities in GaAs is determined by the Fermi level.

The following diffusion coefficient expression is used for beryllium:

6-9

where D is in cm2/s, p is the hole concentration, and ni is the intrinsic charge carrier concentration.

This diffusion coefficient expression assumes that the beryllium interstitials which take part indiffusion are single charged.

An analogous diffusion model is also true for magnesium. The diffusion coefficient for magnesium is:

DMg = 4.8 x 10-8 · (p/ni) · exp(–1.6/kT) for T < 1100 K 6-10

DMg = 6.1 x 10-2 · (p/ni) · exp(–2.8/kT) for T > 1100 K 6-11

The diffusivity of Zn atoms in GaAs is proportional to the second power of the hole concentration asshown by the following expression:

DZn = 1.2 x 102 (p/ni)2 · exp(– 3.9/kT) 6-12

In the above diffusivity, the electric field effect is taken into account for all of the acceptor dopants.These diffusion coefficients have been validated for ion implanted acceptor impurities in GaAs. Themaximal solid solubility of impurities in GaAs is assumed to be 1019 cm-2.

Ion Implantation ModelsFLASH ion implant models are the same as those for SSUPREM4 but are modified to treat compoundsemiconductor materials. Please refer to the SSUPREM4 models chapter for a complete description ofthe FLASH ion implant capabilities.

FLASH is provided with a set of moments tables for the impurities most commonly used in GaAsprocessing. These tables are located in a file that is included in the installation directory.

DBe 2.1 106–

p ni⁄( ) 0.7– kT⁄( )exp⋅ ⋅×=

Page 244: athena_users

ATHENA User’s Manual

6-4 SILVACO International

[This page intentionally left blank]

Page 245: athena_users

Chapter 7:Statements

SILVACO International 7-1

IntroductionATHENA executes a file that describes the process, meshing, and models to be used in a simulation.The contents of the file are statements, each of which prompts an action or sets a characteristic of thesimulation. This chapter is a reference to the command language that can be used to control ATHENA.

Throughout this manual, we will refer to commands, statements, and parameters. A line in an inputfile is referred to as a statement (or statement line).

An ATHENA statement is specified in the general format

<COMMAND> <PARAMETERS>=<VALUE>

where <COMMAND> is the command name, <PARAMETER> is the parameter name, and <VALUE> is theparameter value. Four types of parameters are used in ATHENA — Real, Integer, Logical, andCharacter. The space character is used to separate parameters from a command or from otherparameters.

Any parameter which does not have a logical value must be specified in the form PARAM=VAL wherePARAM is the name of the parameter and VAL is the value of the parameter. Boolean parameters mustbe separated from other parameters or commands with a space.

For example, in the statement line:

DEPOSIT NITRIDE THICK=0.35

the NITRIDE parameter has a Boolean value (true) and the THICK parameter has a value of 0.35(real).

Many parameters are provided default values. If a parameter is not specified, its default value will beused. Table 7-1 explains the different types of parameters which may be used when preparing anATHENA input deck. The command language of ATHENA is not case sensitive, and may be entered usingeither upper case or lower case letters.

AbbreviationsIt is not always necessary to input the entire statement or parameter name. ATHENA requires only thatyou input enough letters to distinguish that command or parameter from other commands orparameters. For example, DEPO may be used to indicate the DEPOSIT command.

Table 7-1. Types of Parameters

Parameter Type

DescriptionValue Required

Example

Character An alphabetic, alphanumeric, or numeric string

Yes OUTFILE=MOS.STR

Integer Any whole number Yes COLOR=3

Boolean A true or false condition No OXIDE or OXIDE=f

Real Any real number Yes conc=1.5e14

Page 246: athena_users

ATHENA User’s Manual Statements

7-2 SILVACO International

Continuation LinesSince it may be necessary for a statement line to contain more than 256 characters, ATHENA allowsthe user to specify continuation lines. If a statement line ends with a backslash (\), the next line willbe interpreted as a continuation of the previous line.

CommentsComments are indicated by a number sign (#). All characters on a line which follow a commentindicator (#) will not be processed by ATHENA. The $ symbol was supported in older versions. The $should be avoided for use as a comment character since it is used as part of shell capabilities includedin DeckBuild.

General Syntax DescriptionAn ATHENA statement is a sequence of words starting with a statement name and followed by someor all of the statement’s parameters. This manual describes the syntax for each statement in thefollowing way:

STATEMENT NAME

DESCRIPTION OF PARAMETER 1

DESCRIPTION OF PARAMETER 2 . . .

Parameters are described in the following form:

PARAM=<n>... a real valued parameter

PARAM=<c>... a string valued parameter

PARAM ... a Boolean parameter

Boolean parameters are those that recognize the Boolean values TRUE and FALSE as valid values. InATHENA, Boolean parameter values are automatically set to true if the name of the Booleanparameter appears by itself in a statement. A Boolean parameter can be set to false using the syntax:PARAM=FALSE or PARAM=F.

A mutually exclusive choice among parameters is indicated by parentheses around the parametersand vertical bars between each parameter (PAR1|PAR2). Only one parameter in such a group may bespecified at a time. Specifying more than one parameter in a mutually exclusive group is an invalidoperation and will generally prompt a warning or error message.

Parameters that are optional to a statement are enclosed by brackets [ ]. Most parameters areassigned default values and so may be treated as optional. However, all parameters and parametervalues should be checked in the context of the actual process that will be simulated before relying onthe results of any simulation.

String-valued parameters can be specified as a single word, e.g., INFILE=FILE1, or as a sequence ofwords surrounded by double quotes, e.g., TITLE="3D BORON PLOT". Real-valued parameters can bespecified as expressions involving numbers, numerical constants, the operators +, -, *, /, and thefunctions listed in Table 7-2. If an expression contains spaces then it should be enclosed inparentheses.

Page 247: athena_users

Statements Statements

SILVACO International 7-3

Examples

PAR1=<n>

PAR1 is a required numeric valued option.

PAR1=( 4.0 * EXP( -2.0 / (8.62E-5 * 1173.0) ) )

PAR1 is a required numeric valued option, assigned a real number expression.

[PAR2=<c>]

PAR2 is an optional character variable.

See Also: SET and EXTRACT in the VWF Interactive Tools Manual for further examples of expressions.

DeckBuild StatementsDECKBUILD supports several statements (SET, EXTRACT, GO, SYSTEM, SOURCE, TONYPLOT) thatcan be included within the body of an ATHENA input file. Documentation on these commands isavailable in the VWF Interactive Tools Manual Volume I.

Table 7-2. Functions

Function Description

abs5 absolute value

active active portion of the specified dopant

erf error function

erfc complimentary error function

exp exponential

gradx computes the approximate gradient in the x direction

grady computes the approximate gradient in the y direction

log logarithm

log10 logarithm base 10

mat1@mat2 returns the y value of the interface between mat1 and mat2 along a vertical slice at the given location

mat1|mat2 returns the x value of the interface between mat1 and mat2 along a horizontal slice at the given location

scales scales the value given by the maximum value

sqrt square root

xfn takes y and z and finds a matching x

yfn takes x and z and finds a matching y

zfn takes x and y and finds a matching z

Page 248: athena_users

ATHENA User’s Manual Statements

7-4 SILVACO International

Command Line ParsingAthena does support expressions on the command line. For example the following can be used:

IMPLANT DOSE=4.0e13*1.2 ENERGY=30

DIFFUSE TIME=10/60 TEMP=1000

Care should be taken to use parentheses as the precedence of arithmetic operators as in programminglanguages is not guaranteed in all cases

ATHENA Statements ListThis chapter contains a complete description (in alphabetical order) of every statement used by any ofthe ATHENA products. The following documentation is provided for each statement:

• The statement name

• A list of all of the parameters of the statement and their type

• A description of each parameter or group of similar parameters

• An example of the correct usage of each statement

The ATHENA command language encompassed by this document describes each of the modules ofATHENA, namely: ELITE, FLASH, OPTOLITH, SSUPREM4 and their submodules. Depending on which ofthe ATHENA modules have been purchased, some of the capabilities described herein may not beavailable as part of the ATHENA installation.

Note: An error message will be generated if you attempt to specify a statement or parameter for amodule of ATHENA that you have not licensed.

The following list provides a brief description of ATHENA statements and their use.

Structure Initialization Statements

These statements define the dimensions, boundary conditions, grid density, and material type of theinitial structure. Typically, only LINE and INITIALIZE statements are required.

• BOUNDARY specifies which lines in a rectangular grid are exposed to gas.

• INITIALIZE sets up the initial grid and specifies background doping concentrations and materialtype.

• LINE specifies the positioning of x and y grid lines for a rectangular mesh.

• REGION specifies corresponding sections of the rectangular mesh and material.

Structure Manipulation Statements

These statements manipulate the geometry or attributes of the structure or create output files.

• ADAPT.MESH allows restructuring of the mesh based on impurity profiles.

• ELECTRODE names electrode regions.

• PROFILE causes ATHENA to read in an ASCII file of depth and doping data.

• RELAX loosens the grid within a user-specified area.

• STRETCH allows changes in structure geometry by stretching at a horizontal or vertical line.

Page 249: athena_users

Statements Statements

SILVACO International 7-5

• STRUCTURE allows you to write mesh and solution values. This is the main output statementfor generating program data to be plotted.

Simulation Statements

These statements apply physically based models for processing operations to the structure.

• BAKE performs post-exposure or post-development photoresist bake.

• DEPOSIT deposits a material layer.

• DEVELOP performs photoresist development.

• DIFFUSE performs a time/temperature step on the wafer and calculates oxidation and diffusionof impurities.

• EPITAXY performs high temperature silicon epitaxial growth.

• ETCH performs a geometric or machine type etch on the structure.

• EXPOSE models photoresist exposure.

• IMAGE calculates a 2D or 1D aerial image.

• IMPLANT models ion implantation.

• MASK performs photoresist deposition and etching via the MASKVIEWS interface.

• POLISH simulates chemical mechanical polishing in the ELITE module.

• STRESS computes the thermal elastic stresses.

• STRIP removes photoresist or another user specified material.

Model Statements

These statements are used to change model parameters and coefficients. The parameters are describedin the statement descriptions. Upon start-up, ATHENA executes the model statements in the filenamed athenamod located in the $SILVACO/lib/athena subdirectory corresponding to the versionnumber and system type of ATHENA that you are running. This file contains the default parametersfor most model commands.

• ABERRATION defines the aberrations in the optical system.

• ADAPT.PAR sets the coefficients for the Adaptive Meshing module.

• BASE.PAR defines adjacent mesh characteristics of an automated base mesh.

• GRID.MODEL defines a template file dontaining adaptive meshing commands.

• ILLUMINATION describes the photolithographic illuminating system.

• ILLUM.FILTER defines filters used in the illumination source for photolithography.

• IMPURITY sets the coefficients of impurity kinetics.

• INTERSTITIAL sets the coefficients of interstitial kinetics.

• LAYOUT describes the mask reticle for imaging.

• MATERIAL sets the coefficients of various materials.

• METHOD sets the numerical options or models for solving the equations.

• MOMENTS specifies moments for Pearson implant model.

• OPTICAL specifies the coefficients of reflection and refraction.

• OXIDE specifies oxidation coefficients.

• PROJECTION defines the photolithographic projection system.

Page 250: athena_users

ATHENA User’s Manual Statements

7-6 SILVACO International

• PUPIL.FILTER defines filters in the pupil plane.

• RATE.DEPO specifies deposition rates for machine type deposits.

• RATE.DEVELOP specifies development rates and other photoresist parameters.

• RATE.ETCH specifies the etch rate for machine etches.

• RATE.POLISH specifies polishing parameters for definition of a polishing machine.

• SILICIDE sets the coefficients for silicidation reactions.

• TRAP sets the coefficients of trap kinetics.

• VACANCY sets the coefficients of vacancy kinetics.

Special Statements

These statements invoke special operations when run under DECKBUILD. For more information onthese statements, refer to the VWF INTERACTIVE TOOLS manual.

• EXTRACT extracts parameters.

• GO indicates interfacing between simulators.

• TONYPLOT creates a plot using TONYPLOT.

• SET sets the value of a user-defined variable.

• MASK defines photoresist masks on the current structure.

• AUTOELECTRODE defines layout-based electrodes.

Post-processing Statements

Starting from version 4.0, all internal plotting capabilities of former SUPREM-IV have been eliminated.Enhanced superior functionalitites are available through TonyPlot and after VWF INTERACTIVE TOOLs.Only the following two post-processing statements remain .

• PRINT.1D is used to print the values (data points and profile information).

• SELECT allows a variable to be chosen as the z coordinate for the PRINT.ID command to follow.

Page 251: athena_users

ABERRATION Statements Statements

SILVACO International 7-7

ABERRATIONABERRATION— defines aberrations of the optical projection system.

SyntaxABERRATION [X.FIELD=<n>][Z.FIELD=<n>] [SPHERICAL=<n>][COMA=<n>]

[ASTIGMATISM=<n>][CURVATURE=<n>][DISTORTION=<n>]

[FIFTH|SEVENTH|NINTH]

C1=<n>][C2=<n>][C3=<n>][C4=<n>][C5=<n>]

[C6=<n>][C7=<n>][C8=<n>][C9=<n>][C10=<n>][C11=<n>]

[C12=<n>][C13=<n>][C14=<n>][C15=<n>][C16=<n>][C17=<n>]

[C18=<n>][C19=<n>][C20=<n>]

DescriptionABERRATION specifies the aberration coefficients in the power series expansion of the waveaberration function. Each coefficient is entered in fractions of a wavelength in the range 0 ≤ C ≤ 0.5.

X.FIELD and Z.FIELD define or change the position in the image field for which the irradiancedistribution is to be computed. Note that the position is expressed in fractional field coordinates, sothat the values for the x and z directions vary between -1.0 and 1.0.

SPHERICAL specifies 0C40, the amount of third order spherical aberration present in the powerseries expansion of the wave aberration function of the optical projector.

COMA specifies 1C31, the amount of third order coma present in the power series expansion of theoptical projector.

ASTIGMATISM specifies 2C22, the amount of third order astigmatism present in the power seriesexpansion of the optical projector.

CURVATURE specifies 2C20, the amount of third order field curvature present in the power seriesexpansion of the optical projector.

DISTORTION specifies 3C11, the amount of third order distortion present in the power seriesexpansion of the optical projector.

FIFTH, SEVENTH, and NINTH specify the aberration order. Coefficients for only one aberrationorder can be specified on a single statement.

C1, C2, C3, C4, C5, C6, C7, C8, C9, C10, C11, C12, C13, C14, C15, C16, C17, C18, C19, and C20 aredescribed in Table 7-3. Coefficients for fifth, seventh, and ninth order aberrations must be entered inseparate ABERRATION commands for each order. Each of these parameters represents a particularaberration coefficient depending on the order specified by parameters FIFTH, SEVENTH, or NINTH.

Page 252: athena_users

ATHENA User’s Manual ABERRATION Statements

7-8 SILVACO International

ExamplesIf high order aberrations are to be studied they must be entered on a separate command line for eachorder.

ABERRATION X.FIELD=.5 SPHERICAL=.25

ABERRATION FIFTH C1=.25 C2=.5

ABERRATION SEVENTH C1=.3 C4=.4

See also: IMAGE, ILLUMINATION, PROJECTION, ILLUM.FILTER, PUPIL.FILTER, LAYOUT

Table 7-3. Aberration Coefficients

Parameter Fifth Seventh Ninth

C1 4C20 6C20 8C20

C2 2C40 4C40 6C40

C3 0C60 2C60 4C60

C4 5C11 0C80 2C80

C5 3C31 7C11 0C100

C6 1C51 5C31 9C11

C7 4C22 3C51 7C31

C8 2C42 1C71 5C51

C9 3C33 6C22 3C71

C103C31 4C42 1C91

C11 2C62 8C22

C12 5C33 6C42

C13 3C53 4C62

C14 4C44 2C82

C15 7C33

C16 5C53

C17 3C73

C18 6C44

C19 4C64

C20 5C55

Page 253: athena_users

ADEPT.MESH Statements Statements

SILVACO International 7-9

ADAPT.MESHADAPT.MESH — runs the adaptive meshing algorithm.

SyntaxADAPT.MESH [SILICON|OXIDE|OXYNITRIDE|NITRIDE|TUNGSTEN|TITANIUM|

PLATINUM|WSIX|TISIX|PTSIX|POLYSILICON|GAAS|GAS|ALGAAS|INGAAS|SIGE

INP|MATERIAL=<c>]

[/SILICON|/GAAS|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|

/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAS|/ALGAAS|/INGAAS|

/SIGE|/INP|/MATERIAL=<c>]

[SMOOTH][SMTH.COUNT=<n>][ADAPT][ADAPT.COUNT=<n>][ADD.I.LINE=<n>]

DescriptionADAPT.MESH runs the adaptive meshing algorithm or the smoothing algorithm in standalone mode.

SMOOTH flag to do mesh smoothing.

SMTH.COUNT specifies the number of smooth loops during the smooth operation, (default 1).

ADAPT flag to do stand alone mesh adapting. Specifies that a stand alone adaptive meshing stepshould be performed to refine or relax the current mesh based on the material/impurity specificationgiven on ADAPT.PAR command, (default false).

ADAPT.COUNT specifies the number of adapting loops during the stand alone adaptive meshingoperation, (default 1).

ADD.I.LINE depth of the shadow interface mesh line in microns. The mesh line is to be added at theinterface between two materials as defined by the booleans MATERIAL1 and /MATERIAL2. The line isadded in MATERIAL1 a distance ADD.I.LINE from /MATERIAL2.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, GAS, PHOTORESIST,BARRIER, ALUMINUM, TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS,ALGAAS, INGAAS, SIGE, INP and MATERIAL are used to specify MATERIAL1 for ADD.I.LINE..

/SILICON, /OXIDE, /OXYNITRIDE, /NITRIDE, /POLYSILICON, /GAS, /PHOTORESIST, /BARRIER, /ALUMINUM, /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /GAAS, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL are used to specify /MATERIAL2 forADD.I.LINE..

Page 254: athena_users

ATHENA User’s Manual ABERRATION Statements

7-10 SILVACO International

ExamplesThe following statement will add a set of lines close to the silicon/silicon dioxide interface. For this towork the existing grid spacing at the interface must be greater than 0.005 microns. Note that since thelines are added only between existing mesh lines and the interface the lines must be specified in thisorder (ie. getting closer to the surface)

ADAPT.MESH SILICON /OXIDE ADD.I.LINE=0.005

ADAPT.MESH SILICON /OXIDE ADD.I.LINE=0.001

ADAPT.MESH SILICON /OXIDE ADD.I.LINE=0.0005

ADAPT.MESH SILICON /OXIDE ADD.I.LINE=0.0001

See also: ADAPT.PAR, METHOD

Page 255: athena_users

ADAPT.PAR Statements Statements

SILVACO International 7-11

ADAPT.PARADAPT.PAR — controls the adaptive meshing algorithm.

SyntaxADAPT.PAR [SILICON][OXIDE][OXYNITRIDE][NITRIDE][POLYSILICON][PHOTORESIST

BARRIER][ALUMINUM][TUNGSTEN][TITANIUM][PLATINUM][WSIX][TISIX][PTSIX]

[GAAS][ALGAAS][INGAAS][SIGE][INP][MATERIAL=<c>]

[I.ARSENIC][I.PHOSPHOR][I.BORON][I.ANTIMONY][I.BF2][I.INTERST]

[I.VACANCY][I.SILICON][I.GERMANIUM][I.ZINC][I.SELENIUM][I.BERYLLIUM]

[I.MAGNESIUM][I.CHROMIUM][I.ALUMINUM][I.GOLD][I.GALLIUM][I.CARBON]

[I.DRYO2][I.WETO2][MAX.ERR=<n>][MIN.ERR=<n>][CONC.MIN=<n>][AREA.MIN=<n>][AREA.MAX=<n>]

[EDGE.MIN=<n>][EDGE.MAX=<n>][MIN.ADD=<n>][DISABLE]

[MAX.POINT10=<n>][MAX.LOOP=<n>][IMPL.SMOOTH][DIFF.SMOOTH]

[PAR.AUTO][IMPL.SUB] ADAPT.PAR [DOSE.ERR=<n>] [DOSE.MIN=<n>]

[DIFF.LENGTH=<n>] [ANISOTROPIC]

DescriptionADAPT.PAR runs the adaptive meshing algorithm.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, PHOTORESIST, BARRIER,ALUMINUM, TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS,INGAAS, SIGE, INP and MATERIAL specify the material regions to be adapted on. This may be oneor several materials at a time. The MATERIAL=<c> parameter should only be used for user-definedmaterials.

I.ARSENIC, I.PHOSPHOR, I.BORON, I.ANTIMONY, I.BF2, I.INTERST, I.VACANCY,I.SILICON, I.GERMANIUM, I.ZINC, I.SELENIUM, I.BERYLLIUM, I.MAGNESIUM,I.CHROMIUM, I.ALUMINUM, I.GOLD, I.GALLIUM, I.CARBON, I.DRYO2, I.WETO2 specifythe impurities to be adapted on. This may be one or several impurities at a time.

DISABLE specifies that the materials/impurities combinations given are disabled to be effective onmesh adapting or smoothing, (default false).

MAX.ERR specifies the maximum error allowable before adding points to the mesh, (unitless). Errorcalculated above this value cause points to be added.

MIN.ERR specifies the minimum error below which points may be deleted from the mesh, (unitless).Error calculated below this value cause points to be removed. Both MAX.ERR and MIN.ERR arecalculated using the Bank-Weiser error estimator.

CONC.MIN specifies the minimum impurity concentration below which adapting will stop, (unit 1.0/cm3).

AREA.MIN specifies the minimum triangle area below which adding points will stop, (units cm2).

AREA.MAX specifies the maximum triangle area below which deleting points will stop, (units cm2).Default 1.0e-11.

EDGE.MIN specifies the minimum edge length below which adding points will stop, (units cm).

EDGE.MAX specifies the maximum edge length below which deleting points will stop, (units cm).

Page 256: athena_users

ATHENA User’s Manual ADAPT.PAR Statement

7-12 SILVACO International

MIN.ADD percent criteria to turn off implant adapt loop. MIN.ADD stops point addition in IMPLANTwhen the number of points added in the current loop is less than MIN.ADD * (total number of points).The default value for MIN.ADD = 0.05.

MAX.POINT specifies the maximum number of points above which adapting will stop, (default20000).

MAX.LOOP specifies the maximum loop count above which adapting will stop, effective only withimplant, (default 20).

IMPL.SMOOTH specifies which annealing algorithm to use after each adaption step, currentlyIMPL.SMOOTH = 0 corresponds to no annealing during IMPLANT. IMPL.SMOOTH = 1 corresponds toLaplacian smoothing and dose conservation interpolation algorithm. The default is IMPL.SMOOTH=1.

DIFF.SMOOTH specifies which annealing algorithm to use after each adaption step, currentlyDIFF.SMOOTH = 0 corresponds to no annealing during DIFFUSE. DIFF.SMOOTH = 1 corresponds toLaplacian smoothing and dose conservation interpolation algorithm. The default is DIFF.SMOOTH=0.

IMPL.SUB flag to do grid subtracting in implant adapt. IMPL.SUB is a boolean flag that stops pointremoval during IMPLANT adaptive meshing. The default value for IMPL.SUB = false signifies thatpoints are not being removed.

DOSE.ERR specifies dose error for the refinement/unrefinement.

DOSE.MIN specifies minimum of dose level for grid refinement during adaptation.

DIFF.LENGTH used to limit the activity of adaptation of grid during the simulation of dopantdiffusion. This parameter will allow the mesh to adapt only after a given diffusion length for a givendopant and will override any other adaptation triggers based upon gradient error estimates.

This is a useful control to limit the number of time steps.

ANISOTROPIC is the flag used to maintain the mesh to be anisotropic. The flag is materialdependent.

ExamplesThe following is an example of setting the adaptive meshing parameters during diffusion for Boron.

IMPLANT BORON DOSE=1E15 ENERGY=60

ADAPT.PAR DIFF.LEN=0.1 SILICON I.BORON

DIFFUSE TEMP=1000 TIME=100 NITROGEN

See also: ADAPT.MESH, METHOD

Page 257: athena_users

ANTIMONY Statements Statements

SILVACO International 7-13

ANTIMONYANTIMONY — sets the coefficients of antimony diffusion and segregation.

Note: This statement is obsolete. The IMPURITY statement with the I.ANTIMONY parametershould be used instead

SyntaxANTIMONY SILICON|OXIDE|OXYNITRIDE|NITRIDE|TUNGSTEN|TITANIUM|

PLATINUM|WSIX|TISIX|PTSIX|POLYSILICON|GAAS|GAS|ALGAAS|INGAAS|SIGE|

INP|MATERIAL=<c> [DIX.0=<n>]

[DIX.E=<n>][DIM.0=<n>][DIM.E=<n>

[FI=<n>][SS.CLEAR][SS.TEMP=<n>][SS.CONC=<n>]

[/SILICON|/GAAS|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO

/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAS|/ALGAAS|/INGAAS|

/SIGE|/INP|/MATERIAL=<c>]

[SEG.0=<n>][SEG.E=<n>][TRN.0=<n>][TRN.E=<n>][GB.DIX.0=<n>]

[GB.DIX.E=<n>][GB.SEG.0=<n>][GB.SEG.E=<n>][GB.TAU=<n>]

[DONOR|ACCEPTOR]

DescriptionSILICON, OXIDE, OXYNITRIDE, NITRIDE, ,GAAS, GAS, POLYSILICON, TUNGSTEN,TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS, INGAAS, SIGE, INP andMATERIAL specify the material for which the impurity coefficients will be set.The MATERIAL=<c>parameter should only be used for user-defined materials.

Only one material parameter may be specified per statement, and that statement will apply only inthe material listed. The string parameter MATERIAL allows the user to select a user-defined material.The material parameter is used to indicate the first material for defining interface parameters such assegregation and transport. The material parameter can also be used to select a material to which bulkparameters such as diffusion coefficients will apply.

DONOR or ACCEPTOR specify the nature of the impurity in the given material.

DIX.0 and DIX.E specify the diffusion coefficient for antimony diffusing with neutral defects. DIX.0 isthe pre-exponential constant and DIX.E is the activation energy.

DIM.0 and DIM.E specify the diffusion coefficient for antimony diffusing with single negative defects.DIM.0 is the pre-exponential constant, and DIM.E is the activation energy.

FI is the fractional interstitialcy parameter which determines whether antimony diffuses throughinteraction with interstitials or vacancies. The value of this parameter can vary between 0 and 1. Avalue of 1 corresponds to movement only via interstitials and a value of 0 corresponds to movement viaa vacancy mechanism.

SS.CLEAR clears the currently stored solid solubility data.

Page 258: athena_users

ATHENA User’s Manual ANTIMONY Statement

7-14 SILVACO International

SS.TEMP and SS.CONC add a single temperature and an associated solid solubility concentrationpoint to those already stored.

/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO, /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL specify material 2. The string parameter /MATERIAL allows the user to select a user-defined material for material 2. Material 2 is used to indicate the second material for defininginterface parameters such as segregation and transport coefficients.

SEG.0 and SEG.E specify the equilibrium segregation coefficients SEG.0 is the pre-exponential andSEG.E is the activation energy.

TRN.0 and TRN.E allow the specification of the transport velocity across a given interface. TRN.0 isthe pre-exponential and TRN.E is the activation energy.

GB.DIX.0 and GB.DIX.E specify grain boundary parameters for the advanced polysilicon diffusionmodel. GB.DIX.0 is the pre-exponential factor of grain boundary diffusivity, and GB.DIX.E is theactivation energy for grain boundary diffusivity (eV).

GB.SEG.0 specifies entropy factor of the grain boundary segregation coefficient used in the advancedpolysilicon diffusion model.

GB.SEG.E specifies the activation energy of grain boundary segregation coeficient used in theadvanced polysilicon diffusion model.

GB.TAU specifies the grain boundary time constant used in the advanced polysilicon diffusion model.

Examples

Note: The IMPURITY statement is the preferred statement for setting the coefficients for antimony. Itprovides all the capabilities of the ANTIMONY statement.

The following statement changes the neutral defect diffusivity component of antimony diffusivity insilicon.

IMPURITY I.ANTIMONY SILICON DIX.0=0.214 DIX.E=3.65

The following statement changes the segregation parameters at the silicon-silicon dioxide interface.The concentration of antimony in silicon will be 30.0 times the concentration of antimony in oxide atequilibrium.

IMPURITY I.ANTIMONY SILICON /OXIDE SEG.0=30.0 TRN.0=1.66E-7

The following statement specifies the neutral defect component of antimony diffusivity in a user-defined material called DRY_OXIDE.

IMPURITY I.ANTIMONY MATERIAL=DRY_OXIDE DIX.0=0.214 DIX.E=3.65

See Also: IMPURITY, INTERSITITIAL, VACANCY

Page 259: athena_users

ARSENIC Statement Statements

SILVACO International 7-15

ARSENICARSENIC — sets the coefficients of arsenic diffusion and segregation.

Note: This statement is obsolete. The IMPURITY statement with I.ARSENIC parameter should beused instead

SyntaxARSENICSILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|

TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAAS

GAS|ALGAAS|INGAAS|SIGE|INP

MATERIAL=<c>[DIX.0=<n>][DIX.E=<n>][DIM.0=<n>]

[DIM.E=<n>][FI=<n>][CTN.0=<n>][CTN.E=<n>][/SILICON|/GAAS|

/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|/TUNGSTEN|

/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAS|

/ALGAAS|/INGAAS|/SIGE|/INP|/MATERIAL=<c>]<

[SEG.0=<n>][SEG.E=<n>][TRN.0=<n>][TRN.E=<n>][GB.DIX.0=<n>]

[GB.DIX.E=<n>][GB.SEG.0=<n>][GB.SEG.E=<n>][GB.TAU=<n>]

[DONOR|ACCEPTOR]

DescriptionSILICON, OXIDE, OXYNITRIDE, NITRIDE, GAAS, GAS, POLYSILICO,TUNGSTEN,TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIALspecify the material for which the impurity coefficients will be set.

DONOR or ACCEPTOR specify the nature of the impurity in the given material.

FI is the fractional interstitialcy parameter which determines whether arsenic diffuses throughinteraction with interstitials or vacancies. The value of this parameter can vary between 0 and 1. Avalue of 1 corresponds to movement only via interstitials and a value of 0 corresponds to movement viaa vacancy mechanism.

CTN.0 and CTN.E specify the clustering coefficients for arsenic. CTN.0 is the pre-exponentialcoefficient and CTN.E is the activation energy.

/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL specify material 2. The string parameter /MATERIAL allows the user to select a user-defined material for material 2. Material 2 is used to indicate the second material for defininginterface parameters such as segregation and transport coefficients.

SEG.0 and SEG.E specify the equilibrium segregation coefficients. SEG.0 is the pre-exponential andSEG.E is the activation energy.

TRN.0 and TRN.E allow the specification of the transport velocity across a given interface. TRN.0 isthe pre-exponential and TRN.E is the activation energy.

Page 260: athena_users

ATHENA User’s Manual ARSENIC Statement

7-16 SILVACO International

GB.DIX.0 and GB.DIX.E specify grain boundary parameters for the advanced polysilicon diffusionmodel. GB.DIX.0 is the pre-exponential factor of grain boundary diffusivity, and FGB.DIX.E is theactivation energy for grain boundary diffusivity (eV).

GB.SEG.0 specifies entropy factor of grain boundary segregation coefficient used in the advancedpolysilicon diffusion model.

GB.SEG.E specifies the activation energy of grain boundary segregation coeficient used in theadvanced polysilicon diffusion model.

GB.TAU specifies the grain boundary time constant used in the advanced polysilicon diffusion model.

Examples

Note: The IMPURITY statement is the preferred statement for setting the coefficients for arsenic. Itprovides all the capabilities of the ARSENIC statement.

The following statement changes the neutral defect diffusivity component of arsenic in silicon.

IMPURITY I.ARSENIC SILICON DIX.0=8.0 DIX.E=4.05

The following statement changes the segregation parameters at the Silicon-Silicon Dioxide interface.The concentration of arsenic in silicon will be 30.0 times the concentration of arsenic in oxide atequilibrium.

IMPURITY I.ARSENIC SILICON /OXIDE SEG.0=30.0 TRN.0=1.66E-7

The following statement specifies the neutral defect component of arsenic diffusivity in a user-definedmaterial called DRY_OXIDE.

IMPURITY I.ARSENIC MATERIAL=DRY_OXIDE DIX.0=0.214 DIX.E=3.65

See Also: IMPURITY, INTERSITITIAL, VACANCY

Page 261: athena_users

BAKE Statement Statements

SILVACO International 7-17

BAKEBAKE — runs a photoresist bake process. This statement is applied to both pre-exposure and post-exposure bakes.

SyntaxBAKE [DIFF.LENGTH=<n>]

TEMERATURE=<n>][TIME=<n>][REFLOW][DUMP=<n>][DUMP.PREFIX=<c>] [MOVIE=<c>]

DescriptionThis command runs a bake process using the diffusion length as the parameter that incorporates thebake temperature and bake time.

DIFF.LENGTH specifies the diffusion length for the post exposure bake. Default is 0.05 micrometers

REFLOW specifies that material flow should be calculated during the bake.

TEMPERATURE specifies the temperature of the bake in oC.

TIME specifies the time of the bake in seconds.

DUMP and DUMP.PREFIX specify that a structure file be output at every DUMPth time step. Thefiles are readable with the STRUCTURE statement or can be displayed using TonyPlot. The names willbe of the form DUMP.PREFIX<time>.str, where <time> is the current total time of the simulation.

ExamplesThe BAKE command is entered with the user-specified diffusion length for post exposure bake:

BAKE DIFF.LENGTH=0.05

BAKE can also be entered with time and temperature parameters for post exposure bake:

BAKE TIME=45 TEMP=120

For photoresist reflow (post development bake) the above command is entered with the REFLOWparameter:

BAKE REFLOW TIME=45 TEMP=120

See also: DIFFUSE, RATE.DEVELOP

Page 262: athena_users

ATHENA User’s Manual BASE.MESH Statement

7-18 SILVACO International

BASE.MESHBASE.MESH — runs the base mesh for generation the initial grid.

SyntaxBASE.MESH [SURF.LY=<N>] [SURF.DY=<N>][ACTIVE.LY=<N>]

[ACTIVE.DY=<N>][EPI.LY=<N>][EPI.DY=<N>]

[SUB.LY=<N>][SUB.DY=<N>][BACK.LY=<N>] BACK.DY=<N>]

DescriptionThis command runs the base mesh for generation the initial grid. This is apply to the materialassigned in the INITIALIZE command.

SURF.LY specifies the location of surface surface. The default is y=0.0 µm.

SURF.DY specifies the local grid spacing in y-direction at SURF.LY.

ACTIVE.LY, EPI.LY, SUB.LY specifies another three base line location at some critical region of thedevice structure to be fabricated.

ACTIVE.DY, EPI.DY, SUB.DY specifies the local grid spacing at the ACTIVE.LY, EPI.LY,SUB.LY. The units are all in microns.

BACK.LY and BACK.DY are the location of bottom in the structure to be fabricated and the BACK.DYis the local grid spacing in the BACK.LY location.

ExamplesThe following example assigns the initial base line for the substrate materials. It places the base lineat y=1.0, y=2.0, y=10.0, with a local grid spacing of 0.01 µm, 0.5 µm, 1.0 µm and 10 µm separately. Thedevice dimension in the y-direction is specified as y.main=0. y=max=100. However, this depth is onlyfor reference. The real depth and width of the device structure will be assigned in the INITIALIZEcommand. These can be arbitrary.

BASE.MESH SURF.LY=0.0 SURF.DY=0.01\

ACTIVE.LY=1.0 ACTIVE.DY=0.5 EPI.LY=2.0 EPI.DY=1.0\

SUB.LY=10.0 SUB.DY=10.0 BACK.LY=500 BACK.DY=100

See also: BASE.MESH, INITIALIZE

Page 263: athena_users

BASE.PAR Statement Statements

SILVACO International 7-19

BASE.PARBASE.PAR — runs the base mesh for generating the initial grid.

SyntaxBASE.PAR [SILICON|OXIDE|OXYNITRIDE|NITRIDE|TUNGSTEN|TITANIUM|

PLATINUM|WSIX|TISIX|PTSIX|POLYSILICON|GAAS|INGAAS|SIGE|

INP|MATERIAL=<C>]

[GRAD.SPACE] [RATIO.BOX]

DescriptionSILICON, OXIDE, OXYNITRIDE, NITRIDE, TUNGSTEN, TITANIUM, PLATINUM, WSIX,TISIX PTSIX, POLYSILICON, GAAS, INGAAS, SIGE, INP and MATERIAL specify the materialregions to be applied with base mesh parameters. One or more materials may be specified at a giventime.

GRAD.SPACE specifies the gradient of the adjacent grid spacing in the y-direction of this material(default 1.5 ).

RATIO.BOX specifies the approximate apsect ratio of triangle element after base mesh generation inthis material (default 2 ).

ExamplesThe following example generates a good quality base mesh for each related material region.

BASE.PAR OXIDE GRAD.SPACE=5 RATIO.BOX=2

BASE.PAR SILICON GRAD.SPACE=1.5 RATIO.BOX=2

BASE.PAR POLYSILICON GRAD.SPACE=5 RATIO.BOX=2

BASE.PAR OXIDE GRAD.SPACE=5 RATIO.BOX=2

BASE.PAR SILICON GRAD.SPACE=1.5 RATIO.BOX=2

BASE.PAR POLYSILICON GRAD.SPACE=5 RATIO.BOX=2

See also: BASE.MESH

Page 264: athena_users

ATHENA User’s Manual BORON Statement

7-20 SILVACO International

BORONBORON — sets the coefficients of boron diffusion and segregation.

Note: This statement is obsolete. The IMPURITY statement with I.BORON parameter should be usedinstead

SyntaxBORON SILICON|OXIDE|OXYNITRIDE|NITRIDE|TUNGSTEN|

TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|POLYSILICON|GAAS|GAS

ALGAAS|INGAAS|SIGE|INP

MATERIAL=<c>[DIX.0=<n>][DIX.E=<n>][DIP.0=<n>][DIP.E=<n>]

[FI=<n>][SS.CLEAR][S.TEMP=<n>][SS.CONC=<n>]

[/SILICON|/GASS|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|

/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAS|

/ALGAAS|/INGAAS|/SIGE|/INP|/MATERIAL=<c>][SEG.0=<n>][SEG.E=<n>]

[TRN.0=<n>][TRN.E=<n>][GB.DIX.O=<n>][GB.DIX.E=<n>]

[GB.SEG.0=<n>][GB.SEG.E=<n>][GB.TAU=<n>]

[DONOR|ACCEPTOR]

DescriptionSILICON, OXIDE, OXYNITRIDE, NITRIDE, GASS, GAS, POLYSILICON, TUNGSTEN,TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIALspecify the material for which the impurity coefficients will be set.

Only one material parameter may be specified per statement, and that statement will apply only inthe material listed. The string parameter MATERIAL allows the user to select a user-defined material.The material parameter is used to indicate the first material for defining interface parameters such assegregation and transport. The material parameter can also be used to select a material to which bulkparameters such as diffusion coefficients will apply.

DONOR or ACCEPTOR specify the nature of the impurity in the given material.

DIX.0 and DIX.E specify the diffusion coefficient for boron diffusing with neutral defects. DIX.0 is thepre-exponential constant and DIX.E is the activation energy.

DIP.0 and DIP.E specify the diffusion coefficient for boron diffusing with single negative defects. DIP.0is the pre-exponential constant, and DIP.E is the activation energy.

FI is the fractional interstitialcy parameter. The value of this parameter determines whether borondiffuses through interaction with interstitials or vacancies.

SS.CLEAR clears the currently stored solid solubility data.

SS.TEMP and SS.CONC add a single temperature and an associated solid solubility concentrationpoint to those already stored.

/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO, /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL specify material 2. The string parameter /MATERIAL allows the user to select a user-

Page 265: athena_users

BORON Statement Statements

SILVACO International 7-21

defined material for material 2. Material 2 is used to indicate the second material for defininginterface parameters such as segregation and transport coefficients.

SEG.0 and SEG.E specify the equilibrium segregation coefficients. SEG.0 is the pre-exponential andSEG.E is the activation energy.

TRN.0 and TRN.E allow the specification of the transport velocity across a given interface. TRN.0 isthe pre-exponential and TRN.E is the activation energy.

GB.DIX.0 and GB.DIX.E specify grain boundary parameters for the advanced polysilicon diffusionmodel. GB.DIX.0 is the pre-exponential factor of grain boundary diffusivity, and GB.DIX.E is theactivation energy for grain boundary diffusivity (eV).

GB.SEG.0 specifies entropy factor of the grain boundary segregation coefficient used in the advancedpolysilicon diffusion model.

GB.SEG.E specifies the activation energy of grain boundary segregation coeficient used in theadvanced polysilicon diffusion model.

GB.TAU specifies the grain boundary time constant used in the advanced polysilicon diffusion model.

Examples

Note: The IMPURITY statement is the preferred statement for setting the coefficients for boron. Itprovides all the capabilities of the BORON statement.

The following statement changes the neutral defect diffusivity component of boron diffusivity insilicon.

IMPURITY I.BORON SILICON DIX.0=0.28 DIX.E=3.46

The following statement will change the segregation parameters at the Silicon-Silicon Dioxideinterface. The concentration of boron in silicon will be 30 times the concentration of boron in oxide atequilibrium.

IMPURITY I.BORON SILICON /OXIDE SEG.0=1126.0 SEG.E=0.91 \

TRN.0=1.66E-7

The following statement specifies the neutral defect component of boron diffusivity in a user-definedmaterial called dry-oxide.

IMPURITY I.BORON MATERIAL=DRY_OXIDE DIX.0=0.214 DIX.E=3.65

See Also: IMPURITY, INTERSITITIAL, VACANCY

Page 266: athena_users

ATHENA User’s Manual BOUNDARY Statement

7-22 SILVACO International

BOUNDARYBOUNDARY — specifies boundary conditions for the initial material.

Note: For most typical boundary conditions, ATHENA has defaults that eliminate the need forBOUNDARY statements. The BOUNDARY statement can be used to modify the treatment of the surfacesfor special purpose simulations.

SyntaxBOUNDARY REFLECTING|EXPOSED|BACKSIDE[XLO=<c>][YLO=<c>)]

[XHI=<c>][YHI=<c>]

Description Three boundary condition types are recognized.

• EXPOSED surfaces correspond to the top of the wafer. Only exposed surface have deposition oroxidation on top of them. A surface created by etching will also be exposed unless the ETCHNO.EXPOSE syntax is used.

• REFLECTING surfaces correspond to the sides of the device and are also applicable to thebackside as long as defects are not being simulated. All surfaces default to REFLECTING.

• BACKSIDE surfaces are physically identical to the reflecting surface with special meaning onlywith the PISCES format files generated by the STRUCTURE statement

XLO, YLO, XHI, and YHI set the bounds of the rectangle being specified. The value string should beone of the tags created in a preceding line statement.

ExamplesThe following lines define the top of the mesh to be an exposed surface and the bottom to be thebackside.

BOUNDARY EXPOSED XLO=LEFT XHI=RIGHT YLO=SURF YHI=SURF

BOUNDARY BACKSIDE XLO=LEFT XHI=RIGHT YLO=BACK YHI=BACK

See Also: REGION, INITIALIZE

Page 267: athena_users

CLUSTER Statement Statements

SILVACO International 7-23

CLUSTERCLUSTER —specifies the scaling of <311> clusters during a subsequent IMPLANT step and the timeconstant for the dissolution of clusters into free interstitials.

SyntaxCLUSTER [CLUST.FACT=<n>] [MIN.CLUST=<n>] [MAX.CLUST=<n>]

BORON | ANTIMONY | INDIUM | ARSENIC | PHOSPHORUS

SILICON TAU.311.0=<n> TAU.311.E=<n>

DescriptionThis command provides the scaling conditions used to describe a profile of <311> interstitial clustersgenerated by an IMPLANT statement.

Note: This command will only work if the <311> cluster model has been switched on prior to theIMPLANT step with the METHOD CLUSTER.DAM command.

MIN.CLUST and MAX.CLUST define two values of implanted dopant concentration. Clusters will beplaced between these two dopant concentration levels only. These parameters are used to control thescaled position of clusters during ion implantation.Typically MIN.CLUST is the background dopinglevel and MAX.CLUST is the dopant concentration required to amorphize the substrate

CLUST.FACT is used to scale the concentration of clustered interstitials to the dopant profile.

BORON, ANTIMONY, ARSENIC, PHOSPHORUS, INDIUM are the species used to scale theclusters.

TAU.311.0=<n> and TAU.311.E=<n> specifies the time constant in seconds for the dissolution ofclusters into free interstitials. TAU.311.0 is the pre-expoential linear coefficient and TAU.311.E isthe exponential coefficient used to control temperature dependence.

ExamplesThe following command introduces clusters during ion implantation. The clusters will have aneffective interstitial concentration of 1.4 times the concentration of implanted boron. The clusterswill lie in the region where Boron is between 1015 and 1019 cm-3.

METHOD CLUSTER.DAM

CLUSTER MIN.CLUS=1e15 MAX.CLUST=1e19 CLUST.FACT=1.4 BORON

IMPLANT DOSE=1e14 ENERGY=50 BORON

The example goes on to define the cluster dissolution time and a short thermal cycle. Results for eachtimestep of the diffusion cycle will be stored in files RTA_*

CLUSTER TAU.311.0=10 TAU.311.E=0.24

DIFFUSE TEMP=1000 TIME=10/60 NITRO DUMP=1 DUMP.PREF=RTA_

See Also: METHOD, DISLOC.LOOP, INTERSTITIAL, VACANCY

Page 268: athena_users

ATHENA User’s Manual %DEFINE Statement

7-24 SILVACO International

%DEFINE%DEFINE — defines strings for command line substitution.

Note: This statement is obsolete. The SET statement should be used instead. The SET statement issupported by all simulators inside DECKBUILD. See the VWF Interactive Tools Manual Vol I.

Syntax%DEFINE [<macro_name> <macro_body>]

Description%DEFINE is used for command line substitution. The label or title macro_name should be enteredinto a table with macro_body. Any time macro_name appears on a command line as a separate token,macro_body will be substituted. macro_name may also appear after a “$” which forces macrosubstitution. To concatenate another string to a macro, ${macro_name} should be used. Thisprocedure enables a string to be called at any time. It is similar to the UNIX csh unalias, except that itcan be used anywhere on a command line.

%DEFINE, without any arguments, lists the current definitions. To make a name null, use the %UNDEFstatement. To turn off all macros in a line, use the “%” character; a “%” turns off macro expansion fromthe “%” character to the end of a line.

ExamplesThe following statement is a redefinition that will substitute all future occurrences of the stringbounds with the list of “mins” and “maxs” that follow bounds.

%DEFINE BOUNDS XMIN=0.0 XMAX=5.0 YMIN=0.0 YMAX=20.0

The following statement allows you to exit ATHENA with a Q instead of typing the QUIT statement:

%DEFINE Q QUIT

If Q has been defined as in the preceding example (above), as a macro equal to QUIT, these threeexpressions of Q will be expanded:

ECHO ${Q} $Q Q

and the output will echo as follows:

QUIT QUIT QUIT

See Also: %UNDEF

Page 269: athena_users

DEPOSIT Statement Statements

SILVACO International 7-25

DEPOSITDEPOSIT— deposits a layer of material.

Note: Unless the ELITE module is used, all deposition steps in ATHENA are 100% conformal. Thismeans deposition on all surfaces with a step coverage of 1.0

SyntaxDEPOSIT [SILICON|GAAS|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|

TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|PHOTORESIST

ALGAAS|INGAAS|SIGE|INP

ALUMINUM|BARRIER][THICK=<n>][NAME.RESIST=<c>][MATERIAL=<c>]

[DIVISIONS=<n>][SPACES=<n>][DY=<n>][YDY=<n>][MIN.DY=<n>]

[C.ANTIMONY=<n>][C.ARSENIC=<n>]

[C.BORON=<n>][C.PHOSPHOR=<n>][C.SILICON=<n>][C.GOLD=<n>]

[C.GERMANIUM=<n>][C.ZINC=<n>][C.SELENIUM=<n>][C.BERYLLIUM=<n>]

[C.MAGNESIUM=<n>][C.CHROMIUM=<n>][C.ALUMINUM=<n>][C.GALLIUM=<n>]

[C.CARBON=<n>]

[MIN.SPACE=<n>][MACHINE=<c>][TIME=<n>]HOURS|MINUTES|SECONDS

[N.PARTICLE=<n>][OUTFILE=<c>][TEMPERATURE][C.FRAC=<n>][C.FINAL=<n>]

[SUBSTEPS=<n>]

DescriptionSILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, BARRIER,TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, PHOTORESIST, ALGAAS,INGAAS, SIGE, INP and MATERIAL specify the material to be deposited. The MATERIAL=<c>parameter should only be used for user-defined materials.

NAME.RESIST specifies the type of photoresist.

THICKNESS specifies the deposited layer thickness in microns.

Grid Control Parameters

DIVISIONS specifies the number of vertical grid spacings in the layer. In some cases it is important tocontrol the number of grid points in a conformally deposited layer since this also controls the accuracyof subsequent processes. SPACES is an alias for DIVISIONS.

Note: The default for DIVISIONS is 1. This will typically need to be increased for all deposition steps.If DIVISIONS is set too low to maintain grid integrity in a non-planar deposition ATHENA will attempt torecover by increasing DIVISIONS automatically. ATHENA will echo the number of DIVISIONS finally

Page 270: athena_users

ATHENA User’s Manual DEPOSIT Statement

7-26 SILVACO International

used to the run-time output. It is highly recommended that users edit the input file to include the numbercalculated by ATHENA.

MIN.SPACE specifies a minimum spacing between points on the surface of each sub-layer in microns.Increasing this parameter will reduce the number of points on arced deposited surfaces.

DY specifies the nominal spacing in the layer.Units are microns

YDY specifies the depth at which the nominal spacing will be applied. YDY is calculated relative to thetop of the newly deposited layer.

MIN.DY specifies the minimum spacing in microns allowed between grid lines in the y direction in thenew material. The default is 0.001 microns (10 Angstroms).

Parameters specific to Depositing Doped Layers

C.ANTIMONY, C.ARSENIC, C.BORON, C.PHOSPHOR, C.SILICON, C.GOLD,C.GERMANIUM, C.ZINC, C.SELENIUM, C.BERYLLIUM, C.MAGNESIUM, C.CHROMIUM,C.ALUMINUM, C.GALLIUM and C.CARBON specify the concentration of the impurity in thedeposited layer in cm-3. More than one of these parameters can be used in a single deposition line todefine materials doped with multiple impurities (eg. BPSG).

C.FRAC specifies the fractional components of the elements for compound materials.

C.FINAL used with C.FRAC to specify a linearly graded variation in the fractional components.

C.FRAC specifies the fractional component of the first element (i.e for AlGaAs Al is the firstcomponent) at the bottom of the deposit and C.FINAL specifies the fractional component of the firstelement at the top of the deposit. The fractional component of the second component (i.e. for ALGAASGa is the second component) is 1 - C.FRAC and 1 - C.FINAL.

Parameters specific to ELITE depositions

TIME the time the machine is to be run if performing deposit using an ELITE machine definition.

HOURS, MINUTES, and SECONDS specifies the units of the TIME parameter.

MACHINE is the name of the machine to be run for ELITE deposits.

TEMPERATURE is for modeling surface diffusion in ELITE deposits.

N.PARTICLE specifies the number of particle trajectories to calculate for the Monte Carlo depositmodel.

OUTFILE specifies the name of the file to be written with Monte Carlo particle positions.

SUBSTEPS specifies the number of timesteps made for each division of the deposit in the ELITEmodule.

Conformal Deposition Example

The following statement deposits a conformal layer of silicon dioxide, 1000 Angstroms thick, on thesurface of the simulation structure. It will contain 4 vertical grid points.

DEPOSIT OXIDE THICK=0.1 DIVISIONS=4

Page 271: athena_users

DEPOSIT Statement Statements

SILVACO International 7-27

Example Depositing Doped User-defined Material

The following deposits a layer of a user defined material BPSG doped with boron and phos phorus

DEPOSIT MATERIAL=BPSG THICKNESS=0.1 DIV=6 C.BORON=1e20 C.PHOS=1e20

Grid Control Example

The following statement deposits a conformal layer of silicon nitride with a thickness of 0.3µm. Thegrid spacing at the bottom of the layer is 0.01µm and the layer will include 10 vertical sublayers.

DEPOSIT NITRIDE THICK=0.3 DY=0.1 YDY=0.3 DIVIS=10

ELITE Machine Deposition Example

The following statements define a machine named MOCVD and use it to deposit tungsten with athickness of 0.1µm on planar areas and step coverage of 0.75.

RATE.DEPO MACHINE=MOCVD DEP.RATE=.1 u.m STEP.COV=.75 TUNGSTEN

DEPOSIT MACHINE=MOCVD TIME=1 MINUTE

See Also: RATE.DEPO

Page 272: athena_users

ATHENA User’s Manual DEVELOP Statement

7-28 SILVACO International

DEVELOPDEVELOP — runs the development module in OPTOLITH.

SyntaxDEVELOP [MACK|DILL|TREFONAS|HIRAI|KIM|EIB]

[DUMP=<n>][DUMP.PREFIX=<c>]

[TIME=<n>][STEPS=<n>][SUBSTEPS=<n>]

DescriptionThis command runs the development module and enables the use of the option to select a developmentmodel.

MACK, DILL, TREFONAS, HIRAI, KIM and EIB specify the development model to be used.

DUMP determines whether a structure is saved after each step of the development is completed.

DUMP.PREFIX specifies the prefix name for the structure file to be saved.

DUMP and DUMP.PREFIX specify that a structure file be output at every development step. Thenumber of steps will be equal to the number of output files. The files are readable with theSTRUCTURE statement or can be displayed using TONYPLOT. The names of the files will be of theform DUMP.PREFIX***.***.str. Where ** is the current development time.

TIME specifies the development time in seconds.

STEPS specifies the number of timesteps used in the development. The ETCH algorithm is called foreach step.

SUBSTEPS specifies the number of timesteps used between etches in the development.

TIME, STEPS, and SUBSTEPS are related parameters that control the string algorithm indevelopment. TIME is the total development time in seconds. STEPS gives the number of times ETCH isto be performed. SUBSTEPS controls string movement. Each substep or string movement has a timeduration of TIME/STEP*SUBSTEPS.

ExamplesThe following example example dumps out five structure files to show the evolution of developmentusing the KIM development model.

DEVELOP KIM DUMP=1 TIME=60 STEPS=5

See Also: RATE.DEVELOP

Page 273: athena_users

DIFFUSE Statement Statements

SILVACO International 7-29

DIFFUSEDIFFUSE — runs a time temperature step on the wafer and calculates oxidation, silicidation anddiffusion of impurities.

SyntaxDIFFUSE TIME=<n> TEMPERATURE=<n>

DRYO2|WETO2NITROGEN|AMMONIA|ARGON|

[F.02=<n>|F.H2=<n>|F.H20=<n>|F.N2=<n>|F.HCL=<n>]

[C.ANTIMONY=<n>][C.ARSENIC=<n>][C.BORON=<n>

[C.PHOSPHORUS=<n>][C.SILICON=<n>][C.GOLD=<n>][C.GERMANIUM=<n>

[C.ZINC=<n>][C.SELENIUM=<n>][C.BERYLLIUM=<n>][C.MAGNESIUM=<n>

[C.CHROMIUM=<n>][C.ALUMINUM=<n>][C.GALLIUM=<n>][C.CARBON=<n>]

[PRESSURE=<n>][CONTINUE]

[MOVIE=<c>][DUMP.PREFIX=<c>]

[T.FINAL=<n>][T.RATE=<n>][HCL.PC]

[NO.DIFF][DUMP][DUMP.PREFIX][REFLOW]

[P.DIF.COEF=<c>][AS.DIF.COEF=<c>][SB.DIF.COEF=<c>][B.DIF.COEF=<c>]

[I.DIF.COEF=<c>][V.DIF.COEF=<c>]

[P.SEG.CALC=<c>][AS.SEG.CALC=<c>][SB.SEG.CALC=<c>][B.SEG.CALC=<c>]

[P.ACT.CALC=<c>][AS.ACT.CALC=<c>][SB.ACT.CALC=<c>][B.ACT.CALC=<c>]

DescriptionThis command pecifies diffusion or oxidation steps. Any impurities present in the wafer are diffused. Ifthe wafer is exposed to a gas, a pre-deposition or oxidation can be performed.

The oxidation and diffusion parameters are contained in the associated METHOD or OXIDE statements.Default coefficients are in the ATHENAMOD file available from the Deckbuild Commands menu underModels... To change model coefficients, refer to the appropriate IMPURITY statement for information.

Parameter to define the Diffusion Cycle

TEMPERATURE specifies the furnace temperature, in oC. This temperature should fall within therange between 800 and 1200oC. Outside of this range, the diffusion coefficients may be inaccurate andnumerical difficulties may occur during simulation.

TIME specifies the amount of time for the diffusion step in minutes.

T.FINAL specifies the final temperature for ramped thermal steps.

T.RATE specifies the ramp rate in oC/minute for ramped thermal steps.

Parameters to define the Diffusion Ambient

DRYO2, WETO2, NITROGEN, AMMONIA, and ARGON are switched Boolean values of gas typesthat allow you to specify the gas present in the furnace during the diffusion step. These gas types arenot affected by the GAS.CONC parameter. Only one gas type may be specified per diffusion step. Thereis currently no difference between nitrogen, argon, and ammonia.

Page 274: athena_users

ATHENA User’s Manual DIFFUSE Statement

7-30 SILVACO International

HCL.PC specifies the percentage of HCl in the oxidant gas stream.

F.02, F.H2, F.H20, F.N2, and F.HCL specifies the relative flow rate of the components of oxygen,hydrogen, water, nitrogen, and HCl in the ambient. If these parameters are used the DRYO2, WETO2,NITROGEN set or HCL.PC should not be specified.

PRESSURE is the partial pressure of the active species, in atmospheres. It defaults to 1 for both wetand dry oxidation.

C.ANTIMONY, C.ARSENIC, C.BORON, C.PHOSPHOR, C.SILICON, C.GOLD,C.GERMANIUM, C.ZINC, C.SELENIUM, C.BERYLLIUM, C.MAGNESIUM, C.CHROMIUM,C.ALUMINUM, C.GALLIUM describes the impurities in the diffusion ambient gas in atoms/cm3.Multiple impurity parameters can be defined for ambients with multiple impurities.

Numerical Parameters

CONTINUE specifies a continuing diffusion step using data from a previous DIFFUSE statement..Specifying this parameter will prevent the timestep size from being reset. This parameter should onlybe used with extreme caution. It should be used only if the same ambient is present for both diffusionstatements.

Parameters related to File Output

DUMP and DUMP.PREFIX specify that a structure file be output at every DUMPth time step. Thefiles are readable with the STRUCTURE statement or can be displayed using TONYPLOT. The names willbe of the form DUMP.PREFIX<time>.str, where <time> is the current total time of the simulationin minutes.

Parameter to select Diffusion Behavior

NO.DIFF specifies that impurity diffusion be neglected during the calculation. This can be usedobserve oxidation or silicidation geometry without unnecessary timesteps related to impurity diffusion

REFLOW specifies that a surface tension based reflow of the material is to be performed.

Parameters used in the C-Interpreter Interface

P.DIF.COEF, AS.DIF.COEF, SB.DIF.COEF, B.DIF.COEF, I.DIF.COEF and V.DIF.COEF specifiesfilenames to be read by the C Interpreter. These are used for changing the diffusion coefficientcalculation for phosphorus, arsenic, antimony, boron, interstitial and vacancy diffusion coefficientsrespectively. The latter two are only applicable for the advanced diffusion models. The file name formodel substitution is set on the DIFFUSE statement with the string parameter P.DIF.COEF.

P.SEG.CALC, AS.SEG.CALC, SB.SEG.CALC and B.SEG.CALC specifies filenames to be read bythe C Interpreter. These are used for changing the segregation calculation for phosphorus, arsenic,antimony, and boron respectively. For the segregation calculation the file name for model substitutionis set on the DIFFUSE statement with the string parameter P.SEG.CALC.

P.ACT.CALC, AS.ACT.CALC, SB.ACT.CALC and B.ACT.CALC specifies filenames to be read bythe C Interpreter. These are used for changing the activation calculation for phosphorus, arsenic,antimony, and boron respectively. For the activation calculation the file name for model substitution isset on the DIFFUSE statement with the string parameter P.ACT.CALC.

Page 275: athena_users

DIFFUSE Statement Statements

SILVACO International 7-31

Predeposition Example

The following statement specifies a 1000 degree, 30 minute boron pre-deposition.

DIFFUSE TIME=30 TEMP=1000 C.BORON=1.0E20

Oxidation Example

The following statement instructs the simulator to grow oxide for 30 minutes in a dry oxygen ambient.

DIFFUSE TIME=30 TEMP=1000 DRYO2

Gas Flow Example

The following command performs diffusion with a mixed ambient with relative components of oxygen,hydrogen, and HC1 of 10, 10, and .1 respectively.

DIFFUSE TIME=10 TEMP=1000 F.02=10 F.H2=10 F.HCl=.1

Hydrogen and Oxygen are combined in a ratio 2:1 to form the ambient WETO2. Any excess hydrogenis considered inert. Any excess oxygen is considered as the ambient DRYO2. Since the total pressure ofthe gas flow is defined (or defaults to one atmosphere) the partial pressure of WETO2 will be reducedif any excess hydrogen or oxygen is present.

File Output Example

The following commands perform diffusion in dry oxygen ambient for 30 minutes at 1000 oC. Afterevery second timestep a structure file is written with a name prefix TEST. Following the diffusion theTONYPLOT statement plots each timestep output file in a manner suitable for creating a diffusion movie.A SYSTEM command is used to execute a UNIX command prior to the diffusion step to remove allTEST*.str files from previous runs.

SYSTEM rm -rf TEST*.str

DIFFUSE TIME=30 TEMP=1000 DRYO2 DUMP=2 DUMP.PREFIX=TEST

TONYPLOT -st TEST*.str

See Also: IMPURITY, INTERST, MATERIAL, METHOD, OXIDE, TRAP, VACANCY

Page 276: athena_users

ATHENA User’s Manual DISLOC.LOOP Statement

7-32 SILVACO International

DISLOC.LOOPDISLOC.LOOP — defines the scaling parameters and position of dislocation loops.

SyntaxDISLOC.LOOP MIN.LOOP=<n> MAX.LOOP=<n>

BORON | ARSENIC | ANTIMONY | INDIUM | PHOSPHORUS

DescriptionThis statement describes the position of dislocation loops with respect to a subsequent implanteddopant profile. This statement will only take effect if the dislocation loop model has been switched onwith the command METHOD I.LOOP.SINK

Dislocation loops are used as interstitial sinks whose recombination rate may be determined with theINTERSTITIAL DAMALPHA=<n> command.

MIN.LOOP and MAX.LOOP define the upper and lower bounds of the dopant concentrations wherethe loops are placed.

BORON, INDIUM, ARSENIC, ANTIMONY, PHOSPHORUS flags define which dopant thedislocation loop concentration will be scaled to.

Dislocation Loop Generation ExampleThe following example switches on the loop model and then places loops in the position where indiumconcentrations lie between 1e16 and 1e15 cm-3.

METHOD I.LOOP.SINK

DISLOC.LOOP MIN.LOOP=1e15 MAX.LOOP=1e16 INDIUM

IMPLANT INDIUM DOSE=1e15 ENERGY=45

See also: METHOD, CLUSTER, INTERSTITIAL, VACANCY, DIFFUSE, IMPLANT

Page 277: athena_users

ECHO Statement Statements

SILVACO International 7-33

ECHOECHO — specifies a string printer and desk calculator.

SyntaxECHO <string>

DescriptionThe ECHO statement merely prints the string given to it. This is useful for placing comments in anoutput file. The statement attempts to parse the string to a legal real number if possible. It has aregular expression parser built-in. This allows ECHO to be used as a desk calculator.

ExamplesThe following command will send the string “Athena Is My Favorite Process Simulator” to standardoutput.

ECHO Athena Is My Favorite Process Simulator

The following command will print 4096.

ECHO (2^3^4)

The following command will print 8.373, the solution to the arithmetic expression.

ECHO ( 15.0 - 12.0 * EXP( 4.0 - 2.0 / 6.0 ) )

Page 278: athena_users

ATHENA User’s Manual ELECTRODE Statement

7-34 SILVACO International

ELECTRODEELECTRODE — defines electrodes and names for ATLAS or other device simulation.

SyntaxELECTRODE NAME=<c> [X=<n> Y=<n> | BACKSIDE | LEFT | RIGHT]

DescriptionThis statement defines a whole material region as an electrode.

NAME gives a name to the electrode that can be plotted or referenced in TONYPLOT or ATLAS.

Note: ATLAS contains syntax that makes use of the common electrical names for highly preferredterminals. These are anode, cathode, emitter, base, collector, gate, source, drain, bulk andsubstrate.

LEFT specifies that the top left region of the structure will be defined as an electrode.

RIGHT specifies that the top right region of the structure will be defined as an electrode.

BACKSIDE specifies that a flat (zero height) electrode will be placed on the bottom of the simulationstructure. This is the one exception to whole regions being defined as electrodes. If a metal region ispresent on the bottom of the structure this parameter should not be used and the XY coordinates usedinstead.

X specifies the horizontal location or x-coordinate of the region which will be defined as an electrode.

Y specifies the vertical location or y-coordinate of the electrode being defined. If no value of Y isspecified, the top of the structure is assumed.

Metal Region Electrode Definition Example

The following gives the name source to the metal or polysilicon region at location x=1 micron on thetop of the current structure.

ELECTRODE X=1.0 NAME=SOURCE

Substrate Definition Example

The following gives the name well to a flat electrode along the bottom edge of the current structureThere is no metal required at this location.

ELECTRODE BACKSIDE NAME=WELL

See Also: STRUCTURE

Page 279: athena_users

EPITAXY Statement Statements

SILVACO International 7-35

EPITAXYEPITAXY — specifies an epitaxial deposition process step.

SyntaxEPITAXY TEMP=<n>[PRESS=<n>][T.FINAL=<n>][PRESSURE=<n>]

[C.ANTIMONY][C.ARSENIC][C.BORON][C.PHOSPHOR][C.SILICON=<n>]

[C.GOLD=<n>][C.GERMANIUM=<n>][C.ZINC=<n>][C.SELENIUM=<n>]

[C.CARBON=<n>][C.GALLIUM=<n>]

[C.BERYLLIUM=<n>][C.MAGNESIUM=<n>][C.CHROMIUM=<n>][C.ALUMINUM=<n>]

[TIME=<n>][THICKNESS=<n>][RATE=<n>][DIVISIONS=<n>]

[DY=<n>][MIN.DY=<n>][YDY=<n>]

DescriptionThis statement simulates the epitaxial deposition of silicon. This model is limited to silicon on siliconapplications and should not be used when other materials are present. The model is inherently 1D andso it is not suitable for selective epitaxial deposition processes.

TEMP defines the temperature of the epitaxial deposition.

TIME, THICKNESS, and RATE specify the parameters of the epitaxial process. TIME specifies thetime in minutes. THICKNESS specifies the thickness in microns. RATE specifies the growth rate inmicrons/minute. Rather than being truly mutually exclusive, two of these commands must be used touniquely identify both the thickness and the temperature–time cycle.

PRESS defines the pressure of the epitaxial deposition process. Default is 1 atmosphere.

T.FINAL specifies the final temperature for ramped epitaxial steps.

Doping Related Parameters

C.ANTIMONY, C.ARSENIC, C.BORON, C.PHOSPHOR, C.SILICON, C.GOLD,C.GERMANIUM, C.ZINC, C.SELENIUM, C.BERYLLIUM, C.MAGNESIUM, C.CHROMIUM,C.ALUMINUM, C.CARBON and C.GALLIUM specify the concentration of individual impuritiesper cubic centimeter in the epitaxial layer.Multiple impurity statements can be used

Gridding Parameters

DIVISIONS controls the number of vertical grid points in the resulting epitaxial layer. This is anoptional parameter since it will be generated automatically by default and is related to the surfacegrid spacing of the original simulation structure before epitaxy.

DY specifies the nominal spacing in microns in the epitaxial layer.

YDY specifies the depth at which the nominal spacing will be applied. YDY is calculated relative to thetop of the newly grown epitaxial layer.

MIN.DY specifies the minimum spacing allowed between grid lines in the y direction in the newmaterial. The default is 0.001 microns (10 Angstroms).

Deposition Rate Example

The following statement will simulate the growth of boron doped silicon on top of silicon at a rate of 1µm per minute. The deposit thickness is time x rate=10 microns.

Page 280: athena_users

ATHENA User’s Manual EPITAXY Statement

7-36 SILVACO International

EPITAXY TIME=10 TEMP=1150 C.BORON=5E14 RATE=1

Time and Temperature Example

The following statement will deposit 6m of epitaxial silicon on top of silicon over 10 minutes.Phosphorus is out-diffused during the processing. The number of vertical grid points in the completedepitaxy layer is set with the DIVISIONS parameter. The syntax is similiar to that of the DEPOSITstatement:

EPITAXY THICK=6 TIME=10 TEMP=1180 C.PHOS=1.5E14 DIVISIONS=20

Non-uniform Grid Control Example

The following statement performs epitaxy with a non-uniform vertical grid spacing. The vertical gridspacing will be .5 µm at a distance of 5 µm below the final surface. The epitaxial layer will besubdivided into 40 sublayers:

EPITAXY THICK=10 TIME=30 TEMP=1100 DY=.5 YDY=5.0 DIVISIONS=40

Page 281: athena_users

ETCH Statement Statements

SILVACO International 7-37

ETCHETCH - simulates an etch process.

Note: ATHENA provides two different etch simulation methods. The first is geometrical etchingavailable within any ATHENA module, the second is physical etching available only in ELITE .

SyntaxETCH SILICON|GAAS|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|TUNGSTEN|

TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|PHOTORESIST|ALGAAS|INGAAS|SIGE

INP|ALUMIN|BARRIER|INDIUM|MATERIAL=<c>

[LEFT|RIGHT|ABOVE|BELOW|START| CONTINUE|DONE|DRY|ALL][X=<n>]

[Y=<n>][THICK=<n>][P1.X=<n>][P1.Y=<n>] [P2.X=<n>][P2.Y=<n>]

[INFILE=<c>][TOP.LAYER][NOEXPOSE]

[MACHINE=<c>][TIME=<n>][HOURS|MINUTES|SECONDS]

[DT.FACT=<n>] [DT.MAX=<n>][DX.MULT=<n>]

[MC.REDEPO] [MC.SMOOTH = <n>] [MC.DT.FACT = <n>] {MC.MODFNAME = <c>]

DescriptionSILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, TUNGSTEN,TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, BARRIER, GAAS, PHOTORESIST, ALGAAS,INGAAS, SIGE, INP and MATERIAL specify the material to be etched. If a material is specified,only that material is etched even if other materials lie within the etch region. If no material isspecified, all materials in the etch region are removed.The MATERIAL=<c> parameter should only beused for user-defined materials.

Parameters used for Geometrical Etching.

ALL specifies that all of the specified material is removed.

DRY indicates that the resulting surface will replicate the exposed surface and will simply be loweredby a fixed depth of THICKNESS microns below the exposed surface.

THICKNESS specifies the thickness to be etched for the dry etch type.

INFILE specifies that the etch profile will be taken from the filename specified by the INFILEparameter. The specified file must have the following format:

X1,Y1

X2,Y2

X3,Y3

...

Xn,Yn

Page 282: athena_users

ATHENA User’s Manual ETCH Statement

7-38 SILVACO International

This will etch the region enclosed by the boundary coordinates within the file. Any number ofcoordinates may be defined within the file. This command is often useful for inputting data fromdigitized experimental profiles or external programs. The closing line is automatically drawn from thefinal coordinate point to the initial point.

LEFT, RIGHT, ABOVE, and BELOW provide a quick means of etching with a trapezoidal crosssection. The etch region will be to the specified side (left/right/above/below) of the line specified by thecoordinates given in P1.X, P1.Y and P2.X, P2.Y.

P1.X, P1.Y, P2.X, and P2.Y allow the specification of a line for left/right/above/belowetching.The P1 parameters are always required if left/right/above/below are used. The P2parameters are required when the etch angle is non-vertical.

START, CONTINUE, and DONE specify an arbitrarily complex region to be etched. Several linescan be combined to specify the several points that make up the region. See the examples section below.

X and Y specify a point in the start/continue/done mode of etch region specification.

TOP.LAYER specifies that only the top layer of the etched material should be etched.

NOEXPOSE specifies that the new surface is not exposed for subsequent oxidation or deposition aftergeometrical etch. This parameter should be used for removing a part of the structure from the bottomor side of simulation.

Parameters used only with physical etching in the ELITE module.

MACHINE is the name of the machine that is to be run.

TIME is the time the machine is to be run.

HOURS, MINUTES, and SECONDS specifies the units of the TIME parameter.

Parameters used only with RIE, WET.ETCH, and PLASMA models.

DT.FACT is used with ELITE type etch calculations. By default, the movement of a string node islimited to less than or equal to one quarter of the median segment length. This is a good compromisebetween simulation speed and the danger of loop formation. The optimization factor DT.FACT mustnot exceed .5, but can be decreased if necessary for more accuracy.

DT.MAX is used to limit timesteps size. By default, the upper limit for the maximum timestep is onetenth of the total etch time specified. This is a good compromise between calculation accuracy andcalculation time. However, sometimes it is useful to adapt this value to the specific simulationproblem. Allowing the time steps to become greater gives a higher simulation speed but the accuracymay suffer. For smaller time steps the simulation speed will decrease but the accuracy may be greater.

DX.MULT is the accuracy multiplier for ELITE etches. The discretization size used for the etchcalculation will be multiplied by DX.MULT. For improved accuracy at the cost of extra simulation time,decrease the value of DX.MULT.

Parameters used only with MC.PLASMA model.

MC.REDEPO specifies that redeposition of polymer should be simulated. Default is true.

MC.SMOOTH specifies level of smoothing of the surface.

MC.DT.FACT specifies time step control for Monte Carlo etching and redeposition.

MC.MODFILE specifies name of the C-Interpreter file with user-defined Monte Carlo etching andredeposition models.

Page 283: athena_users

ETCH Statement Statements

SILVACO International 7-39

Simple Geometrical Etch Example

The following command etches all the nitride to the left of a vertical line located at x=0.5:

ETCH NITRIDE LEFT P1.X=0.5

Arbitrary Geometrical Shape Etch Example

The following set of commands etch the oxide in the square defined at (0,0), (1,0), (1,1), and (0,1):

ETCH OXIDE START X=0.0 Y=0.0

ETCH CONTINUE X=1.0 Y=0.0

ETCH CONTINUE X=1.0 Y=1.0

ETCH DONE X=0.0 Y=1.0

Care should be taken when using this style of syntax that the list of coordinates forms a regularpolygon. The closing line from the last coordinate pair to the initial point is automatically added.

Anisotropic Geometrical Etch Example

The following command finds the exposed surface and lowers it straight down 0.1 microns; this linewill be the new surface:

ETCH DRY THICK=0.1

Physical Etch Example

The following sequence defines an etch machine named PLASMA1 that performs reactive ion etching ofsilicon. The machine is applied to etch the current structure for 10 minutes:

RATE.ETCH MACHINE=PLASMA1 SILICON U.M RIE ISOTROPIC=0.1 DIRECT=0.9

ETCH MACHINE=PLASMA1 TIME=10 MINUTES

Note: The program can be sensitive to grid placement. It often helps to prepare the initial grid byhaving a vertical grid line exactly at the etch coordinate for geometric etches.

See Also: RATE.ETCH

Page 284: athena_users

ATHENA User’s Manual EXPOSE Statement

7-40 SILVACO International

EXPOSEEXPOSE — runs the exposure module of OPTOLITH.

SyntaxEXPOSE [INFILE=<c>][PERPENDICUL|PARALLEL][X.CROSS|Z.CROSS]

[CROSS.VALUE=<n>][DOSE=<n>][X.ORIGIN=<n>

[NA=<n>][FLATNESS=<n>][NUM.REFL=<n>]

[FRONT.REFL=<n>][BACK.REFL=<n>][ALL.MATS=<n>]

[POWER.MIN=<n>][MULT.EXPOSE]

DescriptionThis command defines the parameters associated with and performs two dimensional exposure.

INFILE is the name of an input file that contains a user aerial image cross section data file.This filehas the form:

<wavelength in microns>

<number of data pairs>

<x location in structure> <relative image intenstity>

PERPENDICUL and PARALLEL specify TE mode or TM mode, respectively. PERPENDICULAR isthe default.

X.CROSS and Z.CROSS specify that the cross section is parallel to the x-axis (z=constant) andparallel to the z-axis (x=constant), respectively. X.CROSS is the default.

CROSS.VALUE specifies the x or z coordinates (in micrometers) of the cross section of the aerialimage. The default will be centered in the image window.

DOSE specifies the exposure dose in mJ/cm2.

X.ORIGIN locates the beam relative (in micrometers) to the structure. This allows the aerial image tobe shifted if necessary. Zero is the default value.

FLATNESS specifies the accuracy of the change in surface topography in degrees. A value of zerospecifies that all gridpoints will be calculated. The default value is 0.25. In any case the limits 0 ≤FLATNESS ≤ 1 should be maintained.

NUM.REFL specifies the number of reflections to be considered.

FRONT.REFL specifies that front surface reflection should be considered in the caclulation. Thedefault is no front reflection.

BACK.REFL specifies the back surface reflection. The default is no back reflection.

ALL.MATS specifies that intensity be displayed in all materials. Default is photoresist only.

NA = 0 specifies the use of the vertical propagation model. Otherwise nonvertical propagation is thedefault.

MULT.EXPOSE is used to make multiple exposures. MULT.EXPOSE is specified on the secondEXPOSE command for addition of exposures. If MULT.EXPOSE is not specified previous exposures willbe erased.

POWER.MIN sets the minimum power accounted for in multiple reflections. POWER.MIN is used in amultiplicative format; i.e.; if power attenuation due to 10 reflections is less than POWER.MIN it will notbe counted for calculation.

Page 285: athena_users

EXPOSE Statement Statements

SILVACO International 7-41

ExamplesThe following statement loads a cross section of an aerial image that can be input by the user. It thenruns the exposure module. The number of reflections increases calculation time when it is set to avalue greater than one:

EXPOSE INFILE=CROSS.SECT NUM.REFL=3

The following command runs the exposure module for the Z.CROSS section of a two dimensional aerialimage that has been previously generated. The x value of the cross section is 0.1:

EXPOSE Z.CROSS CROSS.VAL=0.1

Note: The DEFOCUS parameter on the IMAGE statement must be used to do defocus exposurecalculations. The image command must be used in conjunction with the expose command for adefocussed bulk image.

See Also: INITIALIZE, IMAGE

Page 286: athena_users

ATHENA User’s Manual EXTRACT Statement

7-42 SILVACO International

EXTRACTEXTRACT - parameter extraction command

The EXTRACT command is used to analyse the current structure or a previously saved file. It canextract important parameters such as material thickness, junction depth and peak doping levels. ITalso includes electrical extractions such as sheet resistance, threshold voltage and CV curves.

Note: The EXTRACT statement is supported under DECKBUILD and is fully documented in the VWFInteractive Tools Manual Volume I.

Page 287: athena_users

FOREACH Statement Statements

SILVACO International 7-43

FOREACHFOREACH —specifies the command looping facility.

SyntaxFOREACH(NAME)(LIST)

(COMMANDS)

END

DescriptionThis command is used to specify input loops. For is equivalent to FOREACH. As the loop is executed,NAME will consecutively take on each value in LIST and exit the loop after assuming the last value.COMMANDS will be executed once for each value in LIST. NAME is set to a value in LIST using the shelldefine function. NAME may be changed through macro substitution as previously discussed under theAdditional Shell Features section of Chapter 1.

LIST is a set of strings separated by commas or spaces. The values in LIST may be delimited by eithercommas or spaces. LIST may also take the following numerical operator form:

START TO END STEP VAL

where START is a numerical start value, END is the last value, and VAL is the amount to increment ateach iteration.

ExamplesThe following statement will increment val from 1.0 to 10.0 in steps of 0.5. This loop will be executed19 times.

FOREACH VAL ( 1.0 TO 10.0 STEP 0.5 )

ECHO VAL

END

Note: Command line continuation using the backslash character “\” indicator is not supported in theFOREACH statement.

Note: This statement is not supported within the VWF Automation Tools. The Automation Tools containaseparate and more powerful capabilities for defining input parameter variations.

See Also: SET

Page 288: athena_users

ATHENA User’s Manual GO Statement

7-44 SILVACO International

GOGO - starts the simulator. Each ATHENA input file should begin with a GO statement.

Note: The GO command is executed by DECKBUILD and documented in the VWF Interactive ToolsManual Volume I

Examples

Two useful features of the GO command are shown here.

This command initialises ATHENA with a specified version number

go athena simflags=”-V 4.3.0.R”

This command initializes ATHENA with a model file <install>/lib/athena/<version>/common/athenamod.97a

go athena simflags=”-modfile 97a”

Note: If DECKBUILD encounters a GO statement where neither the version or model file is changed,ATHENA will not quit and restart.

Page 289: athena_users

HELP Statement Statements

SILVACO International 7-45

HELPHELP — defines online syntax information utility.

SyntaxHELP <command>

DescriptionHELP lists the parameters of the specified statement and provides a short description of each. If nostatement name is given, HELP lists all statements and gives an introductory help message.

ExamplesThe following will print a list of valid ATHENA commands to the user’s screen:

HELP

The following will print a description of the DIFFUSE command and its parameters:

HELP DIFFUSE

Page 290: athena_users

ATHENA User’s Manual ILLUM.FILTER Statement

7-46 SILVACO International

ILLUM.FILTERILLUM.FILTER — specifies the illumination source shape and illumination source filtering inOPTOLITH..

SyntaxILLUM.FILTER [CIRCLE|SQUARE|GAUSSIAN|ANTIGAUSS|SHRINC]

[GAMMA=<n>][RADIUS=<n>][ANGLE=<n>][SIGMA=<n>]

[IN.RADIUS=<n>][OUT.RADIUS=<n>][PHASE=<n>]

[TRANSMIT=<n>][CLEAR.FIL]

DescriptionThis statement specifies the following illumination source options as well as illumination sourcefiltering.

CIRCLE, SQUARE, GAUSSIAN, ANTIGAUSS, and SHRINC define or change the shape of the exitpupil of the illumination system. SHRINC can be used to define the illumination system only, notannular filters.

GAMMA defines or changes the GAMMA value for GAUSSIAN or ANTIGAUSS source transmittance.GAMMA is a parameter that defines the truncation of the GAUSSIAN by the pupil. In the limit ofGAMMA→0, the source will be uniform.

RADIUS specifies the radius of a single source if the SHRINC illuminator concept is specified. Thisparameter must be entered in fractions of unity.

ANGLE specifies the angular location for the SHRINC illuminator.

SIGMA defines or changes the filling factor for the combination of the illumination and projectionsystems. The value of SIGMA is expected to vary, but it will not be reset. Also specifies the radius of asingle source if the SHRINC illuminator concept is specified. This parameter must be entered infractions of unity (assuming a unit pupil radius).

IN.RADIUS and OUT.RADIUS define or change the intensity transmittance and phasetransmittance of an annular zone inside the exit pupil of the illumination system. This qualifier isused to simulate spatial filtering techiques. IN.RADIUS and OUT.RADIUS are used to define anannular zone in the exit pupil having the pupil transmittance equal to TRANSMIT and producing thephase angle equal to PHASE. Radius values are specified in fractions of unity, and phase is specified indegrees. Note that the annular zones should not overlap. The outer radius of an inner zone must besmaller than the inner radius of an outer zone.

PHASE specifies the phase shift in degrees produced by the illumination source filter (-180o ≤ PHASE≤ 180o).

TRANSMIT specifies the intensity transmittance produced by the illumination filter (0 ≤ TRANSMIT≤ 1).

CLEAR.FIL resets the illumination source filter list.

Page 291: athena_users

ILLUM.FILTER Statement Statements

SILVACO International 7-47

ExamplesThe following example defines a SHRINC illumination source where the quadruple circularillumination sources are located at 45° to the x-axis with the center at a radius of 0.2 from the originand a circle radius of SIGMA=0.2.

ILLUM.FILTER SHRINC RADIUS=.2 SIGMA=.2 ANGLE=45

See also: IMAGE, ILLUMINATION, PROJECTION, PUPIL.FILTER, ABERRATION, LAYOUT

Page 292: athena_users

ATHENA User’s Manual ILLUMINATION Statement

7-48 SILVACO International

ILLUMINATIONILLUMINATION — specifies the basic illumination parameters in OPTOLITH.

SyntaxILLUMINATION [I.LINE|G.LINE|H.LINE|DUV.LINE|

LAMBDA=<n>][X.TILT=<n>][Z.TILT=<n>]

[INTENSITY=<n>]

DescriptionsILLUMINATION specifies the wavelength and intensity of the source and the X, Z tilt of the opticalsystem.

I.LINE, G.LINE, H.LINE, and DUV.LINE specify the wavelength of the illumination to be used.

LAMBDA defines or changes the source wavelength. Only monochromatic sources are assumed forsimulation, that is, only one wavelength can be specified. The wavelength is expressed in microns.

X.TILT and Z.TILT specify the tilt of the illumination system with respect to the optical axis of theprojection system. All values are to be entered in degrees.

INTENSITY defines or changes the absolute value (usually set to one) of the complex amplitude, i.e.,the intensity in the mask or reticle plane.

ExamplesThe following statement defines i.line illumination with X and Z tilt of 0.1° and an intensity of 1.

ILLUMINATION I.LINE X.TILT=0.1 Z.TILT=0.1 INTENSITY=1

See Also: IMAGE, PROJECTION, ILLUM.FILTER, PUPIL.FILTER, ABERRATION, LAYOUT

Page 293: athena_users

IMAGE Statement Statements

SILVACO International 7-49

IMAGEIMAGE — calculates a one or two dimensional aerial image.

SyntaxIMAGE [OPAQUE|CLEAR][DEFOCUS=<n>][INFILE=<c>]

[WIN.X.LO=<n>][WIN.Z.LO=<n>]

[WIN.X.HI=<n>][WIN.Z.HI=<n>]

[DX=<n>][DZ=<n>][N.PUPIL=<n>]

[X.POINTS=<n>][Z.POINTS=<n>]

[ONE.DIM][MULT.IMAGE][X.CROSS|Z.CROSS]

DescriptionThis statement calculates a 2D aerial image and sets parameters that control the accuracy, input, andoutput of the imaging module. The IMAGE statement accepts layout information created byMaskViews.

INFILE is the name of the mask data file from MaskViews. It contains coordinates of rectangularmask features as well as the transmittance and phase of each feature. This file name usually endswith the extension .sec.

OPAQUE and CLEAR specify the type of mask to be used. The background will be opaque if OPAQUEis selected, while the mask features will be clear. The background will be clear if CLEAR is selected, andthe mask features will be opaque.

DEFOCUS is a user specified defocus parameter (in micrometers). If < 0, above the resist. If > 0,below the resist surface.

WIN.X.LO, WIN.X.HI, WIN.Z.LO, and WIN.Z.HI set the minimum and maximum x and z values (inmicrometers) that define the image window. If unspecified, default values from the mask file will beused.

DX specifies the mesh resolution in micrometers for the image window in x. If DX is not specified,X.POINTS and Z.POINTS will be used.

DZ specifies the mesh resolution in micrometers for the image window in z. The default is DZ=DX.

X.POINTS and Z.POINTS are the number of x and z coordinate points in the image window,respectively. These parameters are used only if DX is not specified. Default value is 10 for bothcoordinates.

N.PUPIL defines or changes the number of mesh points in the projector’s exit pupil used in imagingsimulations. The value of N.PUPIL sets the number of mesh points along the exit pupil’s radius.Larger values provide better accuracy. The default setting should be adequate for accuracy. N.PUPILalso sets the size of the mask or image cell for imaging simulations. Finally, N.PUPIL affects thediscretisation of the source. This means that if a very fine source discretisation is required, N.PUPILshould be set to a larger value. Note that computation time grows linearly with the number of pupilmesh points and source points used in the simulation.

MULT.IMAGE specifies that the preceeding and current images will be added. Any number of imagesmay be added as long as the IMAGE command contains this boolean.

X.CROSS and Z.CROSS specify if the one dimensional image is parallel to the x-axis or z-axis,respectively.

ONE.DIM use a one dimensional image module that images a line drawn across a 2D layout.. This isthe best method when the image will be used for subsequent EXPOSE statements.

Page 294: athena_users

ATHENA User’s Manual IMAGE Statement

7-50 SILVACO International

ExamplesThis statement loads a mask named MASK.SEC and specifies x resolution in the image window ofDX=0.1 micrometers. It then runs the imaging module.

IMAGE INFILE=MASK.SEC DX=0.1

See Also: ILLUMINATION, PROJECTION,ILLUM.FILTER, PUPIL.FILTER, ABERRATION, LAYOUT, EXPOSE

Page 295: athena_users

IMPLANT Statement Statements

SILVACO International 7-51

IMPLANT IMPLANT — specifies an ion implantation process step.

SyntaxIMPLANT ANTIMONY|ARSENIC|BORON|BF2|PHOSPHORUS|SILICON|ZINC|SELENIUM|

MAGNESIUM|BERYLLIUM|CHROMIUM|ALUMINUM|GALLIUM|CARBON|GERMANIUM|INDIUM

[GAUSS|PEARSON|MONTE|FULL.LAT|BCA][ANY.PEARSON][CRYSTAL|AMORPHOUS]

DOSE=<n>ENERGY=<n>[TILT=<n>][ROTATION=<n>][FULLROTATIO][X.DISCR=<n>]

[PRINT.MOM][DAMAGE][PLUS.ONE|FREE.DAM]

[DAM.FACTOR=<h>|FREE.FACTOR=<n>] [MAX.DAMAGE=<n>]

[LAT.RATIO1][LAT.RATIO2][S.OXIDE=<n>]

[MATCH.DOSE|RP.SCALE|MAX.SCALE][SCALE.MOM]

[N.ION=<n>][MCSEED=<n>][TEMPERAT=<n>][THRESHOLD=<n>][SMOOTH=<n>]

[PERIODIC|REFLECT|VACUUM][REC.FRAC=<n>][BEAMWIDTH=<n>]

[HOBLER][PMAX.HOBLER=<n>][XNL.HOBLER=<n>][F.HOBLER=<n>]

[FLUORINE][TRAJECTOR=<n>][TRAJ.FILE=<c>][IMPCT.POINT=<n>]

DescriptionThis statement simulates ion implantation using different analytical and Monte Carlo models.

Model Selection Parameters.

GAUSS, PEARSON, FULL.LAT, MONTE, and BCA specify the implant model that is being used.GAUSS selects a Gaussian distribution, PEARSON selects the Pearson-IV distribution or whereavailable dual Pearson-IV distributions. FULL.LAT is a superset of Pearson that specifies full lateralmoments will be used. MONTE activates the Monte Carlo implant module. BCA activates the BinaryCollision Approximation Monte Carlo model. The model selection and availability of parameters for allmodels are described in Chapter.3. Default is PEARSON.

CRYSTAL and AMORPHOUS specify whether or not the silicon lattice structure is to be taken intoaccount during implant steps. The statements are mutually exclusive and CRYSTAL is true by default.For implants though thick screen materials it is often necessary for the user to explicitly specifyAMORPHOUS to avoid incorrect channeling profiles.

• For analytical implant models, these parameters select which set of tables are used for siliconimplant ranges. The CRYSTAL model uses the SVDP tables where available and is thedefault.

• For MONTE or BCA models these parameters control whether the cystalline lattice structure isconsidered or not.

Parameters applicable for all implant models.

ALUMINUM, ANTIMONY, ARSENIC, BERYLLIUM, BF2, BORON, CHROMIUM, GALLIUM,CARBON, GERMANIUM, INDIUM, MAGNESIUM, PHOSPHORUS, SELENIUM, SILICON,and ZINC specify the impurity to be implanted.

Page 296: athena_users

ATHENA User’s Manual IMPLANT Statement

7-52 SILVACO International

DOSE specifies the dose of the implant. Dose is calculated in a plane normal to the implantdirection.The units are in cm-2.

FULL.DOSE specifies that the implanted dose is adjusted to compensate for the tilt angle. This typeof dose specification is often used for high tilt implants.

Adjusted Dose = DOSE/cos(TILT) .

ENERGY specifies the implant energy in keV.

TILT specifies the tilt with respect to the vertical of the implantation ion beam. The units are degrees.The default is 7 degrees.

ROTATION specifies the angle of rotation of the implant relative to the plane of the simulation. Theunits are degrees.The default is 30 degrees.

FULLROTATIO specifies that the implant be performed at all rotation angles.

PLUS.ONE (alias UNIT.DAMAGE or FREE.DAM), and DAM.FACTOR, (alias FREE.FACTOR)define the implant damage calculation. UNIT.DAMAGE specifies that the interstitial profile should be ascaled version of the doping profile from the implant. DAM.FACTOR specifies the scaling factor to beused for the UNIT.DAMAGE model. At an depth the interstitial concentration from the UNIT.DAMAGEmodel will equal to the implanted ion concentration multiplied by DAM.FACTOR. User defined implantdamage profiles can also be specified by a C-Interpreter function (see MOMENTS statement).

PRINT.MOM prints out moments for all ion/material combinations used in the analytical model. Incase of Monte Carlo simulation it prints out moments calculated from the coordinates of ion in thestandard structure file and can be extracted by the EXTRACT function

Parameters applicable only for analytical implant models.

X.DISCR specifies the width of slices along the direction of the ion beam used to calculate theimplanted profile. The value used is scaled relative to the lateral straggling of the current implant. Bydefault, a slice width of between 0.1 and 0.2 of the average lateral straggle will be used. Thisparameter allows the user to override the internal selection of discretization along the implant front. Ifthe value of X.DISCR is decreased, simulation accuracy will increase and simulation time willincrease.

LAT.RATIO1 specifies a factor by which all lateral standard deviations for the first Pearsondistribution should be multiplied.Deafult is 1.0.

LAT.RATIO2 specifies a factor by which all lateral standard deviations for the second Pearsondistribution would be multiplied. Default is 0.2.

Note: The LAT.RATIO* parameters provide simple scaling of the default lateral straggle. TheMOMENTS statement should be used for more complete lateral standard deviation modifications.

S.OXIDE specifies screen oxide parameter for the SVDP implant model. Default is 0.001 microns. Thescreen oxide thickness is not determined from the structure and must be specified by the user. See theImplant Models section of Chapter 3 for more details and the on-line examples for how this parametercan be set automatically.

MATCH.DOSE, RP.SCALE (alias RP.EFF), and MAX.SCALE specify the method for implantcalculations in multi-material structures (see Multi-material Scaling Techniques in the ImplantModels section). Default is MATCH.DOSE.

SCALE.MOM specifies that moment scaling algorithm to be used with selected multilayer implantmodel.

Page 297: athena_users

IMPLANT Statement Statements

SILVACO International 7-53

ANY.PEARSON specifies no restrictions on the combinations of allowed skewness and kurtosis. Thisis true by default as required for the SVDP models. See the Implant Models section for details onpotential problems with this setting. ATHENA versions earlier than 4.0 had this parameter set tofalse by default.

Parameters Applicable for Both Monte Carlo and BCA Implant Models.

MCSEED specifies a seed for the random number generator used for the Monte Carlo calculation.

N.ION specifies the number of ion trajectories to be calculated for the Monte Carlo method.

TEMPERAT specifies the temperature of the substrate during implantation.

BEAMWIDTH specifies the implant beamwidth in degrees. When the BEAMWIDTH angle is specifiedthe TILT angle is varied between TILT +/- BEAMWIDTH/2.0. Each ion will have an angle somewhere inthis range decided by a random number generator. Distribution of the ions is uniform across thedefined angular distribution. Correct specification of BEAMWIDTH is generally required for accuratezero degree implant ranges.

IMPCT.POINT specifies (only in the Monte Carlo method) that the ion beam enters the surface in thepoint with lateral coordinate x = (left+IMPCT.POINT*L), where left is the x-coordinate of the leftboundary of the structure and L is the length of the structure. This parameter whould be used forcalculation of the point-source 2D distribution and spacial moments using Monte Carlo method.

Parameters applicable only for Monte Carlo implant model.

DAMAGE specifies that damage (or point defect) formation should be calculated during Monte Carloimplant.

MAX.DAMAGE is maximum level of damage, above which amorphization takes place. It isrecommended that this parameter be specified using the MAX.DAMAGE parameter on the MATERIALstatement. This allows to specify separate maximum damage threshold for each crystalline material.

THRESHOLD specifies the damage threshold for the Monte Carlo implant calculation. It isrecommended that this parameter be specified using the DAM.THRESH parameter on the MATERIALstatement. This allows to specify separate damage threshold for each crystalline material.

REC.FRAC Secondary recoil in Monte Carlo implantation model has been implemented. The model isinvoked by specifying REC.FRAC=<number> together with the DAMAGE flag. The model calculates thetrajectory of secondary ions generated by the collision between the primary ion and crystal latticeatom. REC.FRAC controls the fraction of the secondary ions generated by primary ions to besimulated. The fraction is chosen randomly. For each simulated secondary ion generated by theprimary ions, a cascade of secondary ion generation is simulated. If the number of a cascade exceeds100, a warning message will be printed, while simulation continues. In current implementation,secondary ions can come from Silicon/GaAs/InP materials, and material SiGe will be the same asSilicon and materials AlGaAs/InGaAs as GaAs. At the collision sites, a vacancy will be generated. Atthe stopping sites of the secondary ions, an interstitial will be generated.

HOBLER, PMAX.HOBLER, XNL.HOBLER and F.HOBLER represent the Hobler electronicstopping model [150] and its parameters, originally used for Boron in Si crystal Monte Carlo implants.Now it can be used for this case by specifying HOBLER on the IMPLANT command. It can also be usedfor Si with any impurity by specifying HOBLER on the IMPLANT command. PMAX.HOBLER is used withthe Hobler model and has a default value = 2.35. XNL.HOBLER is used with the Hobler model andhas a default value = 0.4. F.HOBLER is used with the Hobler model and has a default value= 0.8.

PERIODIC, REFLECT, and VACUUM specify the boundary conditions for Monte Carlo-basedimplantation. PERIODIC means that the ions which get out through a side boundary of the simulationarea appear back in the corresponding point of the opposite side boundary. This condition is default for1D and cylindrical modes. REFLECT means that ions instead of disappearing through a side boundary

Page 298: athena_users

ATHENA User’s Manual IMPLANT Statement

7-54 SILVACO International

just bounce back into the structure. VACUUM means that ions crossing a side boundary never returnback and are counted as transmitted ions.

SMOOTH specifies that a special Gaussian convolution smoothing to be applied to the Monte Carloresults. SMOOTH multiplied by estimated standard deviation of the whole profile serves as the standarddeviation for the Gaussian formula.

FLUORINE specifies that the fluorine concentration be calculated by the Monte Carlo model duringBF2 implant.Note that subsequent processing such as Fluorine diffusion is not currently supported

TRAJECTOR=<n> specifies that the trajectory of each nth ion’s path through the structure should besave in the TRAJ.FILE during the Monte Carlo calculation. When DAMAGE is also specified trajectoriesof all knocked-on substrate atoms will be also saved.

TRAJ.FILE is a special file where trajectories to be saved for subsequent plotting using LINEfunction of TonyPlot. Default is traj.str.

Analytical Implant Example

The following example specifies that a 100keV implant of phosphorus to be done with a dose of 1.0 e14and with a tilt angle of 15 degrees to the surface normal. The Pearson model is to be used to calculatethe doping profile:

IMPLANT PHOSPH DOSE=1E14 ENERGY=100 TILT=15

SVDP Boron Implant Example

This example shows the syntax for a zero tilt, 50keV boron implant through 5nm of screen oxide. Theoxide is defined by S.OXIDE and this definition is independent of any actual oxide in the structureitself:

IMPLANT BORON DOSE=1E13 ENERGY=50 TILT=0 S.OXIDE=0.005

Monte Carlo Implant Example

The following example specifies that a 100keV implant of phosphorus to be done with a dose of 1.0e14Monte Carlo method to be used with crystalline structure of substrate ignored. Each 10th trajectorywill be stored in the file PHTRAJ, which can be used to visulize them using Tonyplot.

IMPLANT PHOSPH DOSE=1E14 ENERGY=100 MONTE AMORPH TRAJECT=10 TRAJ.FILE=PHTRAJ

Crystalline Monte Carlo Implant Example

This example specifies a 300keV boron implant at zero degrees tilt and rotation. Accurate modeling ofsuch implants is only possible in the BCA model. Since ion channeling is highly dependent on the tiltangle it is important to include the beamwidth to avoid over estimation of the implant range.

IMPLANT BORON DOSE=1E13 ENERGY=300 BCA TILT=0 ROTATION=0 BEAMWIDTH=1

Implant Damage Example

The following example statement implants phosphorus and invokes the unit damage model. TheUNIT.DAMAGE model creates an interstitial profile scaled to the implant doping profile. DAM.FACTORis used here to specify that the interstital concentration will be ten times less than the dopingthroughout the depth of the implant profile

IMPLANT PHOSPHORUS DOSE=1E14 ENERGY=50 UNIT.DAMAGE DAM.FACTOR=0.1

Page 299: athena_users

IMPURITY Statement Statements

SILVACO International 7-55

IMPURITYIMPURITY— sets the coefficients of impurity kinetics.

Note: This statement supercedes the older syntax using separate statements for each impurity type.The ARSENIC, ANTIMONY, BORON and PHOSPHORUS statements should no longer be used.

SyntaxIMPURITYI.ALUMINUM|I.ANTIMONY|I.ARSENIC|I.BERYLLIUM|I.BORON|I.CARBON

I.CHROMIUM|I.GALLIUM|I.GERMANIUM|I.INDIUM|I.PHOSPHOR

I.MAGNESIUM|I.SILICON|I.SELENIUM|I.ZINC[DONOR|ACCEPTOR]

SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|TUNGSTEN|TITANIUM

PLATINUM|WSIX|TISIX|PTSIX|ALGAAS|INGAAS|SIGE|INP|GAAS|GAS|MATERIAL=<c>

[DIX.0=<n>][DIX.E=<n>][DIP.0=<n>][DIP.E=<n>]

[DIM.0=<n>][DIM.E=<n>][DIMM.0=<n>][DIMM.E=<n>][DVX.0=<n>][DVX.E=<n>]

[DVM.0=<n>][DVM.E=<n>][DVMM.0=<n>][DVMM.E=<n>]

[CTN.0=<n>][CTN.E=<n>] [SS.CLEAR][SS.TEMP=<n>][SS.CONC=<n>]

[/SILICON|/GAAS|/OXIDE|/OXYNITR|/NITRIDE|/GAS|/POLYSILICO|

/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|

/ALGAAS|/INGAAS|/SIGE|/INP|/MATERIAL=<c>]

[SEG.0=<n>][SEG.E=<n>][TRN.0=<n>][TRN.E=<n>][GB.DIX.0=<<n>>]

[GB.DIX.E=<n>][GB.SEG.0=<n>][GB.SEG.E=<n>][GB.TAU=<n>]

[AT.NUMBER=<n>][AT.MASS=<n>]

[DIF.CALC=<c>][ACT.CALC=<c>][SEG.CALC=<c>

[CPERC][FPERC.0][FPERC.E][ROUI.0][ROUI.E][ROUV.0][ROUV.E][DII.0]

[DII.E][FI.0=<n>][FI.E=<n>] [act.factor]

DescriptionThis statement allows values to be specified for the coefficients of impurity diffusion, transport,segregation, or other properties.

I.ALUMINUM, I.ANTIMONY, I.ARSENIC, I.BERYLLIUM, I.BORON,I.CHROMIUM,I.CARBON, I.GALLIUM, I.GERMANIUM, I.PHOSPHOR, I.MAGNESIUM,I.SILICON, I.SELENIUM, and I.ZINC specify the impurity.

DONOR or ACCEPTOR specify the nature of the impurity in the given material.

SILICON, GAAS, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, GAS, TUNGSTEN,TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIALspecify the material for which the impurity coefficients will be set. The parameterMATERIAL=<string> allows the user to select a labelled user-defined material

Only one material parameter may be specified per statement, and that statement will apply only inthe material listed. . The material parameter is used to indicate the first material for defininginterface parameters such as segregation and transport.

Page 300: athena_users

ATHENA User’s Manual IMPURITY Statement

7-56 SILVACO International

AT.NUMBER and AT.MASS specify the atomic number and atomic mass of the impurity respectively.

This parameters are used in Monte Carlo or BCA implant calculations.

Diffusion Parameters

DIX.0 and DIX.E specify the diffusion coefficient for the impurity diffusing with neutral defects.DIX.0 is the pre-exponential constant and DIX.E is the activation energy.

DIP.0 and DIP.E specify the diffusion coefficient for the impurity diffusing with single negativedefects. DIP.0 is the pre-exponential constant, and DIP.E is the activation energy.

DIM.0 and BDIM.E specify the diffusion coefficient for the impurity diffusing with single negativedefects. DIM.0 is the pre-exponential constant, and DIM.E is the activation

DIMM.0 and DIMM.E allow the specification of the impurity diffusing with doubly negativeinterstitials. DIMM.0 is the pre-exponential constant and DIMM.E is the activation energy.

DVX.0 and DVX.E allow the specification of the impurity diffusing with neutral vacancies. DVX.0 isthe pre-exponential constant and DVX.E is the activation energy.

DVM.0 and DVM.E allow the specification of the impurity diffusing with single-negative vacancies.DVM.0 is the pre-exponential constant and DVM.E is the activation energy.

DVMM.0 and DVMM.E allow the specification of the impurity diffusing with double-negativevacancies. DVMM.0 is the pre-exponential constant and DVMM.E is the activation energy.

FI.0 and FI.E are the fractional interstitialcy parameters which determines whether the impuritydiffuses through interaction with interstitials or vacancies. Once the expression for total FI isevaluated from these coefficients the value of total FI can vary between 0 and 1. A value of 1corresponds to movement only via interstitials and a value of 0 corresponds to movement via avacancy mechanism.

Activation Model Parameters

SS.CLEAR, SS.TEMP and SS.CONC are the parameters for solid solubility data. SS.CLEAR clearsthe currently stored solid solubility data for the specified impurity. SS.TEMP and SS.CONC add a singletemperature and an associated solid solubility concentration point to those already stored for theimpurity.

CTN.0 and CTN.E specify the clustering coefficients for the impurity. CTN.0 is the pre-exponentialcoefficient and CTN.E is the activation energy. By default these parameters are only used for arsenic.If the statement METHOD CLUSTER.S4 is used then the parameters can be applied to all impurities.

ACT.FACTOR specifies parameter for concemtration dependent solid solubility activation model.

Interface Transport Parameters

/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO, /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL specify material 2. The string parameter /MATERIAL allows the user to select a user-defined material for material 2. Material 2 is used to indicate the second material for defininginterface parameters such as segregation and transport coefficients.

SEG.0 and SEG.E allow the computation of the equilibrium segregation concentrations. SEG.0 is thepre-exponential constant and SEG.E is the activation energy.

TRN.0 and TRN.E allow the specification of the transport velocity across the interface given. Theunits are in cm/s. TRN.0 is the pre-exponential constant and TRN.E is the activation energy.

Page 301: athena_users

IMPURITY Statement Statements

SILVACO International 7-57

Polysilicon Diffusion Parameters

GB.DIX.0 and GB.DIX.E specifies grain boundary parameters for the advanced polysilicon diffusionmodel. GB.DIX.0 is the pre-exponential factor of grain boundary diffusivity, and GB.DIX.E is theactivation energy for grain boundary diffusivity (eV).

GB.SEG.0 specifies entropy factor of grain boundary segregation coefficient used in the advancedpolysilicon diffusion model.

GB.SEG.E specifies the activation energy of grain boundary segregation coeficient used in theadvanced polysilicon diffusion model.

GB.TAU specifies the grain boundary time constant used in the advanced polysilicon diffusion model.

CNET Diffusion Model Parameters

The following parameters are applicable to the use of the CNET Model. See METHOD for the use of thismodel.

CPERC percolation concentration (unit:cm3, default: 2.5x1020).

FPERC.0 pre-factor of percolation enhancement factor (unit: 1, default: 2.0x104).

FPERC.E activation energy of percolation enhancement factor (unit:eV, default: 0.0).

ROUI.0 pre-factor of pair diffusivity ratio related to interstitials (unit: 1, default: 1.0).

ROUI.E activation energy of pair diffusivity ratio related to interstitials (unit: eV, default: 0.0).

ROUV.0 pre-factor of pair diffusivity ratio related to vacancies (unit: 1, default: 1.0).

ROUV.E activation energy of pair diffusivity ratio related to vacancies (unit: eV, default: 0.3).

DII.0 pre-factor of intrinsic diffusivity (unit: cm2/sec, default: 3.19).

DII.E activation energy of intrinsic diffusivity (unit: eV, default: 3.66).

ExamplesThe following statement changes the neutral interstitial diffusivity component of phosphorous insilicon.

IMPURITY I.PHOSPHORUS SILICON DIX.0=3.85 DIX.E=3.85

The following statement changes the segregation parameters at the silicon-silicon dioxide interface.The concentration of phosphorous in silicon will be 30 times the concentration of phosphorous in oxideat equilibrium.

IMPURITY I.PHOSPHORUS SILICON /OXIDE SEG.0=30.0 TRN.0=1.66E-7

The following syntax sets the temperature dependent impurity activation of Indium in silicon:

IMPURITY I.INDIUM SILICON SS.TEMP=800 SS.CONC=<VAL1> SS.CLEAR

IMPURITY I.INDIUM SILICON SS.TEMP=900 SS.CONC=<VAL2>

IMPURITY I.INDIUM SILICON SS.TEMP=950 SS.CONC=<VAL3>

etc.....

Page 302: athena_users

ATHENA User’s Manual IMPURITY Statement

7-58 SILVACO International

Note: The transport and segregation coefficients TRN.0, TRN.E, SEG.0, and SEG.E are known tobe inaccurate for some values of concentration, some material combinations, and some temperatureranges. If the simulation is inaccurate, these coefficients should be considered for calibration.

See Also: ANTIMONY, ARSENIC, BORON, PHOSPHORUS, INTERSITITIAL, VACANCY

Page 303: athena_users

INITIALIZE Statement Statements

SILVACO International 7-59

INITIALIZEINITIALIZE — specifies the initial starting material and background doping levels.

SyntaxINITIALIZE[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON

ALUMINUM|TUNGSTEN|TITANIUM|PLATINUM|WSIX|TSIX|PTSIX|GAAS

ALGAAS|INGAAS|SIGE|INP|PHOTORESIST|MATERIAL=<c>]

[BORON|PHOSPHORUS|AMTIMONY|ARSENIC]

[INFILE=<c>][STRUCTURE|INTENSITY]

[ONE.D|TWO.D|][LAYOUT.FILE=<c>]

[X.LOCAT=<n>][X=<n>][CONCENTRAT=<n>][RESISTIVITY=<n>

[ORIENTATION=<n>][LINE.DATA][INTERVAL.R=<n>][SCALE=<n>]

[FLIP.Y][NO.IMPURITY][SPACE.MULT=<n>]

[CYLINDRICAL][ROT.SUB=<n>]

[C.ANTIMONY=<n>][C.ARSENIC=<n>][C.BORON=<n>][C.PHOSPHOR=<n>]

[C.SILICON=<n>][C.GOLD=<n>][C.GERMANIUM=<n>][C.ZINC=<n>]

[C.SELENIUM=<n>][C.BERYLLIUM=<n>][C.MAGNESIUM=<n>][C.CHROMIUM=<n>]

[C.ALUMINUM=<n>][C.CARBON=<n>][C.GALLIUM=<n>][C.FRAC=<n>]

[DEPTH.STR=<n>] [WIDTH.STR=<n>]

Description

This command sets up the mesh from either a rectangular specification or from a previous structurefile. The statement also initializes the background doping concentration in all regions.

Material Related Parameters

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, TUNGSTEN,TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, PHOTORESIST, ALGAAS, INGAAS, SIGE,INP, and MATERIAL specifies the material to be initialized.The MATERIAL=<c> parameter shouldonly be used for user-defined materials.

ORIENTATION specifies the substrate orientation. Only 100, 110 and 111 are recognized; the defaultis 100.

ROT.SUB specifies the substrate rotation to a 100 plane. By default vertical sidewalls in a 100substrate are considered 100 also. Default is -45 degrees.

C.FRAC specifies the composition fraction for compound materials such as SiGe, AlGaAs.

Dopant Related Parameters

ANTIMONY, ARSENIC, BORON, and PHOSPHORUS specifies the type of impurity that forms thebackground doping.Only one of these parameters may be used. If these parameters appear then one ofCONC or RESISTIVITY must also appear.

Page 304: athena_users

ATHENA User’s Manual INITIALIZE Statement

7-60 SILVACO International

CONCENTRAT specifies the background concentration in cm-3.

RESISTIVITY specifies the resistivity in ohms.cm of the initial substrate material.This can be usedas an alternative to CONC.

C.ANTIMONY, C.ARSENIC, C.BORON, C.PHOSPHOR, C.SILICON, C.GOLD,C.GERMANIUM, C.ZINC, C.SELENIUM, C.BERYLLIUM, C.MAGNESIUM, C.CHROMIUM,C.ALUMINUM, C.CARBON, and C.GALLIUM specify an alternative way to set the impurityconcentration. Multiple parameters may be used to define compensated doping in the startingmaterial.

NO.IMPURITY specifies that the calculation be performed without impurities. No impurities will beintroduced during the simulation. This speeds calculation and allows quick analysis of oxidationdeposit, and etch results.

Dimensionality of Simulation

ONE.D, TWO.D, AUTO set whether the run will be in 1D, 2D or the dimensionality automaticallydetermined from the process flow. AUTO is the default.. If ONE.D is used to select a 1-D calculation. Thecalculation will be performed at a location indicated by the parameter X.LOCAT. TWO.D selects thatall process steps will be done in a full two dimensional calculation. If neither is specified or AUTO isused, ATHENA performs 1D calculation until a two-dimensional calculation is required. This istypically at the first ETCH statement which does not remove material across the whole width of thestructure.

X.LOCAT specifies the position within the defined 2D mesh for performing 1D simulation.

Initialize from a File

INFILE specifies a file name for reading; this file must contain a previously saved structure orintensity distribution (see the STRUCTURE statement).

STRUCTURE and INTENSITY specify which type of file is to be initialized. STRUCTURE is thedefault.

Grid and Structure Related Parameters

SPACE.MULT specifies a global spacing multiplier to be applied to the spacings defined on thepreviously specified LINE statements.

INTERVAL.R is the maximum ratio between the distances of adjoining mesh lines. The default is 1.5.

LINE.DATA specifies that locations of mesh lines be printed during execution.

SCALE allows an incoming mesh to be scaled. The default is 1.0.

FLIP.Y is a Boolean parameter which dictates that the mesh should be mirrored about the x axis.

CYLINDRICAL specifies that the boundary conditions should be set for cylindrically symetricstructure. In this case the axis of rotation is X=0.0 and no negative x coordinates are allowed.

DEPTH.STR and WIDTH.STR specify the depth and width of the initial substrate structuredimension for use with the Process Adaptive Meshing module

Example starting from a file

The following statement reads in a previously saved structure from the file TEST.STR .

INITIALIZE INFILE=TEST.STR

Page 305: athena_users

INITIALIZE Statement Statements

SILVACO International 7-61

Example using an oxide substrate

The following statement finishes a rectangular mesh and sets up the mesh with a boron dopingconcentration of 1×1015cm-3.

INITIALIZE OXIDE C.BORON=1E15

Initialization with Adaptive Mesh Example

The following example illustrates an initial structure that will be .8 µm wide and 1 µm deep. The basemesh will be generated automatically according to the BASE.PAR and BASE.MESH parameters in themodel card or in the beginning of the input deck of this simulation.

INIT C.ARSENIC=2E16 WIDTH.STR=.8 DEPTH.STR=1

See Also: BOUNDARY, LINE, REGION, STRUCTURE, BASE.MESH

Page 306: athena_users

ATHENA User’s Manual INTERSTITIAL, VACANCY Statement

7-62 SILVACO International

INTERSTITIAL, VACANCYINTERSTITIAL — sets coefficients of interstitial diffusion, recombination and generation.

VACANCY — sets coefficients of vacancy diffusion, recombination and generation.

Note: These two statements are completely equivalent. All parameters that exist on theINTERSTITIAL statement are also on the VACANCY statement.

INTERSTITIAL|VACANCY SILICON|OXIDE|OXYNITRIDE|NITRIDE

POLYSILICON|ALUMINUM|TUNGSTEN|TITANIUM|PLATINUM|GAAS

WSIX|TISIX|PTSIX|GAS|PHOTORES

ALGAAS|INGAAS|SIGE|INP|MATERIAL=<c>

[/SILICON|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|/ALGAAS|/INGAAS|

/SIGE|/INP|/ALUMINUM|/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|PTSIX|

/GAAS|/GAS|/MATERIAL=<c>)][D.0=<n>][D.E=<n>][KR.0=<n>][KR.E=<n>]

[CSTAR.0=<n>][CSTAR.E=<n>][KTRAP.0=<n>][KTRAP.E=<n>]

[NEU.0=<n>][NEU.E=<n>][NEG.0=<n>][NEG.E=<n>

[DNEG.0=<n>][DNEG.E=<n>][POS.0=<n>][POS.E=<n>

[DPOS.0=<n>][DPOS.E=<n>][ANTIMONY|ARSENIC

BORON|PHOSPHORUS][TIME.INJ][GROWTH.INJ][RECOMB]

[KSURF.0=<n>][KSURF.E=<n>][KRAT.0=<n>][KRAT.E=<n>]

[KPOW.0=<n>][KPOW.E=<n>][VMOLE=<n>][[GPOW.0=<n>

[GPOW.E=<n>][A.0=<n>][A.E=<n>][T0.0=<n>][T0.E=<n>]

[TPOW.0=<n>][TPOW.E=<n>][REC.STR=<n>][INJ.STR=<n>]

[THETA.O=<n>][THETA.E=<n>][/THETA.O=<n>][/THETA.E=<n>]

[WET02|DRY02]

[DAMALPHA=<h>] [IVFACTOR=<n>][ISURFACT=<n>][IIFACTOR=<n>]

DescriptionThese two equivalent commands specifies values for coefficients of the interstitial continuity equation.The statement allows coefficients to be specified for each of the materials. ATHENA has tuned defaultvalues only for silicon and the interfaces with silicon. Since polysilicon has not been characterized asextensively as silicon, its default parameters are those of silicon.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, TUNGSTEN,TITANIUM, PLATINUM, WSIX, TISIX, PTSIX GAAS, GAS, PHOTORESIST, ALGAAS,INGAAS, SIGE, INP and MATERIAL are the parameters representing the materials that may beused in conjunction with point defects. Only one material parameter may be specified per statement,and that statement will apply only in the material listed. The parameter MATERIAL=<string> allowsthe user to select a user-defined material. The material parameter is used to indicate the first materialfor defining interface parameters such as segregation and transport.

Page 307: athena_users

INTERSTITIAL, VACANCY Statement Statements

SILVACO International 7-63

Defect Diffusion

D.0 and D.E specify the diffusion coefficient of the interstitials. The units are in cm /s.

CSTAR.0 and CSTAR.E allow the specification of the total equilibrium concentration of interstitialsin intrinsically-doped conditions.

ANTIMONY, ARSENIC, BORON and PHOSPHORUS specify the dopant for which interstitialcoupling parameters will be defined.

NEU.0, NEU.E, NEG.0, NEG.E, DNEG.0, DNEG.E, POS.0, POS.E, DPOS.0 and DPOS.E specifythe relative concentration of interstitials in the various charge states (neutral, negative, doublenegative, positive, double positive) under intrinsic doping conditions The default values are 5 x 1022

and 2.36eV [13].

Interface Parameters

/SILICON, /OXIDE, /OXYNITRIDE, /NITRIDE, /POLYSILICO, /ALUMINUM, /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /GAAS, /GAS, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL specify material 2. Only one material may be specified per statement. Thestring parameter /MATERIAL allows the user to select a user-defined material for material 2.Material 2 is used to indicate the second material for defining interface parameters such assegregation and transport

TIME.INJ, GROWTH.IN and RECOMB specify the type of reactions occurring at the specifiedinterface. The TIME.INJ parameter means that a time dependent injection model should be chosen.The GROWTH.INJ parameter ties the injection to the interface growth velocity. The RECOMB parameterindicates a finite surface recombination velocity.

Defect Recombination

KR.0 and KR.E allow the specification of the bulk recombination rate. The units are measured incm3/s.

KSURF.0, KSURF.E, KRAT.0, KRAT.E, KPOW.0 and KPOW.E allow the specification of the surfacerecombination velocity.

IVFACTOR, IIFACTOR, ISURFACT specify I/V Bimolecular recombination ratios in HIGH.CONCmodel.

KTRAP.0 and KTRAP.E allow the specification of the trap reaction rate. At present, it is very difficultto extract exact values for these parameters. The default values assume that the trap reaction islimited by the interstitial concentration. The trap coefficient is essentially infinity.

DAMALPHA specifies the defect recombination rate in the dislocation loop region.

Defect Generation

VMOLE, THETA.0, THETA.E, GPOW.0 and GPOW.E allow the specification of generation that isdependent on the growth rate of the interface.

REC.STR and INJ.STR are useful for experimenting with new models for recombination or injectionat interfaces. Three macros are defined for use: T, the time in seconds, and X and Y, the coordinates. Ifthese are specified, they are used in place of any other model. For example, the statement:

INTERST SILICON /OXIDE INJ.STR = (10.0E4*EXP( T / 10.0 ))

describes an injection at the silicon oxide interface that exponentially decays in time.

The ratio between the number of atoms consumed and the number of atoms injected is given by thefollowing equation:

Page 308: athena_users

ATHENA User’s Manual INTERSTITIAL, VACANCY Statement

7-64 SILVACO International

R = THETA.0EXP 7-1

where R is the ratio.

WETO2, DRYO2 specify whether the parameters THETA.0, THETA.E are for wet oxidation or dryoxidation. The default is DRYO2.

THETA.0 specifies the value of THETA.0 for the first material.

THETA.E specifies the value of THETA.E for the first material.

/THETA.0 specifies the value of THETA.0 for the second material.

/THETA.E specifies the value of THETA.E for the second material.

A.0, A.E, T0.0, T0.E, TPOW.0 and TPOW.E allow an injection model with a flexible time dependency.

CNET Model Parameters

NEU.0 pre-factor of product of equilibrium neutral I/V concentration and reaction coefficient of givenneutral impurity /defect pair (unit: 1, default:1.0).

NEU.E active energy of product of equilibrium neutral I/V concentration and reaction coefficient ofgiven neutral impurity /defect pair (unit: eV, default:1.0).

NEG.0 pre-factor of product of equilibrium neutral I/V concentration and reaction coefficient of givennegative impurity /defect pair (unit: 1, default:0.0).

NEG.E active energy of proudct of equilibrium neutral I/V concentration and reaction coefficient ofgiven negative impurity /defect pair (unit: eV, default:0.0).

DNEG.0 pre-factor of product of equilibrium neutral I/V concentration and reaction coefficient of givendouble negative impurity /defect pair (unit: 1, default:0.0).

DNEG.E active energy of product of equilibrium neutral I/V concentration and reaction coefficient ofgiven double negative impurity /defect pair (unit: eV, default:0.0).

POS.0 pre-factor of product of equilibrium neutral I/V concentration and reaction coefficient of givenpositive impurity /defect pair (unit: 1, default:0.0).

POS.E active energy of product of equilibrium neutral I/V concentration and reaction coefficient ofgiven positive impurity /defect pair (unit: eV, default:0.0).

DPOS.0 pre-factor of product of equilibrium neutral I/V concentration and reaction coefficient of givendouble positive impurity /defect pair (unit: 1, default:0.0).

DPOS.E active energy of product of equilibrium neutral I/V concentration and reaction coefficient ofgiven double positive impurity /defect pair (unit: eV, default:0.0).

Basic Example

The following statement specifies the silicon diffusion and equilibrium values for interstitials.

INTERST SILICON DI.0=5.0E-7 D.E=0.0 CSTAR.0=1.0E13 CSTAR.E=0.0

Defect Injection during Oxidation Example

The following statement specifies the oxide - silicon interface injection for DRYO2 ambient is to becomputed using the oxide growth velocity and with 1% of consumed silicon injected as interstitials.

THETA·E

kT-----------------------–

Page 309: athena_users

INTERSTITIAL, VACANCY Statement Statements

SILVACO International 7-65

INTERST SILICON /OXIDE GROWTH VMOLE=5.0E22 \

THETA.0=0.01 THETA.E=0.0

Surface Recombination Example

The following statement specifies that the surface recombination velocity at the nitride siliconinterface is 3.5 × 10-3 cm/s.

INTER SILICON /NITRIDE KSURF.0=3.5E-3 KSURF.E=0.0 KRAT.0=0.0

CNET Model Example

The following statements specify that there are equal numbers of negative and neutral chargedinterstitials in intrinsic doping.

INTERST SILICON NEU.0=1.0 NEG.0=1.0 POS.0=0.0 \

DNEG.0=0.0 DPOS.0=0.0

INTERST SILICON NEU.E=0.0 NEG.E=0.0 POS.E=0.0 \

DNEG.E=0.0 DPOS.0=0.0

General Comments

Note: The absolute equivalence of INTERSTITIAL and VACANCY statement syntax is done withoutregard to the physical meaning. For example, vacancy injection during oxidation could be definedalthough default parameters are zero

Note: The models used here are involved in ongoing research. Many of the parameters have unknowndependencies on stress, temperature, starting silicon material, stacking fault density.

See Also: IMPURITY, TRAP, VACANCY

Page 310: athena_users

ATHENA User’s Manual LAYOUT Statement

7-66 SILVACO International

LAYOUTLAYOUT — describes manual input of mask features for OPTOLITH.

Syntax[X.LOW=<n>][Z.LOW=<n>][X.HIGH=<n>][Z.HIGH=<n>]

[X.TRI=<n>][Z.TRI=<n>][HEIGHT=<n>][WIDTH=<n>]

[X.CIRCLE=<n>][Z.CIRCLE=<n>][RADIUS=<n>]

[ROT.ANGLE=<n>][PHASE=<n>][TRANSMIT=<n>][LAY.CLEAR=<n>]

Description

This command is used to enter mask coordinates for OPTOLITH. The LAYOUT statement provides analternative to creating layout files with MASKVIEWs. Many LAYOUT statements can be used insequence to define complete mask patterns.

X.LOW specifies the minimum x coordinate of the rectangular feature.

Z.LOW specifies the minimum z coordinate of the rectangular feature.

X.HIGH specifies the maximum x coordinate of therectangular feature.

Z.HIGH specifies the maximum z coordinate of the rectangular feature.

X.TRI specifies the x coordinate of the right angle corner of the triangular feature.

Z.TRI specifies the z coordinate of the right angle corner of the triangular feature.

HEIGHT specifies the height of the right angle triangle feature.

WIDTH specifies the base width of the right angle triangle feature.

X.CIRCLE specifies the x coordinate of the center of the circular feature.

Z.CIRCLE specifies the z coordinate of the center of the circular feature.

RADIUS specifies the radius of the circular feature.

ROT.ANGLE specifies the angle of rotation of the feature (-180°≤ROT.ANGLE≤180°) with respect tothe x-axis. The default value is 0°. The center of rotation is at the center of the rectangle and at theright angle corner of the triangle, respectively.

PHASE specifies the phase shift produced by the feature (-180°≤PHASE≤180°). The default value is 0°.

TRANSMIT specifies the intensity transmittance of the feature (0≤TRANSMIT≤1). The default valueis unity.

LAY.CLEAR specifies that the currently defined layout should be deleted prior to the execution of thenew layout definition.

ExamplesThe following statement describes a mask feature that is 2 microns in the x dimension and 0.4 micronsin the z direction and rotated by 45° with respect to the x-axis.

LAYOUT X.LO=-1 X.HI=1 Z.LO=-0.2 Z.HI=0.2 ROT.ANGLE=45 \

TRANSMIT=1

See Also: IMAGE, ILLUMINATION, PROJECTION, ILLUM.FILTER, PUPIL.FILTER, ABERRATION, and the VWF InteractiveTools manual

Page 311: athena_users

LINE Statement Statements

SILVACO International 7-67

LINELINE — specifies a mesh line during grid definition.

SyntaxLINE X|Y LOCATION=<n>[SPACING=<n>][TAG=<c>]

DescriptionThis statement defines the position and spacing of mesh lines. All LINE statements should comebefore the REGION and BOUNDARY statements, which should in turn be followed by an INITIALIZEstatement.

X and Y specify whether a mesh line is horizontal or vertical.

LOCATION specifies the location along the chosen axis (in microns) at which the line should bepositioned. The x coordinate increases from left to right; the y coordinate increases progressing fromtop to bottom going into the substrate. This is the opposite of normal Cartesian y-axis progressionwhich increases going upward.

SPACING specifies the local grid spacing (in microns). ATHENA adds mesh lines to the ones givenaccording to the following recipe. Each user line has a spacing, be it specified by the user or inferredfrom the nearest neighbor. These spacings are then smoothed out so no adjacent intervals have a ratiogreater than the value given by INTERVAL.R on the INITIALIZE statement (default is 1.5). New gridlines are then introduced so that the line spacing varies geometrically from one end of the interval tothe other. Refer to the example below.

TAG labels lines for later reference by BOUNDARY and REGION statements. The tag label may beany word.

ExamplesIn the following specifications, there are 3 user-specified x lines and 2 user-specified y lines. Spacing ofthe x lines is finer in the center than at the edges. After processing, ATHENA produces a mesh with xlines at 0.0, 0.42, 0.69, 0.88, 1.0,1.12, 1.31, 1.58, 2.0. Around the center, the spacing is 0.12,approximately what was requested. At the edge, the spacing is 0.42, because that was as coarse as theline spacing could get without having an interval ratio greater than 1.5. If the interval ratio is set to 9,then we would have one interval of 0.9 and one interval of 0.1 on each side. In this example, specifyinga spacing of 1 would produce an x line at 0.0 and 1.0.

LINE X LOC=0 SPA=1 TAG=LEFT

LINE X LOC=1 SPA=0.1

LINE X LOC=2 SPA=1 TAG=RIGHT

LINE Y LOC=0 SPA=0.02 TAG=SURF

LINE Y LOC=3 SPA=0.5 TAG=BACK

Page 312: athena_users

ATHENA User’s Manual Statements

7-68 SILVACO International

Note: It is difficult to predict how many lines are going to be generated in each interval. The initial meshspecification is quite important to the success of the simulation. Use the geometric mode, invoked byspecifying the NO.IMP parameter on the INITIALIZE statement to perform a fast simulation withoutimpurities to determine if the grid spacings are appropriate.

See Also:INITIALIZE, REGION, BASE.MESH, BASE.PAR

Page 313: athena_users

MASK Statement Statements

SILVACO International 7-69

MASKMASK — deposits and patterns photoresist via the MASKVIEWS interface.

SyntaxMASK NAME=”<c>” [REVERSE ] [DELTA=<n>]

DescriptionMASK is used to interface to Silvaco’s general purpose layout editor, MASKVIEWS. When a maskstatement is specified, ATHENA will deposit photoresist and pattern it by etching. The etched patternis determined by the cut line selected in MASKVIEWS. See the VWF INTERACTIVE TOOLS manual for acomplete description of this feature.

NAME specifies the name of the layer that defines the photoresist patterning. Mask names mustappear inside of double quotes. This name must correspond to a mask name contained in the layoutfile invoked via DECKBUILD. The mask names are case sensitive and cannot be abbreviated.

REVERSE specifies that the mask polarity should be reversed or that negative type photoresistshould be modeled.

DELTA specifies an offset in mask size. The offset corresponds to a change in CD (critical dimension)of the mask. Each edge of the mask is moved by a distance DELTA to enlarge or contract the maskfeature.

ExamplesThe following statment deposits photoresist on the top of the simulation structure and etches it withthe pattern prescribed by the MaskViews layout. The layout file must be specified via the MaskViewsinterface as described in the VWF Interactive Tools manual or the Chapter 2 tutorial.

MASK NAME="CONT"

See Also: STRIP

Page 314: athena_users

ATHENA User’s Manual MATERIAL Statement

7-70 SILVACO International

MATERIALMATERIAL — sets the coefficients for materials.

SyntaxMATERIAL[IMPL.SCALE=<n>](SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON

TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|PHOTORESIST

ALGAAS|INGAAS|SIGE|INP|ALUMINUM

MATERIAL=<c>)[WETO2|DRY02][NI.0=<n>][NI.E=<n>]

[NI.POW=<n>][EPS=<n>][VISC.0=<n>][VISC.E=<n>][VISC.X=<n>]

[YOUNG.M=<n>][POISS.R=<n>][LCTE=<c>][INTRIN.SIG=<n>]

[DENSITY=<n>][AT.NUM.1=<n>][AT.NUM.2=<n>]

[AT.NUM.3=<n>][AT.NUM.4=<n>][AT.MASS.1=<n>]

[AT.MASS.2=<n>][AT.MASS.3=<n>][AT.MASS.4=<n>]

[ABUND.1=<n>][ABUND.2=<n>][ABUND.3=<n>][ABUND.4=<n>]

[MAX.DAMAGE=<n>][DAM.THRESH=<n>][IMPL.SCALE]

[GB.VOL.RATI=<n>][GB.SEG=<n>][GRAIN.SIZE=<n>][GB.ENERGY=<n>]

[GB.DIX.0=<n>][G.DIX.E=<n>]

[REFLOW][GAMMA.REFLO=<n>]

[CR.0][CR.E] [NO.FLIP]

DescriptionThis statement specifies values for coefficients of the intrinsic concentration and relative permittivityfor all the materials.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, PHOTORESIST, ALUMINUM,TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INPand MATERIAL indicate the material type to which the remainder of the parameters apply. TheMATERIAL=<c> parameter should only be used for user-defined materials.

WETO2 and DRYO2 specify whether the parameters are for wet or dry oxidation. When oxide isspecified, it also necessary to specify how the oxide will be formed and it is done with these parametersin the MATERIAL statement

NI.0, NI.E, and NI.POW specify the dependencies of the intrinsic electron concentration as a functionof temperature. Note this value is not used in EXTRACT electrical calculations

EPS specifies the relative dielectric permittivity of the material. This value is used to calculate electricfield in semiconductors. Note this value is not used in EXTRACT electrical calculations.

Parameters related to Material Stress and Viscosity

VISC.0, VISC.E, and VISC.X are the parameters specifying viscosity. VISC.0 is the pre-exponentialcoefficient, in g/(cm·s); VISC.E is the activation energy, in eV; VISC.X is the incompressibility factor.

YOUNG.M is Young’s modulus for the material in dyne cm-2. This parameter is used in stresscalculations and also with the compress model for oxidation . (Also see METHOD LIFT.POLY)

POISS.R is Poisson’s ratio for the material. This parameter is used in stress calculations.

Page 315: athena_users

MATERIAL Statement Statements

SILVACO International 7-71

LCTE gives the linear coefficient of thermal expansion as a function of temperature (T). It isexpressed as a fraction rather than a percentage.

INTRIN.SIG specifies the initial uniform stress state of a material, such as a thin film of nitridedeposited on the substrate. It can be specified as a function of temperature by using an expression andthe variable T (expressed in °K).

Parameters related to Material structure during IMPLANT

DENSITY specifies the density of the material in gm/cm3.

AT.NUM.1, AT.NUM.2, AT.NUM.3, and AT.NUM.4 specify the atomic numbers of the constituentatoms of the material.

AT.MASS.1, AT.MASS.2, AT.MASS.3, and AT.MASS.4 specify the atomic masses of the constituentatoms of the material in atomic mass units.

ABUND.1, ABUND.2, ABUND.3, and ABUND.4 specify the relative fraction of the constituentatoms of the material.

Note: At least one parameter from each of the four lines above are required to define materials forMonte Carlo implants

MAX.DAMAGE specifies the maximum amount of damage that can be caused by a Monte CarloImplant. Units are cm-3. The default is 1.0e22cm-3

DAM.THRES specifies the energy threshold of damage generation during a Monte Carlo implant.Units are eV. The default is 25eV.

IMPL.SCALE specifies a scaling factor for analytical implant ranges. This is used along with amaterial name to scale the implant parameters for that material. The parameters RANGE, STD.DEV,SRANGE and SSTD.DEV for the material will be multiplied by IMPL.SCALE for any subsequentimplant.

Parameters related to the Polysilicon Diffusion Model

The current implementation allows only a single scalar value of as-deposited polysilicon grain size.Two sets of physical parameters need to be set up to enable proper diffusion:

1. parameters related to the polysilicon material, are set up in MATERIAL statement

2. parameters related to the specific impurity, are set up with the IMPURITY statement

GB.VOL.RATIO specifies the volume fraction of grain boundaries to total material volume whichgives the relative magnitude of the two concentration components (unit: Vgb/Vtot, default: 0.1).

GRAIN.SIZE specifies the initial grain size (unit: µm, default: 0.2) (g0 in Equation 3-54).

GB.SEG specifies the density of segregation sites at the grain boundary (Equation 3-53).

GB.ENERGY specifies the grain boundary energy which accounts for the grain size evolution duringrecrystallization (unit: eV/cm2, default: 1.0), ( λ in Equation 3-54).

GB.DIX.0 specifies the grain boundary silicon self-diffusivity (unit: cm2/sec, default: 1.0e-12), (seeEquation 3-55).

GB.DIX.E specifies the activation energy of the grain boundary silicon self-diffusivity (unit: eV,default: 0.0), (see Equation 3-55).

Page 316: athena_users

ATHENA User’s Manual MATERIAL Statement

7-72 SILVACO International

Parameter related to REFLOW calculations

REFLOW specifies that the material will flow when a DIFFUSE step including REFLOW is define

GAMMA.REFLO specifies the surface tension parameter used in the reflow calculation.Units aredyne/cm. Note that the material viscocity (VISC.* parameters) will also affect the rate of reflow.

Parameters related to the CNET Models

CR.0, CR.E set the capture cross sections for point defect recombination in the CNET diffusionmodels. These parameters are the pre-factor and activation energy respectively. Units are Angstromsand eV, defaults are 2.35 and 0.0.

Parameters related to the grid control

NO.FLIP specifies that triangle flipping procedure should not be applied to the specified material.

ExamplesThe following statement specifies the silicon relative permittivity.

MATERIAL SILICON EPS=11.9

The following statement specifies the nitride coefficient of thermal expansion as a function of absolutetemperature T. Thus, at 0°K the coefficient is .0003%/°K. The initial stress in the nitride film is 1.4 ×1010 dyne cm-2 and Young’s modulus for the nitride film is 3.0 × 1012 dyne cm-2.

MATERIAL NITRIDE LCTE=(3E-6 + 2*1E-10 * T) \

INTRIN.SIG=1.4E10 YOUNG.M=3E12

The following statement defines some properties of a material called BPSG. The material is composedof silicon, oxygen, boron, and phosphorus with fraction composition .3, .6, .05, and .05, respectively.Monte Carlo Implants could be performed into this material based on this definition.

MATERIAL MATERIAL=BPSG AT.NUM.1=14 AT.NUM.2=8 AT.NUM.3=5 \

AT.NUM.4=15 AT.MASS.1=28.086 AT.MASS.2=16 AT.MASS.3=10.8 \

AT.MASS.4=31 ABUND.1=.3 ABUND.2=.6 ABUND.3=.05 ABUND.4=.05

See Also: OXIDE, STRESS, DIFFUSE

Page 317: athena_users

METHOD Statement Statements

SILVACO International 7-73

METHODMETHOD — Selects numerical methods and models for diffusion and oxidation.

SyntaxMETHOD VACANCIES|INTERSTIT|ARSENIC|PHOSPHORUS|INDIUM

ANTIMONY|BORON|OXIDANT|VELOCITY|TRAPS|GOLD|PSI|PAC|

|BERYLLIUM|SELENIUM|SILICON|MAGNESIUM|ZINC

[REL.ERROR=<n>][ABS.ERROR=<n>][INIT.TIME=<n>]PDINIT.TIME

TRBDF|FORMULA][LOWTHER]

[FILL][PERIMETER=<n>][MIN.FILL][MIN.FREQ=<n>]

[GAUSS|CG][BACK=<n>][BLK.ITLIM=<n>][TIME|ERR|NEWTON]

[DIAG|KNOT|FULL.FAC][FERMI|TWO.DIM|STEADY|FULL.CPL

POWER][I.LOOP.SINK] [CLUSTER.DAM][HIGH.CONC]

[ERFC|ERFG|ERF1|ERF2|VERTICAL|COMPRESS|VISCOUS]

[GRID.OXIDE=<n>][GRIDINIT.OX=<n>][SKIP.SIL][OXIDE.GDT=<n>]

[REDO.OXIDE=<n>][OXIDE.EARLY=<n>][OXIDE.LATE=<n>]

[OXIDE.REL=<n>][T.DEFECT=<n>][TRUNC.DEF=<n>]

[GLOOP.IMAX=<n>][GLOOP.EMIN=<n>][GLOOP.EMAX=<n>]

[FE.RELERR=<n>][FE.ABSERR=<n>][TD.RELERR=<n>]

[TD.ABSERR=<n>][ST.RELERR=<n>][ST.ABSERR=<n>][FU.RELERR=<n>]

[FU.ABSERR=<n>][VERBOSE][GRIDINIT.OXIDE=<n>]

[GRID.SILICI=<n>][GRIDINIT.SI=<n>

[LIFT.POLY][LIFT.OXIDE][LIFT.NITRID][OX.OBFIX=<n>]

[SILICIDE][SLCD.RELERR][SLCD.ABSERR]

[LOWTHER][POLY.DIFF][FLIP.FACTOR=<n>]

[ADAPT][DEPO.SMOOTH]ETCH.SMOOTH][DIFF.SMOOTH][STEP.SMOOTH]

[IMPLANT.MES=<n>]

[PAIR.DEFEC][CLUSTER.DEFEC][CHARGE.DEFEC][DIFFSVTY.DEFEC]

[RECOM.DEFEC][PERCO.DEFEC] [CNET.MODEL]

[CLUSTER.S4]

[OX.THRESH=<n>] [MIN.TEMP=<n>]

[ILFEM] [ILF.INITT=<n>] [ILF.TOLERAN=,n.] [ILF.STPTOL=<n>]

DescriptionThis statement is used to set flags to select the various mathematical algorithms that will be used toproduce the simulation and to select the desired diffusion and oxidation model complexity. Appropriatedefaults for the numerical parameters are included in the file (athenamod) so it may be only necessaryto specify the desired diffusion and oxidation model. The numerical methods used in ATHENA for thesolution of the diffusion equations are described in [12].

Page 318: athena_users

ATHENA User’s Manual METHOD Statement

7-74 SILVACO International

Parameters related to DIFFUSION models

FERMI, TWO.DIM, STEADY, and FULL.CPL specify the type of diffusion equations to be solvedwith particular regard to the point defect models. (see “Point Defect Diffusion”). The FERMI parameterspecifies that the defects are assumed to be a function of the Fermi level only. The TWO.DIM parameterspecifies that a full time dependent transient simulation should be performed. The STEADY parameterspecifies that the defects are assumed to be in a steady state. The FULL.CPL parameter specifies thatfull coupling between defects and dopants should be included. The default is FERMI.

Note: A complete description of the use of these diffusion models for typical applications can be foundin the Tutorial Chapter of this manual

CLUSTER.DAM specifies that the Stanford (311) cluster model is enabled allowing a scaled profile of(311) clusters during a subsequent implant. This model should be used only when FULL.CPL is alsospecified It will further cause a transient dissolution of the (311) clusters leading to bulk interstitialinjection. The CLUSTER statement is used to set parameters for this model.

Note: For correct operation METHOD CLUSTER.DAM FULL.CPL must be set before the IMPLANTstatement that generates the (311) clusters.

HIGH.CONC specifies that extra dopant concentration dependent point defect recombination modelterms are enabled. The parameters ISUFACT, IVFACT, ITFACT on the INTERSTITIAL command areused when METHOD HIGH.CONC is enabled.

I.LOOP.SINK, V.LOOP.SINK specifies that a dislocation loop band may be specified during asubsequent implant and that the loops may behave as an interstitial or vacancy sink during diffusion.The command DISLOC.LOOP is used to set parameters for this model.

POLY.DIFF specifies that the two-stream polysilicon diffusion model should be used. See the ModelsChapter and MATERIAL statement.To operate accurately this model must be set before the depositionof the polysilicon material.

POWER specifies a simpler diffusion model appropriate for large scale devices. This model has simpletemperature based diffusion coefficients with no calculation of point defects. Dopant segregation isalso neglected in this model.

CLUSTER.S4 specifies that a dopant clustering model for activation should be used for allimpurities. This is false by default, implying that the clustering model is used only for Arsenic whileall other dopant species use a simple solid solubility model.

LOWTHER specifies that Lowther’s discretization technique be used. This results in less gridsensitivity in the solutions allowing a coarser grid to be used. This parameter is true by default.It hasbeen shown that for the same mesh a 2-3x speed increase can be gained by setting this parameter tofalse. However results on coarse meshes with LOWTHER=f will be inaccurate

MIN.TEMP specifies the minimum temperature for which impurity diffusion is considered. Attemperatures below MIN.TEMP the impurities are considered immobile. The default is 700C°. Withcaution, this parameter may be set to a lower value for certain diffusion steps.

Parameters related to OXIDATION models

ERFC, ERFG, ERF1, ERF2, VERTICAL, COMPRESS, and VISCOUS are oxidation models (see“Oxidation Models”section). The ERFC parameter indicates that a simple error function approximation

Page 319: athena_users

METHOD Statement Statements

SILVACO International 7-75

to a bird’s beak shape should be used. The ERF1 and ERF2 models are analytic approximations to thebird’s beak from the literature (see the OXIDE statement). The ERFG model chooses whichever of ERF1or ERF2 is most appropriate. All erf models are applicable only to the simplest case of oxidation to theright of the mask edge. All relevant parameters in the OXIDE statement must be explicitly specifiedwhen using any of the ERF* models.

The VERTICAL model indicates that growth is entirely vertical. The COMPRESS model regards theoxide as a compressible liquid. The VISCOUS model treats the oxide as an incompressible viscousliquid. Oxide is actually believed to be incompressible, but the compressible model runs faster.Thedefault is the COMPRESS model

Note: For Hints on the use of the different oxidation models see the Tutorial Chapter

Note: Use of the VERTICAL model is not recommended in ATHENA

OX.THRESH specifies that the oxidation threshold model is enabled. This does not allow oxidationwhen the concentration of oxidant drops below a critical threshold value set by MIN.OXIDANT on theOXIDE statement.

SKIP.SIL is a Boolean parameter which controls the computation of stress in silicon. SKIP.SILdefaults to true. stress can be computed only when the VISCOUS oxide model is used. The siliconsubstrate is treated as an elastic solid subject to the tensions generated by the oxide flow.Indiscriminate use is not recommended. The silicon grid is usually much larger than the oxide grid,and stress computation is correspondingly more lengthy.

LIFT.POLY, LIFT.OXIDE, and LIFT.NITRID specify that the polysilicon, oxide, and nitridematerials can be lifted by oxidation or silicidation processes. These are true by default, but can be setto false to eliminate the lifting portion of the calculation for geometries where lifting is not expected tooccur.

REDO.OXIDE To save time, the oxide flow field need not be computed every time the diffusionequation for impurities is solved. The parameter REDO.OXIDE specifies the percentage of the timerequired to oxidize the thickness of one grid layer which should elapse before resolving the flow field.Usually REDO.OXIDE is much less than OXIDE.GDT, which is an upper bound on how long the solutionshould wait. It is mainly intended to exclude solving oxidation at each and every one of the first fewmillisecond time steps when defects are being tracked.

Parameters Related to Timestep Control

INIT.TIME specifies the initial timestep value. The default is 0.1 seconds.

PDINIT.TIME specifies the initial time step for point defect diffusion. Point defects are held fixed forthe first timestep. The default is 10-5 seconds.

T.DEFECT specifies time in seconds for which point defect injection will be neglected during anoxidation. THe default is 5 seconds

OXIDE.GDT limits the timestep during oxidation to a fraction (OXIDE.GDT) of the time required tooxidize the thickness of one grid layer (GRID.OXIDE). The time step may be limited by oxidation aswell as by diffusion and the value of OXIDE.GDT will limit the timestep if it is more stringent than thelimits imposed by diffusion. OXIDE.GDT <<1 is recommended to improve resolution of oxidizingdiffusions.The default is 0.25

Page 320: athena_users

ATHENA User’s Manual METHOD Statement

7-76 SILVACO International

TRBDF and FORMULA specify the time integration method to be used. The TRBDF parameterindicates that a combination trapezoidal rule/backward difference should be used. The error isestimated using Milne’s device. The FORMULA method allows you to specify the time step directly as afunction of time (t), previous time step (dt) and grid time (gdt). This option is primarily for testing. TheTRBDF method is the default. The time step methods have been taken from [28] and [19].

Parameters related to Solution Numerics

Note: The following parameters relate to solutions using the traditional numerical method and not theILFEM method described below.

VACANCIES, INTERSTIT, ARSENIC, PHOSPHORUS, ANTIMONY, PAC, BERYLLIUM,SELENIUM, SILICON, MAGNESIUM, ZINC, BORON, OXIDANT, VELOCITY, TRAPS, GOLD,and PSI are the parameters to specify a single impurity or potential. The error bound (tolerance)parameters are specific for each impurity.

REL.ERR indicates the precision with which the impurity solution must be solved. In general, theactual error will be less than half of the indicated error. The defaults are 0.01 for all impurities exceptthe potential, which is solved to 0.001.If this parameter is used an impurity should also be specified

ABS.ERR specifies the error tolerance absolute value. For dopants, the absolute error defaults to 1.0 ×109. For defects, the absolute error defaults to 1.0×105. For the potential, the error defaults to 1.0×10-

6.If this parameter is used an impurity should also be specified

FE.RELERR and FE.ABSERR specify the relative error and absolute error for the FERMI model.

TD.RELERR and TD.ABSERR specify the relative error and absolute error for the TWO.DIM model.

ST.RELERR and ST.ABSERR specify the relative error and absolute error for the STEADY model

FU.RELERR and FU.ABSERR specify the relative error and absolute error for the FULL.CPL model.

SILICIDE, SLCD.RELERR, and SLCD.ABSERR define the absolute and relative error criteria forsilicidation calculations.The SILICIDE parameter is a boolean to select that these errors are met forconvergence. It is true by default.

MIN.FILL and MIN.FREQ allow users to specify a minimum fill. It defaults to true. This is a highlyrecommended option since it can reduce the matrix sizes by a factor of two or more, and operationspeed is a function of the size of the matrix. MIN.FREQ is a parameter which controls the frequency ofthe minimum fill reorderings. It is only partially implemented and will have no effect on thecalculation.

GAUSS and CG allows the user to specify the type of iteration performed on the linear system as awhole. CG specifies that a conjugate residual should be used. The CG algorithm is described in [30].

BACK specifies the number of back vectors that can be used in the CG outer iteration. The default isthree and the maximum possible value is six.

Note: A higher value of BACK will give faster convergence at the cost of more memory usage.

BLK.ITLIM is the maximum number of block iterations that can be taken. The block iteration willfinish at this point independent of convergence.

TIME, ERROR, and NEWTON specify the frequency with which the matrix should be factored. Thedefault is TIME. The TIME parameter specifies that the matrix should be factored twice per time step.

Page 321: athena_users

METHOD Statement Statements

SILVACO International 7-77

This option takes advantage of the similarity in the matrix across a time integration. The ERRORparameter indicates that the matrix should be factored whenever the error in that block is decreasing.The NEWTON parameter forces factorization at every NEWTON step.

DIAG, KNOT, and FULL.FAC specify the amount of fill to be included in the factorization of thematrix. FULL.FAC indicates that the entire amount of fill is to be computed. The DIAG parameterindicates that only the diagonal blocks should be factored in the matrix. The KNOT parameter isinactive. DIAG is the default parameter, although under certain conditions (one-dimensional stripes),FULL.FAC will perform better.

OXIDE.EARLY, OXIDE.LATE, and OXIDE.REL should not normally be modified. They relate tointernal numerical mechanisms, and are described here only for the sake of completeness. A nodewhose spacing decreases proportionally by more than OXIDE.LATE is marked for removal. Also, if anynodes are being removed, then all nodes greater than OXIDE.EARLY are removed.For earlier noderemoval (fewer obtuse triangles), try OXIDE.LATE=0.3 and OXIDE.EARLY=0.1. Though not logical, itis harmless for OXIDE.EARLY to be greater than OXIDE.LATE. The OXIDE.REL parameter is thepercentage error in velocities for the nonlinear viscous model. The default is 1.0×10-2 (that is, a 1.0percent error). OXIDE.REL can be increased for a faster solution.

TRUNC.DEF specifies that defect concentrations that become negative due to numerical difficultiesbe forced to a positive value.

Parameters related to Grid Control during Oxidation

Many grid related problems during oxidation are related to the initial oxide deposition. See the OXIDEstatement for more about initial oxides.

GRID.OXIDE=<n> is the desired thickness, in microns, of grid layers to be added to the growingoxide. It has an effect on time steps (refer to OXIDE.GDT). The default for this parameter is 0.1microns.

GRIDINIT.OX specifies the initial oxide spacing (in microns) which will be used in the field region.The default for this parameter is 0.1 microns.

GRID.SILICI specifies the maximum silicide grid spacing (in microns). The default for this parameteris 0.1 microns.

GRIDINIT.SI specifies the initial silicide spacing (in microns) which will be used in the field region.The default for this parameter is 0.1 microns.

GLOOP.EMIN, GLOOP.EMAX, and GLOOP.IMAX control loop detection during grid manipulation.The default value is GLOOP.IMAX = 170æ . Loop detection checks for intrusions and extrusions in theboundary. The intrusion-fixing algorithm is triggered by angles greater than GLOOP.IMAX. A largervalue means that more extreme intrusions can develop and increases the possibility of a tangled grid.A smaller value leads to earlier intrusion-fixing; too small a value will lead to inaccuracy due topremature intervention. Similar concerns apply to the other parameters. The values are a compromisebetween safety and accuracy. The extrusion-fixing algorithm is always triggered by angles greaterthan GLOOP.EMAX. It may be triggered by lesser extrusions, anything greater than GLOOP.EMIN, if theextrusion is a single-triangle error in the boundary. The default value is GLOOP.EMIN=130°. Neither ofthese parameters should be less than 90°, because the rectangular edges of the simulation space wouldbe smoothed.

OX.OBFIX specifies the cosine squared of the worst angle allowed during oxidation.

FLIP.FACTOR is a parameter that allows the user to control the criteria for flipping the diagonal of apair of triangles during oxidation. It is a measure of the obtuseness of the angles of the opposite nodesof a pair of triangles. The default value is 1.10-6 and it is unit-less.

FILL specifies that voids formed during oxidation should be filled.

Page 322: athena_users

ATHENA User’s Manual METHOD Statement

7-78 SILVACO International

Parameters related to Grid Control during ETCH

ETCH.EPS sets a tolerance on the grid movement during ETCH statements. This parameter is definedin relative units. The default is 10-6 which corresponds to about 10 Angstroms. Reducing this numberwill allow sub-10A etches to be exact. However the possibility of small triangles being created duringetches is high if the parameter is set too low. This parameter should not be set to zero.

Parameters used in the Adaptive Meshing Module

ADAPT specifies that the adaptive meshing should be performed on the IMPLANT, DIFFUSE orEPITAXY statements (default false).

DEPO.SMOOTH specifies that the mesh smoothing should be performed after each DEPOSITstatement.

ETCH.SMOOTH specifies that the mesh smoothing should be performed after each ETCH statement.

DIFF.SMOOTH specifies that the mesh smoothing should be performed after each DIFFUSEstatement.

STEP.SMOOTH specifies that the mesh smoothing should be performed after each time step on eachDIFFUSE statement.

IMPLANT.MES specifies which adapting algorithm to use on IMPLANT statements, currentlyIMPLANT.MES = 0 corresponds to Univ. of Florida’s algorithm. This is the default.

Parameters related to the CNET models

The following parameters relate to Mathiot’s diffusion models. These models focus on the applicationof high concentration diffusion effects. [ref: “Modeling of dopant diffusion in silicon: an effectivediffusivity approach including point-defect couplings” Daniel Mathiot, MCNC and Serge Martin ofCNET., Journ. Appl. Phys. 15th Sept 1991.]

CNET.MODEL to invoke all the CNET models simultaneously (default: false). This should only beused if FULL.CPL is also specified. Use of the CNET models requires the initialization of severaldefault parameters. A file called cnetmod is supplied to do this. See the CNET examples supplied withthe program for instructions on this file. Refer to the MATERIAL statement to control the capture crosssection for point defect recombination. (MATERIAL CR.0=2.35 and CR.E=0)

Note: Although each of the CNET models can be turned on individually using the syntax listed below, itis not recommended to do this. All CNET models should be turned on together using METHODFULL.CPL CNET.MOD.

PAIR.DEFEC to select impurity-defect pair model which accounts for non-negligible impurity-defectpair concentration at high dopant ratios (default: false). To control this model use the followingparameters on the IMPURITY line: ROUI.0=1 ROUI.E=0 ROUV.0=1 ROUV.E=1.

CLUSTER.DEFEC to select static clustering model (default: false) to select model to account forcharge states of dopant-defect pairs (default: false). Here, the various charge state related couplingterms are defined by defining the ratios of coupled impurity-defect pairs with equilibrium neutraldefect concentrations. The user is referred to the INTERSTITIAL and VACANCY commands for the use

Page 323: athena_users

METHOD Statement Statements

SILVACO International 7-79

of the parameters: NEU.0, NEU.E, NEG.0, NEG.E, DNEG.0, DNEG.E, POS.0, POS.E,DPOS.0, DPOS.E Further, the fractional interstitialcy (the degree by which diffusion mediated bylocal interstitial concentrations) is given as temperature dependent values on the IMPURITY line as:FI.0=<n> FI.E=<n>.

DIFFSVTY.DEFEC to select model to account for intrinsic diffusivity as distinct from the impurity-defect pairs (default: false). To control this model use the Intrinsic Diffusivity parameters on theIMPURITY line: DII.0 and DII.E (defaults are impurity dependent).

RECOM.DEFEC to select model to account for impurity assisted I/V recombination (default: false).

PERCO.DEFEC to select model to account for percolation effect of high phosphorus/boron diffusion(default: false). To control this model use the following parameters on the IMPURITY line

IMPURITY CPERC=2.5e20 FPERC.0=2e4 FPERC.E=0

Some CNET model parameters are set with the INTERSTITIAL and VACANCY

Parameters related to the ILFEM numerical method

The following parameters relate to the Implicit Linear Finite Element Method (ILFEM) of solvingdiffusion equations. This method is implemented into ATHENA as an alternative to solution methodsused in original SUPREM-IV. The main advantages of the ILFEM are higher speed and betterconvergency for many applications. ILFEM uses Object-Oriented software architecture which allowsmuch better prototyping and implementation of advanced physical models into ATHENA.

ILFEM specifies that ILFEM module be used in all subsequent diffusion steps. ILFEM can be used forinert diffusions with FERMI, TWO.DIM, FULL.CPL and CLUSTER.DAM.

Note: If the ILFEM module cannot be used for current structure or for specified process conditions it willgive a warning explaining the reason. The program will revert to the traditional method for the currentDIFFUSE statement.

ILF.INITT specifies initial time step for the ILFEM solution method. Default is 1.0*10-5 sea.

ILF.TOLERAN specifies linear solver tolerance (residual) for the ILFEM solution method. Default is1.0*10-4.

ILF.STPTOL controls the selection of time steps. The smaller value of ILF.STPTOL the smaller timesteps will be used by diffusion solver.

Example setting tolerances

The following statement specifies that the arsenic equation should be solved with a relative error of1% and concentrations below 1 x 109 can be ignored.

METHOD ARSEN REL.ERR=0.01 ABS.ERR=1.0E9

Example setting numerical techniques

The following statement specifies that minimum fill reordering should be done and that the entiresystem should be solved using a conjugate residual technique with three back vectors. The initial timestep should be 0.1 seconds and time should be integrated using the TRBDF parameter. The FERMImodel should be used for diffusion and the COMPRESS model for the oxide growth.

METHOD MIN.FILL CG BACK=3 INIT.TI=0.1 TRBDF FERMI COMPRESS

Page 324: athena_users

ATHENA User’s Manual METHOD Statement

7-80 SILVACO International

Example setting diffusion model for power devices

The following step specifies that a simple diffusion model should be used appropriate for powerelectronic devices.

METHOD POWER

DIFFUSION TEMP=1000 TIME=300 NITROGEN

Example setting the CNET Model

The following statement specifies the true use of the full set of CNET model extensions to theFULL.CPL model should be used. In this case a special model file with the default coefficients for theCNET model should be sourced immediately after the model definition.

METHOD FULL.CPL CNET.MOD

SOURCE CNET.MOD

Example setting diffusion models for RTA

The following statement invokes all <311> cluster models for RTA simulation. It must be set before theIMPLANT statement that generates the cluster damage.

METHOD NEWTON FULL.CPL CLUSTER.DAM I.LOOP.SINK HIGH.CONC BACK=6

IMPLANT ....

DIFFUSE ....

Example setting and disabling the ILFEM method

The following sequence demonstrates the syntax for setting the numerical method ILFEM and thenthe syntax for disabling this method and reverting to the traditional numerics for diffusion solutions:

# set the ILFEM method

METHOD ILFEM

DIFFUSE ...

# disable the ILFEM method

METHOD ILFEM=f

DIFFUSE ...

Page 325: athena_users

MOMENTS Statement Statements

SILVACO International 7-81

MOMENTS MOMENTS — controls moments specification for analytical implant models.

SyntaxMOMENTS

[SVDP_TABLES|STD_TABLES|USR_SVDP_TAB|USR_STD_TAB]

[USER_TABLE=<c>][IGNORE_MOM]

[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|PHOTORESIST|BARRIER

ALUMINUM|TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAAS|ALGAAS|INGAAS|SIGE|INP|MATERIAL=<c>]

I.ARSENIC|I.PHOSPHOR|I.BORON|I.ANTIMONY|I.BF2|I.SILICON|I.GERMANIUM

I.ZINC|I.SELENIUM|I.BERYLLIUM|I.MAGNESIUM|I.CHROMIUM|I.ALUMINUM|

I.GOLD|I.GALLIUM|I.CARBON|I.INDIUM

[DOSE=<n>][ENERGY=<n>]

[RANGE=<n>][STD.DEV=<n>][GAMMA=<n>][KURTOSIS=<n>

[LSTD.DEV][LGAMMA][LKURTOSIS] [SKEWXY]

[SRANGE=<n>][SSTD.DEV=<n>][SGAMMA=<n>][SKURTOSIS=<n>]

[LSSTD.DEV][LSGAMMA][LSKURTOSIS][DRATIO=<n>]

[DAMAGEMOD.FN]

DescriptionThis statement is used for setting user-defined implant moments. These moments can then be variedfor better data fits either by setting moments for an individual implants or reading a complete implantrange table.

Parameters used to select Moment Tables

USER_TABLE=<c> specifies the file which contains user-defined look-up implant parameter tables.

SVDP_TABLES specifies that the SIMS Verified Dual Pearson (SVDP) moments tables will be usedwith dual Pearson implant model. Default is true. (See Implantation Models in Chapter 3 for moredetails.)

STD_TABLES specifies that UT_TABLES are ignored and standard tables are used with thesubsequent implant statements.

USR_SVDP_TAB specifies that user-defined moments file (see parameter USER_TABLE) will be usedand that format of this file is the same as SVDP_TABLES.

USR_STD_TAB specifies the user-defined moments file (see parameter USER_TABLE) will be usedwith standard format. See <install.area>/lib/athena/<version>/common/userimp for atemplate.

Implant Definition Parameters

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, PHOTORESIST, BARRIER,ALUMINUM, TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS,

Page 326: athena_users

ATHENA User’s Manual MOMENTS Statement

7-82 SILVACO International

INGAAS, SIGE, INP and MATERIAL specifies the material to be used. Only one is allowed. TheMATERIAL=<c> parameter should only be used for user-defined materials.

I.ARSENIC, I.PHOSPHOR, I.BORON, I.ANTIMONY, I.BF2, I.SILICON, I.GERMANIUM,I.ZINC, I.SELENIUM, I.BERYLLIUM, I.MAGNESIUM, I.CHROMIUM, I.ALUMINUM,I.GOLD, I.GALLIUM, I.CARBON, and I.INDIUM specifies the impurity to be used. Only one isallowed.

DOSE is an incident ion dose (/cm2).

ENERGY sets the incident ion energy (keV).

Parameters used for specification of Spacial Moments

RANGE (RP) sets the projected range (microns).

STD.DEV (DRP) sets the standard deviation (microns).

GAMMA (SKEWNESS) sets the third moment. Default is 0.0.

KURTOSIS sets the fourth moment. Default is 3.0.

LSTD.DEV(LDRP) sets the lateral standard deviation (microns).

SKEWXY sets the mixed third moment.

KURTXY sets the lateral mixed fourth moment.

KURTT sets the lateral fourth moment. Default is 3.0.

SRANGE (SRP) sets the projected range for second Pearson (microns).

SSTD.DEV(SDRP) sets the standard deviation for second Pearson (microns).

SGAMMA (SSKEW) sets the third moment for second Pearson function. Default is 0.0.

SKURTOSIS sets the fourth moment for second Pearson function. Default is 3.0.

LSSTD.DEV(LSDRP) sets the lateral standard deviation for second Pearson (microns).

SSKEWXY sets the mixed third moment for second Pearson. Default is 0.0.

SKURTXY sets the mixed fourth moment for second Pearson. Default is 0.0

SKUPTT sets the lateral fourth moment for second Pearson. Default is 3.0.

DRATIO specifies the dose ratio R in the double Pearson function.

Reset Parameters

IGNORE_MOM specifies that all previous MOMENTS statements will be ignored.

Implant Damage Parameters

DAMAGEMOD.FN specifies the name of the C-language file which controls damage models as afunction of doping levels. This file is used on subsequent IMPLANT statements by the C-interpreter.

Page 327: athena_users

MOMENTS Statement Statements

SILVACO International 7-83

Examples

The MOMENTS statement is used to define user moments through a convenient command language.The following example sets the moments for boron implantation into the user-defined materialSAPPHIRE.

MOMENTS MATERIAL=SAPPHIRE I.BORON DOSE=1.6e12 ENERGY=25 \

RANGE=0.098 STD.DEV=0.045 GAMMA=-0.04 KURTOSIS=3.5

See Also: IMPLANT

Page 328: athena_users

ATHENA User’s Manual OPTICAL Statement

7-84 SILVACO International

OPTICALOPTICAL — sets the optical parameters of materials for OPTOLITH.

SyntaxOPTICAL[SILICON|GAAS|OXIDE|OXYNITRIDE|NITRIDE

POLYSILICON|ALUMINUM|PHOTORESIST|

ALGAAS|INGAAS|SIGE|INP|

TUNGSTEN|TITANIUM|PLATIMUM|WSIX

TISIX|PTSIX|MATERIAL=<c>][NAME.RESIST=<c>]

[LAMBDA=<n>][I.LINE|G.LINE|H.LINE|DUV.LINE]

[REFRAC.REAL=<n>][REFRAC.IMAG=<n>]

DescriptionThis command sets the optical parameters, reflective index, and extinction coefficient orREFRAC.REAL and REFRAC.IMAG for each material at a particular wavelength. If photoresist is used,NAME.RESIST must also be specified. These statements can be put into the athenamod file so thatthey are automatically loaded each time ATHENA is run.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, PHOTORESIST,TUNGSTEN, TITANIUM, PLATINUM, WSIX, TSIX, GAAS, PTSIX, ALGAAS, INGAAS, SIGE,INP and MATERIAL specifies the material to be used.The MATERIAL=<c> parameter should only beused for user-defined materials

NAME.RESISTspecifies the name of the photoresist.

I.LINE, G.LINE, H.LINE, DUV.LINE, and LAMBDA specifies the line or the wavelength inmicrometers. WAVELENGTH is an alias for LAMBDA.

REFRAC.REAL specifies the real component of the refractive index.

REFRAC.IMAG specifies the imaginary component of the refractive index.

ExamplesThe OPTICAL statement is used to load refractive index values into ATHENA for each wavelength. Atypical statement looks as follows:

OPTICAL SILICON WAVELENGTH=.365 REFRAC.REAL=4.5 REFRAC.IMAG=5.2

User-defined materials can be entered in the following format:

OPTICAL MATERIAL=XXX WAVELENGTH=.365 REFRAC.REAL=1.4 \

REFRAC.IMAG=.3

See Also: EXPOSE, IMAGE

Page 329: athena_users

OPTION Statement ELITE Models

SILVACO International 7-85

OPTIONOPTION — level of run-time output.

SyntaxOPTION [QUIET|NORMAL|VERBOSE|DEBUG|WARNING]

DescriptionThis statement specifies the level of information sent to the tty terminal window of DECKBUILD.

QUIET, NORMAL, VERBOSE. DEBUG, and WARNING determines the amount of informationthat is output to the user about errors, CPU times, behavior of the algorithms. The default is QUIET.The VERBOSE and DEBUG modes are intended mainly for debugging by developers.

ExamplesThe following statement sets the routine output to include more information.

OPTION NORMAL

See Also: VWF Interactive Tools manual

Page 330: athena_users

ATHENA User’s Manual OXIDE Statement

7-86 SILVACO International

OXIDEOXIDE — specifies coefficients for use during oxidation steps.

SyntaxOXIDE ORIENT=<n>

DRY02|WET02

[LIN.L.0=<n>][LIN.L.E=<n>][LIN.H.0=<n>][LIN.H.E=<n>

[L.BREAK=<n>][L.PDEP=<n>][PAR.L.0=<n>][PAR.L.E=<n>]

[PAR.H.0=<n>][PAR.H.E=<n>][P.BREAK=<n>][P.PDEP=<n>]

[THINOX.0=<n>][THINOX.E=<n>][THINOX.L=<n>][HCL.PC=<n>]

[HCLT=<n>][HCLP=<n>][HCL.PAR=<n>][HCL.LIN=<n>][BAF.DEP]

[BAF.EBK=<n>][BAF.PE=<n>][BAF.PPE=<n>][BAF.NE=<n>]

[BAF.NNE=<n>][BAF.K0=<n>][BAF.KE=<n>]

[STRESS.DEP|ORI.DEP][ORI.FAC=<n>][VC=<n>][VR=<n>][VD=<n>]

[VT=<n>][DLIM=<n>][GAMMA][SILICON|OXIDE|OXYNITRIDE

NITRIDE|POLYSILICON|TUNGSTEN|TITANIUM|PLATINUM|WSIX|GAAS

ALGAAS|INGAAS|SIGE|INP|TISIX|PTSIX|MATERIAL=<c>]

[/SILICON|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|/TUNGSTEN

/TITANIUM|/PLATINUM|/WSIX|/TISIX|GAAS|/ALGAAS|/INGAAS|/SIGE|/INP

/PTSIX|/GAS|/MATERIAL=<c>][ALPHA=<n>][HENRY.COEFF=<n>]

[THETA=<n>][DIFF.0=<n>][DIFF.E=<n>][SEG.0=<n>]

[SEG.E=<n>][TRN.0=<n>][TRN.E=<n>][INITIAL=<n>]

[SPREAD=<n>][MASK.EDGE=<n>][NIT.THICK=<n>][ERF.Q=<n>]

[ERF.DELTA=<n>][ERF.LBB=<n>][ERF.H=<n>]

[SPLIT.ANGLE=<n>] [MIN.OXIDANT=<n>]

DescriptionAll parameters relating to oxidation are specified here. Oxidation models are specified in the METHODstatement. All oxidation models are described in the “Oxidation Models” section with hints in theTutorial Chapter. To properly set values for most coefficients, it is necessary to know whether wet ordry oxidation is intended, and to know the substrate orientation.

Note: If a required parameter is omitted (e.g. orientation when a linear rate coefficient is beingspecified), then the statement is ignored without warning.

Oxide Growth Rate Parameters

DRYO2, WETO2 specifies the type of oxidation to which specified coefficients apply. Required foreverything except for one-dimensional coefficients and the volume ratio.

Page 331: athena_users

OXIDE Statement Statements

SILVACO International 7-87

LIN.L.0, LIN.L.E, LIN.H.0, LIN.H.E, L.BREAK, and L.PDEP specify the linear rate coefficients (B/A). A doubly activated Arrhenius model is assumed. L.BREAK is the temperature breakpoint betweenthe lower and higher ranges, in degrees Celsius. LIN.L.0 is the prefactor in microns/min, andLIN.L.E is the activation energy in eV for the low temperature range. LIN.H.0 and LIN.H.E are thecorresponding high temperature numbers. L.PDEP is the exponent of the pressure dependence. Thevalue given is taken to apply to <111> orientation and later adjusted by ORI.FAC according to thesubstrate orientation present.

PAR.L.0, PAR.L.E, PAR.H.0, PAR.H.E, P.BREAK and P.PDEP specify the parabolic ratecoefficients (B).

ORIENT is the substrate orientation to which the coefficients specified apply, required for orientationfactor (see below) and thin oxide coefficients. Only 100, 110, and 111 are recognized. The default is 100.

ORI.FAC is the ratio of B/A on the specified orientation to the orientation.

ORI.DEP specifies whether the local orientation at each point on the surface should be used tocalculate B/A. The default is true. If it is false, the substrate orientation is used at all points.

THINOX.P is the thin oxide model pressure dependence.

THINOX.0, THINOX.E, and THINOX.L specifies coefficients for the thin oxide model proposed byMassoud [14] THINOX.0 is the prefactor in microns/min, THINOX.E is the activation energy in eV, andTHINOX.L is the characteristic length in microns.

HCL.PC, HCLT, HCLP, HCL.PAR, and HCL.LIN is where the numerical parameter HCL.PC is thepercentage of HCl in the gas stream. It defaults to 0. The HCl dependence of the linear and paraboliccoefficients is obtained from a look-up table specified in the model file. The table rows are indexed byHCl percentage. The row entries can be specified with the parameter HCLP, which is an array ofnumerical values, surrounded by double quotes and separated by spaces or commas. The columns areindexed by temperature. The column entries can be specified with the parameter HCLT, which is anarray of numerical values, surrounded by double quotes and separated by spaces or commas. Thedependence of B/A can be specified with the parameter HCL.LIN, which is an array of numericalvalues, surrounded by double quotes and separated by spaces or commas. The number of entries inHCL.LIN must be the product of the number of entries in HCLP and HCLT. The dependence of B can bespecified with the parameter HCL.PAR, which is an array of numerical values, surrounded by doublequotes and separated by spaces or commas.The number of entries in HCL.PAR must be the product ofthe number of entries in HCLP and HCLT.

BAF.DEP, BAF.EBK, BAF.PE, BAF.PPE, BAF.NE, BAF.NNE, BAF.K0, and BAF.KE relates to thedoping dependence of the oxidation rate. The doping dependence is turned on when BAF.DEP is true(default).

STRESS.DEP, VC, VR, VD, VT, and DLIM control the stress dependence of oxidation, which is onlycalculated under the VISCOUS model. The parameter STRESS.DEP turns on the dependence. Theparameter VC is the activation volume of viscosity. The parameter VR is the activation volume of thereaction rate with respect to normal stress. The parameter VT is the activation volume of the reactionrate with respect to tangential stress. The parameter VD is the activation volume of oxidant diffusionwith respect to pressure. The parameter DLIM is the maximum increase of diffusion permitted undertensile stress.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, TITANIUM,PLATINUM, WSIX, TISIX, PTSIX, GAAS, GAS, ALGAAS, INGAAS, SIGE, INP and MATERIALdefines material 1. The MATERIAL=<c> parameter should only be used for user-defined materials

/SILICON, /OXIDE<, /OXYNITRIDE, /NITRIDE, /POLYSILICO, /GAAS, /GAS, /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL defines material 2. The MATERIAL=<c> parameter should only be used for user-definedmaterials

Page 332: athena_users

ATHENA User’s Manual OXIDE Statement

7-88 SILVACO International

DIFF.0, DIFF.E, SEG.0, SEG.E, TRN.0, and TRN.E specifies the diffusion coefficients of oxidant inmaterial 1, and the boundary coefficients (“transport” and “segregation”) from material 1 to material 2as defined above. DIFF.0 is the diffusivity prefactor in cm2/s, DIFF.E is the energy in eV. Thetransport coefficient represents the gas-phase mass transfer coefficient in terms of concentrations inthe solid at the oxide-gas interface, the chemical surface- reaction rate constant at the oxide-siliconsurface, and a regular diffusive transport coefficient at other interfaces. The segregation coefficient is1 at the oxide-gas interface, infinity at the oxide-silicon interface, and a regular segregation coefficientat other interfaces.

Note: Oxidant in materials other than oxide is allowed to diffuse and segregate, but its concentration isthen ignored (no oxynitridation, for instance).

Note: The diffusion and transport coefficients in oxide to gas and silicon are derived from the Deal-Grove coefficients, so these parameters are ignored if read from input statements. The analytic modelsuse the oxide thickness to compute the growth rate. The non-analytic oxide models don’t account for thethin oxide correction in dry oxygen.

HENRY.COEFF (Henry’s coefficient) is the solubility of oxidant in material 1 measured in cubiccentimeters (cm3) at one atmosphere. THETA is the number of oxygen atoms incorporated in a cubiccentimeter of oxide. In the case of dry oxidation, it is equal to theta and in the case of wet oxidation itis equal to 2 theta.Usually the Deal-Grove coefficients should be changed instead of HENRY.COEFF.

THETA specifies the concentration of O2 atoms incorporated in the material expressed in cm-3.

ALPHA specifies the volume expansion ratio between materials 1 and 2.

MIN.OXIDANT specifies the minimum oxidant concentration for oxidation to occur. This parameteris active only if METHOD OX.THRESH is used.

Parameters related to Grid Control

INITIAL specifies thickness of the native (initial) oxide at the start of oxidation step. The default is 2nm (20A). If the any surface of the structure is bare, an oxide layer of this thickness is deposited beforeoxidation begins.

Note: The oxidation algorithm requires selective deposition of a native oxide onto all exposed silicon orpolysilicon areas prior to oxidation. Grid problems can result in complex structures. These problems canbe resolved by adjusting INITIAL or by using the DEPOSIT statement to create the native oxide

SPLIT.ANGLE governs the minimum angle at which the oxide will split open one more grid spacingwhen oxidizing at a triple point (i.e. where silicon, oxide, and nitride coincide together at a point). Thedefault for the split angle is 22.5 degrees. The SPLIT.ANGLE parameter for triple point oxidation ismaterial dependent. Specify the oxidizing material without a “/” and the second material with a “/”using the following format: OXIDE SPLIT.ANGLE=35 SILICON /NITRIDE. There are only threepossible combinations and they are: SILICON /NITRIDE, SILICON /POLY, and POLY /NITRIDE.This may be used to control lateral encroachment during oxidation

Page 333: athena_users

OXIDE Statement Statements

SILVACO International 7-89

Parameters related to the Analytical Oxidation Models (ERF*)

SPREAD and MASK.EDGE are used only in the error-function approximation to a bird’s beak shape.SPREAD is the relative lateral to vertical extension, which defaults to 1. The fitting parameter makesthe “erfc” bird’s beak look realistic. MASK.EDGE is the position of the mask edge in microns, anddefaults to negative infinity. Oxide grows to the right of the mask edge.

ERF.Q, ERF.DELTA, ERF.LBB, ERF.H, and NIT.THICK apply to the “erfg” model [11].

ERF.Q and ERF.DELTA are the DELTA and Q parameters for the “erfg” model. Normally, these do notneed to be changed, but they are available if necessary.

ERF.LBB is the length of the bird’s beak and applies to the “erfg” model only. It can be specified as anexpression in Eox (the field oxide thickness (µm)), eox (the pad oxide thickness (µm)), Tox (theoxidation temperature (Kelvin)), and en (the nitride thickness, µm). The published expression can befound in the models file. Specifying ERF.LBB=EOX, for instance, would give a lateral spread equal tothe field thickness, similar to the Hee-Gook Lee model with a spread of 1.

ERF.H is the ratio of the nitride lifting to the field oxide thickness. It corresponds to the Guillemot “H”parameter except that it is normalized to the field oxide thickness. It is specified as an expression ofEox, eox, Tox, en.

NIT.THICK specifies the nitride thickness to substitute for the parameter EN.

Note: The ERFG model uses both oxide and nitride thickness. These values are not inferred from thestructure. Instead, the nitride thickness is user-specified in the OXIDE statement and the oxide thicknessis computed by adding the total oxide grown and the initial user-specified oxide thickness.If the structurehas more than 20 angstroms (the default) of native oxide on it when diffusion begins, that thicknessmust be specified by the user. Beware of this when continuing a diffusion by any means (e.g., afterreading in a previous structure). One exception is that diffuse continue=t will correctly simulatethis condition.

ExamplesThe following modifies the parabolic oxidation rates for {100} silicon in a dry oxygen ambient.

OXIDE DRY ORI=100 PAR.L.0=283.333 PAR.L.E=1.17

Note: If a required parameter is omitted (e.g. orientation when a linear rate coefficient is beingspecified), then the statement is ignored without warning.

The following set the native oxide thickness at 10 Angstroms

OXIDE INITIAL=0.001

The following defines that stress dependent oxidation rates will be used with the viscous oxidationmodel:

METHOD VISCOUS

OXIDE STRESS.DEP=t

See also: DIFFUSE, METHOD

Page 334: athena_users

ATHENA User’s Manual PAUSE Statement

7-90 SILVACO International

PAUSEPAUSE — wait and execute statement.

Note: This command has been superseded by the PAUSE button in DeckBuild.

Page 335: athena_users

ATHENA User’s Manual PHOSPHORUS Statement

7-91 SILVACO International

PHOSPHORUSPHOSPHORUS — sets the coefficients of phosphorus diffusion and segregation.

Note: This statement is obsolete. The IMPURITY statement with I.PHOS parameter should be usedinstead.

SyntaxPHOSPHORUS SILICON|OXIDE|OXYNITRIDE|NITRIDE

POLYSILICON|TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX

GAAS|GAS|ALGAAS|INGAAS|SIGE|INP

MATERIAL=<c>][DIX.0=<n>][DIX.E=<n>][DIM.0=<n>

[DIM.E=<n>][DIMM.0=<n>][DIMM.E=<n>][DVX.0=<n>][DVX.E=<n>

[DVM.0=<n>][DVM.E=<n>][DVMM.0=<n>][DVMM.E=<n>]

[SS.CLEAR][SS.TEMP=<n>][SS.CONC=<n>]

[/SILICON|/GAAS|/OXIDE|/OXYNITR|/NITRIDE|/GAS|/POLYSILICO|

/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|

/ALGAAS|/INGAAS|/SIGE|/INP|/MATERIAL=<c>

[SEG.0=<n>][SEG.E=<n>][TRN.0=<n>][TRN.E=<n>]

[GB.DIX.0=<n>][GB.DIX.E=<n>][GB.SEG.0=<n>][GB.SEG.E=<n>]

[GB.TAU=<n>][DONOR|ACCEPTOR]

DescriptionThis statement allows values to be specified for the coefficients of phosphorus diffusion andsegregation.

SILICON, GAAS, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, GAS, TUNGSTEN,TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIALspecifies the material for which the impurity coefficients will be set.

Only one material parameter may be specified per statement, and that statement will apply only inthe material listed. The MATERIAL=<c> parameter should only be used for user-defined materials. Thematerial parameter is used to indicate the first material for defining interface parameters such assegregation and transport. The material parameter can also be used to select a material to which bulkparameters such as diffusion coefficients will apply.

DONOR or ACCEPTOR specifies the nature of the impurity in the given material.

DIX.0 and DIX.E specifies the diffusion coefficient for the impurity diffusing with neutral defects.DIX.0 is the pre-exponential constant and DIX.E is the activation energy.

DIM.0 and DIM.E specifies the diffusion coefficient for the impurity diffusing with single negativedefects. DIM.0 is the pre-exponential constant, and DIM.E is the activation

DIMM.0 and DIMM.E allows the specifications of the phosphorus diffusing with doubly negativeinterstitials. DIMM.0 is the pre-exponential constant and DIMM.E is the activation energy.

Page 336: athena_users

ATHENA User’s Manual PHOSPHORUS Statement

7-92 SILVACO International

DVX.0 and DVX.E allows the specifications of the phosphorus diffusing with neutral vacancies. DVX.0is the pre-exponential constant and DVX.E is the activation energy.

DVM.0 and DVM.E allows the specifications of the phosphorus diffusing with single-negativevacancies. DVM.0 is the pre-exponential constant and DVM.E is the activation energy.

DVMM.0 and DVMM.E allows the specifications of the phosphorus diffusing with double-negativevacancies. DVMM.0 is the pre-exponential constant and DVMM.E is the activation energy.

SS.CLEAR clears the currently stored solid solubility data.

SS.TEMP and SS.CONC adds a single temperature and an associated solid solubility concentrationpoint to those already stored.

/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO, /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL specifies material 2. The /MATERIAL=<c> parameter should only be used for user-definedmaterials. Material 2 is used to indicate the second material for defining interface parameters such assegregation and transport coefficients.

SEG.0 and SEG.E allows the computation of the equilibrium segregation concentrations. SEG.0 is thepre-exponential constant and SEG.E is the activation energy.

TRN.0 and TRN.E allows the specification of the transport velocity across the interface given. Theunits are in cm/s. TRN.0 is the pre-exponential constant and TRN.E is the activation energy.

GB.DIX.0 and GB.DIX.E specifies grain boundary parameters for the advanced polysilicon diffusionmodel. GB.DIX.0 is the pre-exponential factor of grain boundary diffusivity, and GB.DIX.E is theactivation energy for grain boundary diffusivity (eV).

GB.SEG.0 specifies entropy factor of grain boundary segregation coefficient used in the advancedpolysilicon diffusion model.

GB.SEG.E specifies the activation energy of grain boundary segregation coefficient used in theadvanced polysilicon diffusion model.

GB.TAU specifies the grain boundary time constant used in the advanced polysilicon diffusion model.

ExamplesThe following statement changes the neutral interstitial diffusivity component of phosphorous insilicon.

IMPURITY I.PHOS SILICON DIX.0=3.85 DIX.E=3.85

The following statement changes the segregation parameters at the Silicon-Silicon Dioxide interface.The concentration of phosphorous in silicon will be 30 times the concentration of phosphorous in oxideat equilibrium.

IMPURITY I.PHOS SILICON /OXIDE SEG.0=30.0 TRN.0=1.66E-7

Note: The transport and segregation coefficients TRN.0, TRN.E, SEG.0, and SEG.E are known to beinaccurate for some values of concentration, some material combinations, and some temperatureranges. If the simulation is inaccurate, these coefficients should be considered for calibration.

See Also: ANTIMONY, ARSENIC, BORON, INTERSITITIAL, VACANCY, IMPURITY

Page 337: athena_users

POLISH Statement Statements

SILVACO International 7-93

POLISHPOLISH — runs the chemical mechanical polishing (CMP) module.

SyntaxPOLISH

MACHINE=<c>[TIME=<n>][HOURS|MINUTES|SECONDS]

[DX.MULT=<n>][DT.FACT=<n>][DT.MAX=<n>]

DescriptionThis statement executes the chemical mechanical polishing module of ELITE. The POLISH statementmust be preceded by a RATE.POLISH statement to define the polishing machine.

MACHINE is the name of the machine that is to be run.

TIME is the time the machine is to be run.

HOURS, MINUTES, and SECONDS specifies the units of the TIME parameter. DX.MULT is theaccuracy multiplier for ELITE polishes. The discretization size used for the polish calculation will bemultiplied by DX.MULT. For improved accuracy, decrease the value of DX.MULT. For improved speed,increase the value of DX.MULT.

DT.FACT controls the timestep size. By default, the movement of a string node is limited to less thanor equal to one quarter of the median segment length. This is a good compromise between simulationspeed and the danger of loop formation. The optimization factor DT.FACT must not exceed 0.5, but canbe decreased if necessary for more accuracy.

DT.MAX is used with ELITE type polish calculations. By default, the upper limit for the microtimestep DT.MAX is one tenth of the total etch time specified. This is a good compromise betweencalculation accuracy and calculation time. However, sometimes it is useful to adapt this value to thespecific simulation problem. Allowing the time steps to become greater gives a higher simulation speedbut the accuracy may suffer. For smaller time steps the simulation speed will decrease but theaccuracy may be greater.

ExamplesThe following statements illustrate running the chemical mechanical polish module. A RATE.POLISHstatement sets the values for the polish model and must precede the POLISH statement.

RATE.POLISH OXIDE MACHINE=cmp u.s MAX.HARD=0.15 MIN.HARD=0.03 \

ISOTROPIC=0.001

POLISH MACHINE=cmp TIME=5 MIN

See Also: RATE.POLISH, ETCH

Page 338: athena_users

ATHENA User’s Manual PRINT.1D Statement

7-94 SILVACO International

PRINT.1DPRINT.1D — prints values along a one–dimensional cross section.

Note: Use of this statement is not recommended. All functions are available using the EXTRACTcommand within DECKBUILD.

SyntaxPRINT.1DX.VALUE=<n>|Y.VALUE=<n>[SILICON|OXIDE

OXYNITRIDE|NITRIDE|POLYSILICON|ALUMINUM|TUNGSTEN|GAAS

ALGAAS|INGAAS|SIGE|INP|TITANIUM|PLATINUM

WSIX|TISIX|PTSIX|PHOTORESIST|EXPOSED

BACKSIDE|REFLECT|MATERIAL=<c>]

[/SILICON|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|

/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAAS

/ALGAAS|/INGAAS|/SIGE|/INP|

/ALUMINUM|/PHOTORESIS|/EXPOSED|/BACKSIDE|/REFLECT|

/MATERIAL=<c>][ARCLENGTH][LAYERS][X.MIN=<n>]

[X.MAX=<n>][FORMAT=<c>]

DescriptionThis command prints the values along cross sections through the device. It is also possible to integratealong a specified line. The value printed is the value that has been selected (refer to SELECT).

X.VALUE and Y.VALUE specifies that the plot of the cross section through the device at the point andin the plane specified by either X.VALUE or Y.VALUE is to be printed. X.VALUE specifies a verticalcross section of the device, and Y.VALUE a horizontal slice. The units for this parameter are microns.Plot values of only one dimension at a time (either X.VALUE or Y.VALUE) for a given device may beprinted.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, GAAS, TITANIUM,PLATINUM, WSIX, TISIX, PTSIX, ALUMINUM, PHOTORESIST, ALGAAS, INGAAS, SIGE,INP, EXPOSED, BACKSIDE, REFLECT, and MATERIAL. In addition to constant x or y crosssections, a print of a one-dimensional plot can be specified along one side of an interface. The interfacelies between material 1, named without a “/”, and material 2, named with a “/” (PRINT.1 OXIDE /SILICON, for example). Thus PRINT.1D OXIDE /SILICON will usually show something differentfrom PRINT.1 SILICON /OXIDE. The backside, reflecting or exposed surfaces of a material can bespecified with the appropriate parameter.The MATERIAL=<c> parameter should only be used for user-defined materials.

ARCLENGTH is only relevant when printing along an interface. If ARCLENGTH is chosen, the printedordinate is the arclength, measured in microns, along the boundary from the leftmost point of thecurve. If ARCLENGTH is not chosen, the x value of the interface location is printed. The co-ordinate ofthe leftmost point is equal to its x coordinate in the mesh layers

LAYERS instructs the selected plot variable to integrate in each material it crosses. The integratedvalue and material width is reported. Zero crossings of the variable are treated the same as material

Page 339: athena_users

PRINT.1D Statement Statements

SILVACO International 7-95

interfaces. This option imitates the SUPREM3 PRINT LAYERS statement, and is probably most usefulwhen doping is the selected variable.

/SILICON, /OXIDE, /OXYNITRIDE, /NITRIDE, /TUNGSTEN, /TITANIUM, /GAAS, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP, /POLYSILICO, /ALUMINUM, /PHOTORESIS, /EXPOSED, /BACKSIDE, /REFLECT, and /MATERIAL specifiesthe second material interface along which to plot.The /MATERIAL=<c> parameter should only be usedfor user-defined materials.

X.MIN and X.MAX specifies the limits of the print region. Only values between these two will bedisplayed.

FORMAT changes the print format for the variable, using standard c format expressions. Onlyexperienced c users should use the FORMAT function.

ExamplesThe following statement prints the selected value at x equal to one micron between the top of the meshand the 3.0 micron point.

PRINT.1D X.VAL=1.0 X.MAX=3.0

The following prints the integrated value selected in each material layer that has a horizontal slice atdepth of 0.0.

PRINT.1D Y.VAL=0 LAYERS

The following prints the selected variable along the silicon side of the silicon oxide interface.

PRINT.1D SIL /OXI

Note: If the interface between two materials comprises several disconnected pieces, the end of one arcwill be incorrectly joined to the next. The interface between materials is usually ordered left-to-right, butit is possible to confuse the routine and get right-to-left. There is no way to specify a line at a fixeddistance from an interface. There is no simple way to follow the “upper” surface of a layer if it has severaldifferent layers on top of it.

See Also: SELECT, PRINTF

Page 340: athena_users

ATHENA User’s Manual PRINTF Statement

7-96 SILVACO International

PRINTFPRINTF — a string printer and desk calculator.

Note: Functions of this statement have been replaced by the EXTRACT statement

Page 341: athena_users

PROFILE Statement Statements

SILVACO International 7-97

PROFILEPROFILE — read a 1D doping profile into ATHENA.

SyntaxPROFILE[INFILE=<c>]

[ANTIMONY][ARSENIC][ALUMINUM][BORON][BERYLLIUM][CHROMIUM

[CARBON][GALLIUM][GERMANIUM][MAGNESIUM][PHOSPHORUS][SELENIUM]

[SILICON]

[ZINC][MASTER][INTERSTITIALS][CLUSTER.DAM]

[LAYER1.DIV=<n>][LAYER2.DIV=<n>][LAYER3.DIV]

[LAYER4.DIV][LAYER5.DIV=<n>][LAYER6.DIV=<n>]

[LAYER7.DIV=<n>][LAYER8.DIV=<n>][LAYER9.DIV=<n>]

[LAYER10.DIV=<n>][LAYER11.DIV=<n>][LAYER12.DIV=<n>

[LAYER13.DIV=<n>][LAYER14.DIV=<n>][LAYER15.DIV=<n>]

[LAYER16.DIV=<n>][LAYER17.DIV=<n>][LAYER18.DIV=<n>]

[LAYER19.DIV=<n>][LAYER20.DIV=<n>)

DescriptionThis statement may be used to load a 1D stream of doping data into an ATHENA structure. The datamight come from a Secondary Ion Mass Spectroscopy (SIMS) profile or from a 1D simulation inSSUPREM3. Data is applied in 1D across the width of the mesh for subsequent 2D simulation.

INFILE specifies the name of the profile data file or Standard Structure File to be loaded.

ANTIMONY, ARSENIC, ALUMINUM, BORON, BERYLLIUM, CHROMIUM, GALLIUM,CARBON, INTERSTITIALS, CLUSTER.DAM, GERMANIUM, MAGNESIUM, PHOSPHORUS,SELENIUM, SILICON, and ZINC specifies the impurity type for profile data files.

MASTER indicates that the file to be loaded is an Silvaco Standard Format file. Files generated bySSUPREM3 are in this format.

LAYER1.DIV, LAYER2.DIV, ..., LAYER20.DIV specifies the number of subdivisions for each layerwhen loading SSUPREM3 Structure files.

Examples

An example of a PROFILE statement is given below:

PROFILE INF=BORON.SIMS BORON

In this case, the PROFILE statement specifies that only boron information will be added to the currentworking silicon structure. The data file BORON.SIMS should be in the following format:

#THIS IS SIMS DATA

0.01 1E15

0.02 1.1E15

0.04 1.3E15

0.06 1.5E15

0.1 1.7E15

Page 342: athena_users

ATHENA User’s Manual PROFILE Statement

7-98 SILVACO International

0.2 1.9E15

0.4 2.6E15

. . .

In the following example, the PROFILE statement will read in a 1D silvaco standard format (SSF) file.All doping and layer information will be preserved. This allows a user to start a simulation in, forexample, SSUPREM3 and finish it in ATHENA. The ATHENA grid must be set up in the conventionalmanner first. The PROFILE statement will then include any overlying layers that may have beendeposited or grown in creating the SSUPREM3 structure. The value LAYER<n>.DIV will control thenumber of grid points in the overlying layers. The default grid spacing generated for overlying layersis 0.05 µm.

PROFILE MASTER INF=SSUPREM3.STR LAYER1.DIV=3 LAYER2.DIV=6

The first layer above the substrate will have 3 vertical grid spacings and the second layer above thesubstrate will have 6 vertical grid spacings. The file SSUPREM3.STR must be a SSF file.

The following is a list of special cases and their solutions:

• If a SSUPREM3 structure is deeper than the ATHENA structure, the PROFILE statement will extend thevalue of the bottom grid point.

• If a SSUPREM3 structure is shallower than the ATHENA structure, the PROFILE statement will clip theATHENA profile.

• Loading a SSF file works only with a bare silicon wafer as a starting point. If you try to use some othermaterial for a substrate, the results are unreliable and unpredictable.

• Any concentrations of dopant initialized in ATHENA will be overwritten if a PROFILE statement isused to load a SSF file.

Page 343: athena_users

PROJECTION Statement Statements

SILVACO International 7-99

PROJECTIONPROJECTION — specifies the basic optical projection parameters for OPTOLITH.

SyntaxPROJECTION[NA=<n>][FLARE=<n>]

DescriptionThis statement specifies the numerical aperture NA, the defocus distance, and the possible flare in theoptical or resist systems.

NA is the numerical aperture of the optical projection system.

FLARE is the amount of flare for the particular imaging problem. FLARE must be expressed inpercentages.

ExamplesThe following statement sets the numerical aperture and flare value for the projection system.

PROJECTION NA=.5 FLARE=2

See also: IMAGE, ILLUMINATION, ILLUM.FILTER, PUPIL.FILTER, LAYOUT, and ABERRATION

Page 344: athena_users

ATHENA User’s Manual PUPIL.FILTER Statement

7-100 SILVACO International

PUPIL.FILTERPUPIL.FILTER — specifies the projection pupil type and filtering for OPTOLITH.

SyntaxPUPIL.FILTERCIRCLE|SQUARE|GAUSSIAN|ANTIGAUSSIAN

[GAMMA=<n>][IN.RADIUS=<n>][OUT.RADIUS=<n>][PHASE=<n>]

[TRANSMIT=<n>][CLEAR.FIL]

DescriptionThis command allows the user to specify four different pupil<$IPupil.Filter;filter> types and allowsspatial filtering in the Fourier transform plane.

CIRCLE, SQUARE, GAUSSIAN, and ANTIGAUSSIAN defines or changes the shape of the exitpupil of the projection system. The shape of the pupil must be entered as a character string.

GAMMA defines or changes the GAMMA value for GAUSSIAN and ANTIGAUSSIAN pupil transmittance.GAMMA is a parameter that defines the truncation of the GAUSSIAN by the pupil. In the limit ofGAMMA→0, the pupil transmittance will be uniform.

IN.RADIUS and OUT.RADIUS defines or changes the intensity transmittance and phasetransmittance of an annular zone inside the exit pupil or either the illumination or the projectionsystem. This qualifier is used to simulate spatial filtering techniques. IN.RADIUS and OUT.RADIUSare used to define an annular zone in the exit pupil having the pupil transmittance equal to TRANSMITand producing the phase angle equal to PHASE. Radius values are specified in fractions of unity, andphase is specified in degrees. Note that the annular zones should not overlap. The outer radius of aninner zone must be smaller than the inner radius of an outer zone. The shape of the annular zone isspecified by the shape parameter above. The maximum radius is one.

PHASE specifies the phase shift in degrees produced by the pupil filter-180° ≤ PHASE ≤180°).

TRANSMIT specifies the pupil transmittance caused by the pupil filter.

CLEAR.FIL resets the projection filter list.

ExamplesThis set of commands defines a square aperture in the projection pupil that is opaque over a square annular region.

PUPIL.FILTER SQUARE

PUPIL.FILTER IN.RADIUS=.1 OUT.RADIUS=.2 PHASE=0 TRANSMIT=0

See also: IMAGE, ILLUMINATION, PROJECTION, ILLUM.FILTER, LAYOUT, and ABERRATION

Page 345: athena_users

RATE.DEPO Statement Statements

SILVACO International 7-101

RATE.DEPORATE.DEPO — specifies the deposit rates of a machine which is used in a subsequent DEPOSITstatement.

SyntaxRATE.DEPO

SILICON|GAAS|OXIDE|NITRIDE|POLYSILICON

PHOTORESIST|ALUMINUM|TUNGSTEN|TITANIUM

ALGAAS|INGAAS|SIGE|INP

PLATINUM|WSIX|TISIX|PTSIX|MATERIAL=<c>[NAME.RESIST=<c>]

[CONICAL|CVD|PLANETAR|UNIDIREC

DUALDIREC|HEMISPHE|MONTE1|MONTE2|CUSTOM][MACHINE=<c>]

[A.H|A.M|A.S|U.S|U.M|U.H|N.M]{INFILE=<c>

[ANGLE1=<n>][ANGLE2=<n>][ANGLE3=<n>][C.AXIS=<n>

[DEP.RATE=<n>][DIR=<n>][DIST.PL=<n>][ISOTROPIC=<n>]

[P.AXIS=<n>][SIGMA.DEP=<n>][STEP.COV=<n>]

[SMOOTH.WIN=<n>][SMOOTH.STEP=<n>][MCSEED=<n>][STICK.COEF=<n>

[SIGMA.0][SIGMA.E]

DescriptionThis statement is used to define deposition parameters and the machine name for one of ninedeposition models available in ELITE.

CONICAL, CVD, PLANETAR, UNIDIREC, DUALDIREC, HEMISPHE, MONTE1, MONTE2,and CUSTOM specifies a particular model for the machine definition

MACHINE specifies the machine name for the RATE.DEPO statement.

A.H, A.M, A.S, U.H, U.M, U.S, and N.M specifies that the rates are in Angstroms per hour,Angstroms per minute, Angstroms per second, microns per hour, microns per minute, microns persecond, and nanometers per minute, respectively.

ANGLE1 specifies the angle parameter used by the models HEMISPHE, CONICAL, UNIDIREC,DUALDIREC, and PLANETAR.

ANGLE2 specifies the angle parameter used by the models DUALDIREC, PLANETAR, andHEMISPHE.

ANGLE3 specifies the angle parameter used by the model PLANETAR.

C.AXIS specifies the central axis length used by the models CONICAL and PLANETAR.

DEP.RATE specifies the deposition rate used by the models CONICAL, CVD, UNIDIREC,DUALDIREC, HEMISPHE, PLANETAR, MONTE1, and MONTE2. DEP.RATE is a rate multiplier for theCUSTOM model.

DIST.PL specifies the distance from wafer to planetary axis used by the model PLANETAR.

SILICON, GASS. OXIDE, NITRIDE, POLYSILICON, PHOTORESIST, ALUMINUM,TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INPand MATERIAL specifies one of the preceding six predefined materials or a user-defined material tobe deposited.The MATERIAL=<c> parameter should only be used for user-defined materials.

Page 346: athena_users

ATHENA User’s Manual RATE.DEPO Statement

7-102 SILVACO International

NAME.RESIST specifies the name of the type of photoresist to be deposited.

P.AXIS specifies the planetary axis length used by the models PLANETAR and CONICAL.

SIGMA.DEP specifies the surface diffusion parameter used by the models UNIDIREC, DUALDIREC,HEMISPHE, PLANETAR, CONICAL, MONTE1, MONTE2.

SIGMA.0, SIGMA.E have been added to the RATE.DEPO statement to model temperature dependencein surface diffusion of the ELITE deposit. The dependence is SIGMA.DEP = SIGMA.0*EXP(-SIGMA.E/KT). Temperature is entered on the DEPOSIT command.

SMOOTH.WIN and SMOOTH.STEP specifies a window size in microns and a number of smoothingpasses for the simple geometric deposit smoothing algorithm.

STEP.COV specifies the step coverage used by the model CVD.

MCSEED specifies a seed to be used for random number generation in the Monte Carlo depositmodels; MONTE1 and MONTE2.

STICK.COEF specifies the sticking coefficient for the MONTE1 model.

INFILE specifies the name of a file containing angle and deposition rate information for the CUSTOMmodel.

ExamplesThe following statement defines a machine named TEST that deposits silicon nitride with a rate of1500 A/minute using the CVD model with step coverage of 75%.

RATE.DEPO MACHINE=TEST NITRIDE DEP.RATE=1500 A.M CVD STEP.COV=.75

See also: DEPOSIT

Page 347: athena_users

RATE.DEVELOP Statement Statements

SILVACO International 7-103

RATE.DEVELOPRATE.DEVELOP — sets development rate and exposure bleaching parameters for each type ofphotoresist in OPTOLITH.

SyntaxRATE.DEVELOP

[NAME.RESIST=<c>][G.LINE|H.LINE|I.LINE|DUV.LINE|LAMBDA=<n>

[E1.DILL=<n>][E2.DILL=<n>][E3.DILL=<n>

[RMAX.MACK=<n>][RMIN.MACK=<n>][MTH.MACK=<n>]

[N.MACK=<n>][RO.TREFONAS=<n>][Q.TREFONAS=<n>

[RO.HIRAI=<n>][RC.HIRAI=<n>][ALPHA.HIRAI=<n>

[R1.KIM=<n>][R2.KIM=<n>][R3.KIM=<n>][R4.KIM=<n>]

[R5.KIM=<n>][R6.KIM=<n>][R7.KIM=<n>]

[R8.KIM=<n>][R9.KIM=<n>][R10.KIM=<n>]

[A.DILL=<n>][B.DILL=<n>][C.DILL=<n>]

[C0.EIB=<n>][C1.EIB=<n>][C2.EIB=<n>][C3.EIB=<n>]

[DIX.0=<n>][DIX.E=<n>]

DescriptionThis command sets the development rate parameters and exposure parameters for each type ofphotoresist. These statements can be entered into the athenamod file, so that the parameters areloaded each time ATHENA is started.

NAME.RESIST is the photoresist name for this set of parameters.

G.LINE, H.LINE, I.LINE, DUV.LINE, and LAMDBA are used to specify the wavelength for each setof photoresist parameters (A.DILL, B.DILL, and C.DILL).

E1.DILL, E2.DILL, and E3.DILL defines the E1, E2, or E3 parameter for Dill’s development ratefunction. These parameters are dimensionless.

RMAX.MACK, RMIN. MACK, MTH.MACK, and N.MACK are the constants for the Mackdevelopment model. RMAX.MACK specifies the development rate of the fully exposed resist. RMAX.MACKmust be specified in microns/sec. RMIN.MACK specifies the development rate of the unexposed resist.RMIN.MACK must be specified in microns/sec. MTH.MACK is the threshold normalized PACconcentration. MTH.MACK is dimensionless. N.MACK specifies the developer sensitivity. N.MACK isdimensionless.

R0.TREFONAS and Q.TREFONAS are constants for the Trefonas development model.R0.TREFONAS specifies a development rate constant. R0.TREFONAS must be specified in microns/sec.Q.TREFONAS specifies a development rate constant.

R0.HIRAI, RC.HIRAI, and ALPHA.HIRAI are constants for the Hirai development model.R0.HIRAI specifies the development rate of the fully exposed resist material. R0.HIRAI must bespecified in microns/sec. RC.HIRA specifies a development rate for unexposed resist. RC.HIRAI mustbe specified in microns/sec. ALPHA.HIRAI specifies a dimensionless reaction constant.

CO.EIB, C1.EIB, C2.EIB, and C3.EIB are the parameters for the Eib development model.

R1.KIM, R2.KIM, R3.KIM, R4.KIM, R5.KIM, R6.KIM, R7.KIM, R8.KIM, R9.KIM, and R10.KIMare constants for the Kim development model. R1.KIM corresponds to the dissolution rate of the resist

Page 348: athena_users

ATHENA User’s Manual RATE.DEVELOP Statement

7-104 SILVACO International

material if it has been fully exposed, that is, if all the PAC has been decomposed. R1.KIM must beexpressed in microns/sec. R2.KIM corresponds to the dissolution rate of the unexposed resist material.R2.KIM must be expressed in microns/sec. R3.KIM corresponds to the dissolution sensitivity of theresist material. R3.KIM is dimensionless. R4.KIM corresponds to a specific depth into the resist filmfor surface retardation effects. R4.KIM must be specified in microns. R5.KIM describes extraordinaryretardation effects. R5.KIM is dimensionless, positive, and less than one. R6.KIM describesextraordinary retardation effects. R6.KIM is dimensionless, positive, and less than one. R7.KIMdescribes extraordinary retardation effects. R7.KIM is dimensionless, positive, and less than one.R8.KIM describes extraordinary retardation effects. R8.KIM is dimensionless, positive, and less thanone. R9.KIM describes extraordinary retardation effects. R9.KIM is dimensionless, positive, and lessthan one. R10.KIM describes extraordinary retardation effects. R10.KIM is dimensionless, positive,and less than one.

A.DILL, B.DILL, and C.DILL are the A, B, and C constants for the Dill exposure model.

DIX.0 and DIX.E are diffusion parameters for photoactive compound that are used in the postexposure bake.

ExamplesThe following statement defines the Dill development parameters for a user-defined resist calledSECRETX.

RATE.DEVELOP NAME.RESIST=SECRETX E1.DILL=1 E2.DILL=0.5 \

E3.DILL=.003

See Also: EXPOSE, BAKE, and DEVELOP

Page 349: athena_users

RATE.DOPE Statement Statements

SILVACO International 7-105

RATE.DOPERATE.DOPE — specifies the enhancement parameters for dopant enhanced etching in ELITE.

SyntaxRATE.DOPE MACHINE = <c> MATERIAL = <c> IMPURITY = <c>

[ENH.MAX = <n>] [ENH.SCALE = <n>] [ENH.MINC = <n>]

DescriptionThis statement is used to define dopant enhanced etching and may be applied to an etch machinedefined using the RATE.ETCH statement.

Note: Dopant enhanced etching is not applicable to MC.PLASMA etch model.

The enhancement applied to the etch rate at a particular point on the surface depends on the impurityconcentration and material at the point which are defined using the RATE.DOPE card, and the modelparameters ENH.MAX, ENH.SCALE and ENH.MINC. These parameters enhance the etch rateaccording to the formula:

enh = 0.5*ENH.MAX*(tanh(ENH.SCALE*(C - ENH.MINC)) + 1)

where C is dopant concentration value,

ENH.MAX specifies the maximum enhancement.

ENH.MINC specifies the solution value below which enhancement decays.

ENH.SCALE specifies the spread of the enhancement over solution values, i.e., how quickly does theenhancement factor reach its maximum.

Note: For exponentially varying solutions, e.g., oxidation stress and dopant concentrations, both C andENH.MINC are taken to be log base 10 of their respective value.

IMPURITY specifies any valid ATHENA impurity name, e.g., PHOSPHORUS, etc. For oxide induced stressenhancement, the IMPURITY should be specified as the stress tensor component, i.e., S.XX, S.YY orS.XY

Page 350: athena_users

ATHENA User’s Manual RATE.ETCH Statement

7-106 SILVACO International

RATE.ETCH RATE.ETCH — specifies the etch rate parameters for a machine which is used in a subsequent ETCHstatement in ELITE.

Syntax(WET.ETCH | RIE | PLASMA | MC.PLASMA)MACHINE=<c>

SILICON|GAAS|OXIDE|NITRIDE|POLYSILICON

PHOTORESIST|ALUMINUM|TUNGSTEN|TITANIUM

ALGAAS|INGAAS|SIGE|INP

PLATINUM|WSIX|TISIX|PTSIX|MATERIAL=<c>

(A.H | A.M | A.S | U.H | U.M | U.S | N.M)

[DIRECTIONAL=<n>] [ISOTROPIC=<n>][CHEMICAL=<n>][DIVERGENCE=<n>]

[PRESSURE=<n>][TGAS = <n>][TION=<n>]

[VPDC = <n>[VPAC = <n> [LSHDC = <n>]][LSHAC = <n [FREQ = <n]

[NPARTICLES = <n>][MGAS = <n>][MION =<n>]

[(CHILD.LANGM|COLLISION|LINEAR|CONSTANT)]

[ENERGY.DIV = <n>][ANGLE.DIV = <<n>>] [QIO = <n>][QCHT = <n>]

[MAX.IONFLUX = <n>][IONFLUX.THR = <n>]

[K.I = <n>][OUTFILE = <c>]

[ION.TYPES = <n>] [MC.POLYMPT = <n>] [MC.POLYMPT = <n>]

[MC.ETCH1 = <n>] [MC.ETCH2 = <n>] [MC.ALB1 = <n>] [MC.ALB2 = <n>]

[MC.PLM.ALB = <n>] [MC.NORM.T1 = <n>] [MC.NORM.T2= <n>]

[MC.LAT.T1 = <n>] [MC.LAT.T2= <n>] [ MC.ION.CU1= <n>] [ MC.ION.CU2= <n>]

[MC.PARTS1 = <n>] [ MC.PARTS1 = <n>]

DescriptionCONICAL, CVD, PLANETAR, UNIDIREC, DUALDIREC, HEMISPHE, MONTE1, MONTE2,and CUSTOM specifies a particular model for the machine definition

MACHINE specifies the machine name for the RATE.DEPO statement.

This statement is used to define etching parameters for one of the etch models that are available inELITE.

WET.ETCH, RIE, PLASMA, and MC.PLASMA specify a particular model for the machinedefinition.

Parameters used for all modelsMACHINE specifies the machine name.

SILICON, OXIDE, NITRIDE, POLYSILICON, PHOTORESIST, ALUMINUM, GAAS,TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP,and MATERIAL specifies material for which etching rate parameters are set for the specifiedmachine. The MATERIAL=<c> parameter should only be used for user-defined materials.

Page 351: athena_users

RATE.ETCH Statement Statements

SILVACO International 7-107

Parameters used for RIE and WET.ETCH modelsA.H, A.M, A.S, U.H, U.M, U.S, and N.M specifies that the etch rates are in Angstroms per hour,Angstroms per minute, Angstroms per second, microns per hour, microns per minute, microns persecond, and nanometers per minute, respectively.

DIRECTIONAL specifies the directional component of the etching rate used by the RIE model. Theionic etch rate is the contribution of the ions to the chemically oriented etching mechanisms. The ionsare assumed to have an anisotropic angular distribution specified by divergence parameter.

ISOTROPIC specifies the isotropic etch rate used by the WET.ETCH and RIE models. The isotropicetch rate is the contribution of thermal atoms, radicals, and molecules coming out of the plasma. Theseare assumed to have an isotropic angular distribution. Therefore, the isotropic etching may lead to anunderetching of the mask.

CHEMICAL, DIVERGENCE CHEMICAL is the etch rate in the RIE model normal to the ion beamwhen the DIVERGENCE is specified as non-zero. DIVERGENCE specifies the beam divergence used bythe RIE model. The angular distribution of the ions coming down to the wafer is Gaussian.

Parameters used for Plasma Etch ModelPRESSURE specifies the plasma etcher reactor pressure.

TGAS specifies the plasma etcher reactor gas temperatures.

TION specifies the plasma etcher reactor ion temperatures.

VPDC specifies the DC bias in the plasma sheath

VPAC specifies the AC voltage in the sheath-buld interface.

FREQ specifies frequency of the AC current

LSHDC specifies the mean sheath thickness.

LSHAC specifies the AC component of the sheath thickness.

MGAS specifies the atomic mass the gas atoms.

MION specifies the atomic mass the plasma ions.

CHILD.LANG, COLLISION, LINEAR, and CONSTANT specifies a model used in calculation of thevoltage drop in the plasma sheath. Default is CONSTANT.

QIO specifies the momentum transfer cross-section.

QCHT specifies the charge exchange cross-section.

MAX.IONFLUX specifies the flux generated by the plasma etching machine

IONFLUX.THR specifies the flux threshold value below which the flux is not considered for etching.

NPARTICLES specifies number of particles used for Monte Carlo calculation of the ion flux comingfrom plasma.

ENERGY.DIV specifies number of energy divisions used for calculation of the plasma ion flux.

ANGLE.DIV specifies number of angular divisions used for calculation of the plasma ion flux.

K.I specifies the plasma rate linear coefficient.

OUTFILE specifies the name of an output file in which energy-angular ion flux distribution is saved.The distribution can be plotted using TonyPlot.

Page 352: athena_users

ATHENA User’s Manual RATE.ETCH Statement

7-108 SILVACO International

Parameters used for Monte Carlo Plasma Etch ModelION.TYPES specifies the number of different ions in etching plasma.

MC.POLYMPT specifies the number of MC simulated polymer particles normalized to the volume ofthe ejected material.

MC.RFLCTDIF specifies the reflection diffusiveness. 1 - corresponds to completely diffusivereflection, 0 corresponds to ideal mirror reflection.

MC.ETCH1 specifies the etch rate parameter for the first type of ions, unitless.

MC.ETCH2 specifies the etch rate parameter for the second type of ions, unitless.

MC.ALB1 specifies the reflection parameter for the first type of ions, unitless. This coefficient canvary from 0 (no reflection) to 1 (100% reflection).

MC.ALB2 specifies the reflection parameter for the second type of ions, unitless. This coefficient canvary from 0 (no reflection) to 1 (100% reflection).

MC.PLM.ALB specifies the reflection parameter for polymer particles, unitless. This coefficient canvary from 0 (no reflection) to 1 (100% reflection).

MC.NORM.T1 specifies the plasma normal temperature for the first type of ions, unitless.

MC.NORM.T2 specifies the plasma normal temperature for the second type of ions, unitless.

MC.LAT.T1 specifies the plasma lateral temperature for the first type of ions, unitless.

MC.LAT.T2 specifies the plasma lateral temperature for the second type of ions, unitless.

MC.ION.CU1 specifies the plasma ion current density for the first type of ions, ions/second/cm2.

MC.ION.CU2 specifies the plasma ion current density for the second type of ions, ions/second/cm2.

MC.PARTS1 specifies the number of MC simulated particles for the first type of ions.

MC.PARTS2 specifies the number of MC simulated particles for the second type of ions.

Wet Etch Example

The following example defines an etch machine that attacks silicon with wet etch characteristics andan etch rate of .1 micron/minute.

RATE.ETCH MACHINE=TEST SILICON WET.ETCH ISOTROPIC=.1 U.M

Monte Carlo Plasma Etch Example

The following statement defines parameters of Monte Carlo Plasma Etch machine as well as etchingcharacteristics of Silicon associated with this machine.

RATE.ETCH MACHINE=MCETCH SILICON MC.PLASMA ION.TYPES=1 \

MC.PARTS1=20000 MC.NORM.T1=14.0 MC.LAT.T1=2.0 \

MC.ION.CU1=15 MC.ETCH1=1e-05 MC.ALB1=0.2 MC.PLM.ALB=0.5 \

MC.POLYMPT=5000 MC.RFLCTDIF=0.5

See Also: ETCH

Page 353: athena_users

RATE.POLISH Statement Statements

SILVACO International 7-109

RATE.POLISHRATE.POLISH — specifies the polishing parameters for a chemical mechanical polishing (CMP)module.

SyntaxRATE.POLISH

[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|ALUMINUM|PHOTORESIST

BARRIER|TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAAS|ALGAAS

INGAAS|SIGE|INP|MATERIAL=<c>]

[SOFT][HEIGHT.FAC=<n>][LENGTH.FAC=<n>][KINETIC.FAC=<n>][MAX.HARD=<n>

MIN.HARD=<n>|NAME.RESIST=<n>]

[A.H|A.M|A.S|U.S|U.M|U.H|N.M]

[ISOTROPIC=<n>]

DescriptionThis command sets the parameters for the POLISH statement. The parameters must be set for eachmaterial to be polished. There are two polish models, hard and soft. they may be used together orseparately. They are specified by specifying their parameters.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, PHOTORESIST,BARRIER, TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS,INGAAS, SIGE, INP and MATERIAL specifies one predefined material or a user-defined material tobe deposited.The MATERIAL=<c> parameter should only be used for user-defined materials.

SOFT is the rate for the soft polish model.

HEIGHT.FAC is the vertical deformation scale in (µm) (soft polish model).

ISOTROPIC specifies the isotropic etch rate used by the POLISH model.

LENGTH.FAC Horizontal deformation scale in (µm) (soft polish model).

KINETIC.FAC Kinetic factor (soft polish model). Increases the vertical polish rate as the surfacebecomes more vertical.

MAX.HARD Maximum rate for the hard polish. Corresponds to a pattern factor of zero.

MIN.HARD Minimum rate for the hard polish. Corresponds to a pattern factor of one.

NAME.RESIST User defined photoresist to be polished.

A.H, A.M, A.S, U.H, U.M, U.S, and N.M specifies that the rates are in Angstroms per hour,Angstroms per minute, Angstroms per second, microns per hour, microns per minute, microns persecond, and nanometers per minute, respectively.

ExamplesThe following statements describe a polishing machine named cmp for nitride and oxide.

RATE.POLISH MACHINE=cmp NITRIDE SOFT=4 N.M HEIGHT.FAC=0.02 \

LENGTH.FAC=80 KINETIC.FAC=10 \

Page 354: athena_users

ATHENA User’s Manual RATE.POLISH Statement

7-110 SILVACO International

RATE.POLISH MACHINE=cmp OXIDE SOFT=25 HEIGHT.FAC=0.02 \

LENGTH.FAC=30 KINETIC.FAC=10

See Also: POLISH, RATE.ETCH

Page 355: athena_users

REGION Statement Statements

SILVACO International 7-111

REGIONREGION — specifies a material to be assigned to a defined mesh region.

Note: Typically the REGION statement is not required since initial substrate material is specified on theINIT statement

SyntaxREGION SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|GAAS

ALGAAS|INGAAS|SIGE|INP

TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|PHOTORESIST

ALUMINUM|MATERIAL=<c>[XLO=<c>][YLO=<c>][XHI=<c>][YHI=<c>]

DescriptionThis command specifies the material in a rectangular mesh. REGION statements should follow LINEstatements. Material must be specified for every triangle in a mesh, so for each rectangular meshthere must be at least one REGION statement specifying which material is included within the mesh. Ifno REGION statement is included between the LINE statement and the INITIALIZE statement, thematerial can be defined on the INITIALIZE statement.

SILICON, GAAS, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, TITANIUM,PLATINUM, WSIX, TISIX, PTSIX, GAS, PHOTORESIST, ALUMINUM, ALGAAS, INGAAS,SIGE, INP, ALGAAS, INGAAS, SIGE, INP and MATERIAL specifies the material in a region.TheMATERIAL=<c> parameter should only be used for user-defined materials.

XLO, YLO, XHI, and YHI specifies the bounds of the region rectangle. The value <string> should beone of the tags created in a preceding LINE statement.

ExamplesThe following REGION statement specifies silicon as the material for the entire mesh:

LINE X LOC=0 SPA=1 TAG=LEFT

LINE X LOC=1 SPA=0.1

LINE X LOC=2 SPA=1 TAG=RIGHT

LINE Y LOC=0 SPA=0.02 TAG=SURF

LINE Y LOC=1 SPA=0.1 TAG=BACK

REGION SILICON XLO=LEFT XHI=RIGHT YLO=SURF YHI=BACK

INIT

Note: If no REGION statement is used and no material appears on the INIT statement, then ATHENAassumed Silicon as the starting material

Page 356: athena_users

ATHENA User’s Manual REGION Statement

7-112 SILVACO International

Note: If you do not specify enough regions to describe the material at every part of the grid, it may notbe detected until the execution of a subsequent command.

See also: INITIALIZE

Page 357: athena_users

RELAX Statement Statements

SILVACO International 7-113

RELAXRELAX — loosens the grid in an ATHENA mesh.

SyntaxRELAX[X.MIN=<n>][X.MAX=<n>][Y.MIN=<n>][Y.MAX=<n>][DX.SURF=<n>

[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON

ALGAAS|INGAAS|SIGE|INP

PHOTORESIST|ALUMINUM|TUNGSTEN|TITANIUM|PLATINUM|WSIX|GAAS

TISIX|PTSIX|MATERIAL=<c>][DIR.X|DIR.Y|SURFACE]

DescriptionThis statement allows the user to increase grid spacing. The box for RELAX may be placed anywherewithin the input file. However RELAX commands are ignored in ATHENA is in 1D mode. The RELAXstatement also includes an algorithm for relaxing grid on the surface of the simulation structure.

X.MIN, X.MAX, Y.MIN, and Y.MAX specifies the corner coordinates (in microns).

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN GAAS, TITANIUM,PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP, PHOTORESIST, orALUMINUM and MATERIAL will limit the place for the RELAX statement. The default is to changethe grid within all of the materials. If any material is specified, relaxation will take place only in thespecified material. A maximum of one material may be specified on the RELAX statement.TheMATERIAL=<c> parameter should only be used for user-defined materials.

DIR.X or DIR.Y, selected as false, forbids the regridding in the chosen direction. DIR.X may only bespecified for the substrate or the entire structure.

SURFACE specifies that the surface grid be relaxed.

DX.SURF specifies a minimum size for surface segments.

ExamplesRELAX SILI X.MAX=1 Y.MIN=0

This statement will change a grid over a rectangular area in silicon from the left side of a structure to1, and from y=0 to the bottom of the silicon.

Note: RELAX will not make any changes to a grid if obtuse triangles would result from the meshrelaxation. As a consequence of this, RELAX will typically only work on meshes that were initiallydefined using LINE statements in ATHENA. For other structures DEVEDIT may be used.

See also: VWF Interactive Tools manual

Page 358: athena_users

ATHENA User’s Manual RELAX Statement

7-114 SILVACO International

SELECTSELECT — selects the plot variable for printing and plotting post-processing routines.

Note: This command has been superseded for use with graphics by the adoption of TONYPLOT. Refer toVWF Interactive Tools Manual Volume I

Note: This command has been superseded for us with PRINT.1D by the EXTRACT command. SeeVWF Interactive Tools Manual Volume I

SyntaxSELECT[Z=<c>][LABEL=<c>][TITLE=<c>][TEMPERATURE=<n>]

DescriptionSELECT specifies the variable that will be printed by the PRINT.1D statement.Only one variablemay be selected at any one time. Each SELECT statement overrides any previous statements.

Z is set equal to the selected variable. The operators *, /, +, -, ^ all work as standard algebraicoperators would. z may be set to any of the vector variables shown on the next page.

Table 7-4. Select Operator Variables.

Vector Variables Description

ANTIMONY antimony concentration

ARSENIC arsenic concentration

BORON boron concentration

CI.STAR equilibrium interstitial concentration

CV.STAR equilibrium vacancies concentration

DOPING net active concentration

ELECTRONS electron concentration

INTERSTITIAL interstitial concentration

NI intrinsic electron concentration

OXYGEN oxygen concentration

PHOSPHORUS phosphorus concentration

Sxx, Sxy, Syy components of stress in rectangular coordinates

TRAP unfilled interstitial trap concentration

VACANCY vacancy concentration

X x coordinates

Page 359: athena_users

RELAX Statement Statements

SILVACO International 7-115

Potential is computed using charge neutrality. The electron concentration is computed from thepotential using Boltzmann statistics. The following functions are available:

TITLE is the string printed in large letters across the top of the plot. Default is ATHENA.

TEMPERATURE specifies the temperature at which expressions are evaluated. It defaults to the lastdiffusion temperature. This parameter has to be specified (by default or explicitly) when printing a netactive concentration or preparing a ATLAS structure file.

ExamplesThe following will choose the base 10 logarithm of the arsenic concentration as the PRINT.1D variable.

SELECT Z=LOG10(ARSEN)

Y y coordinates

X.V x velocity

Y.V y velocity

Table 7-5. Select Functions.

Function Description

abs absolute value

active active portion of the specified dopant

erf error function

erfc complimentary error function

exp exponential

gradx numerically differentiates the argument with respect to x location

grady numerically differentiates the argument with respect to y location

log logarithm

log10 logarithm base 10

<mat1>@<mat2> returns the y value of the interface between <mat1> and <mat2> along a vertical slice at the given loca-tion

scale scales the value given by the maximum value

sqrt square root

Table 7-4. Select Operator Variables.

Vector Variables Description

Page 360: athena_users

ATHENA User’s Manual RELAX Statement

7-116 SILVACO International

The following will choose the phosphorus concentration minus a constant profile of 5.0×1014 as thePRINT.1D variable.

SELECT Z=(PHOS - 5.0E14)

The following will choose the difference between the phosphorus and an analytic profile as thePRINT.1D variable.

SELECT Z=(PHOS - 1.0E18 * EXP (Y * Y / 1.0E-8))

The following will choose the excess vacancy interstitial product as the PRINT.1D variable.

SELECT Z=(INTER * VACAN - CI.STAR * CV.STAR)

Note: When using log or log10 functions be sure that the argument is positive and non-zero. Forexample, always use log10(abs(doping)+1).

See Also: VWF Interactive Tools manual , PRINT.1D

Page 361: athena_users

ATHENA User’s Manual SET Statement

7-117 SILVACO International

SETSET— has two uses:

• specifies options during job execution

• specifies strings or numbers for variable substitution.

Note: This command has two different uses. The use for variable setting and substitution is run underDECKBUILD and is documented fully in the VWF INTERACTIVE TOOLS MANUAL, VOLUME I.

SyntaxSET [NOEXECUTE|PROMPT=<c>|ECHO]

or

SET variable = <value>

DescriptionThis command turns on the following useful shell parameters. The UNSET statement allows the sameparameters to be turned off.

NOEXECUTE puts all entered statements into a check only mode. If this flag is on, ATHENA will onlycheck the legality of the input syntax and not execute any statements.

PROMPT causes the character string <c> to become the prompt for the rest of the session. The defaultprompt is ATHENA>. Do not change this when running under DECKBUILD

ECHO instructs ATHENA to echo all input lines to the run-time output. Note that in DECKBUILD this isnot required as all lines are echoed to the bottom run-time window or run-time output file by default.

Job Execution Option Example

The following statement causes ATHENA to echo each command it receives.

SET ECHO

Numerical Variable Example

The following statement defines a variable and performs an expression on it for use later within theATHENA processing syntax

SET MYDOSE=1e13

SET HALFMYDOSE=$”MYDOSE”/2

IMPLANT BORON DOSE=$”HALFMYDOSE”

String Variable Example

The following uses SET to define a string variable. The saved file will be called mosfet_fred.str

SET MYNAME=fred

STRUCTURE OUTFILE=mosfet_$”myname”.str

Page 362: athena_users

SET Statement Statements

SILVACO International 7-118

See also: UNSET, EXTRACT

Note: The parser does not recognize abbreviated forms of these commands; it requires thatNOEXECUTE, PROMPT, and ECHO be entered verbatim.

Page 363: athena_users

ATHENA User’s Manual SILICIDE Statement

7-119 SILVACO International

SILICIDESILICIDE — specifies the silicidation coefficients.

SyntaxSILICIDE[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|GAAS

ALGAAS|INGAAS|SIGE|INP

TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|

MATERIAL=<c>][/SILICON|/OXIDE|/OXYNITRIDE|/NITRIDE|

/POLYSILICO|/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|PTSIX

/MATERIAL=<c>][KS.0=<n>][KS.E=<n>][NSILICON=<n>]

[NMETAL=<n>][VMETAL=<n>][VSILICON=<n>][VSILICIDE=<n>]

[XMETAL=<n>][XSILICON=<n>][DSV.0=<n>][DSV.E=<n>]

DescriptionThis command specifies surface reaction rate parameters relating to silicidation.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, GAAS, TITANIUM,PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIAL specifies thetype of silicide to which the parameters apply.The MATERIAL=<c> parameter should only be used foruser-defined materials.

/SILICON, /OXIDE, /OXYNITRIDE, /NITRIDE, /POLYSILICO, /TUNGSTEN, /GAAS, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL specifies the type of silicide interface material to which parameters apply.The /MATERIAL=<c> parameter should only be used for user-defined materials.

KS.0 and KS.E specifies the interface reaction rate. KS.0 specifies the prefactor in cm2/s, and KS.Especifies the reaction activation rate energy in electron volts.

NSILICON and NMETAL specifies the number of silicon and metal molecules per cubic centimeter ofsilicide material. Used in growth velocity calculation as N1i.

VMETAL, VSILICON, and VSILICIDE specifies the molecular volume of metal, silicon and silicidein Å3.

XMETAL and XSILICON specifies the composition index of metal and silicon in a silicide molecule.

DSV.0 and DSV.E specifies the prefactor and activation energy for the dissolution velocity.

ExamplesThe following example specifies the parameters for silicidation reaction between titanium and silicon.

SILICIDE MATERIAL=TISI2 /MATERIAL=TITANIUM KS.0=37.6\

KS.E=0.06 NSILICON=3.33E22 NMETAL=1.67E22 VMETAL=10.60\

VSILICON=12.06 VSILICIDE=26.68 XMETAL=1 XSILICON=2

Note: Many of the parameters describing silicidation are not well calibrated. The parameters should beconsidered as a starting point from which calibration to a particular process can take place.

Page 364: athena_users

ATHENA User’s Manual SOURCE Statement

7-120 SILVACO International

SOURCESOURCE — executes statements from the specified file.

SyntaxSOURCE <filename>

DescriptionSOURCE reads statements from an input file. Statements are read from the file until an end-of-filemarker is found. SOURCE is especially useful for executing a large group of statements. SOURCE placesthe named file in the current input stream. SOURCE statements can be nested up to the limit of openfile descriptors (system dependent).

ExamplesThe following statement causes the contents of a file named test.in to be included into the inputstream.

SOURCE TEST.IN

Note: To support the use of this function when running under the VWF AUTOMATION TOOLS, the file to besourced should be placed into a directory directly visible to the simulation run, no matter where thesimulator is executing.

Page 365: athena_users

STRESS Statement Statements

SILVACO International 7-121

STRESSSTRESS — calculates elastic stresses.

SyntaxSTRESS[TEMP1=<n>][TEMP2=<n>][NEL=<n>]

DescriptionThis command calculates stresses due to thin film intrinsic stress or thermal mismatch.

TEMP1 and TEMP2 are the initial and final temperatures in C for calculating thermal mismatchstresses.

NEL is the number of nodes per triangle to use. Currently only 6 or 7 are allowed. 6 nodes is fasterthan 7 and usually gives adequate results, so 6 is the default.

ExampleThe following calculates the stresses in the substrate and film arising from a nitride layer which hasan intrinsic stress of 1.4 ×1014 dynes cm-2 when deposited uniformly.

MATERIAL NITRIDE INTRIN.SIG=1.4E10

STRESS

The following calculates thermal mismatch stress in the whole structure as the result of a temperaturechange from 1000 to 100 Celsius

STRESS TEMP1=1000 TEMP2=100

See Also: MATERIAL

Page 366: athena_users

ATHENA User’s Manual STRETCH Statement

7-122 SILVACO International

STRETCHSTRETCH — stretches structures about a specified location.

SyntaxSTRETCH SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON

TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAAS

ALGAAS|INGAAS|SIGE|INP

PHOTORESIST|ALUMINUM|MATERIAL=<c>[LENGTH=<n>][X.VAL=<n>]

[Y.VAL=<n>][STRETCH.VAL=<n>][SPACING=<n>][DIVISION=<n>][SNAP]

DescriptionThis statement specifies that the device is to be stretched about a specified location. If devicecharacterization as a function of length is of interest, the stretch function will save massive amounts ofCPU time in generating multiple gate length structures. The stretch capability is also useful for powerdevices.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, GAAS, TITANIUM,PLATINUM, WSIX, TISIX, PTSIX, PHOTORESIST, ALUMINUM, ALGAAS, INGAAS, SIGE,INP, and MATERIAL specifies material that defines the stretch region. The MATERIAL=<c>parameter should only be used for user-defined materials.

LENGTH specifies the final value to which the specified material region is stretched. Alternately,X.VAL may be specified using STRETCH.VAL to specify the position of a vertical cut line and thedistance to be stretched respectively. The grid spacing within the stretched region is defined either byspacing or by division.

SPACING specifies the grid spacing within the stretched region.

DIVISION specifies the number of grid divisions within the stretched region.

SNAP indicates that X.VAL should “snap” (change value or locate) to the nearest grid point beforestretching. snap is recommended to minimize the potential for obtuse triangle generation. Snap is setto true by default.

X.VAL and Y.VAL specifies the horizontal or vertical position at which stretching should occur.LENGTH overrides the STRETCH.VAL, X.VAL and Y.VAL parameters. If LENGTH is specified, the cutline stretch location defaults to the center of the specified material. The default material is polysilicon.

Stretch ExamplesThe following statement will stretch a device about the center of its polysilicon region. This device mayhave been a MOSFET with a polysilicon gate 1 micron long. The stretch command will create a 1.8micron-long MOSFET in this case.

STRETCH LENGTH=1.8

The following example will stretch an oxide isolation structure from the x position of 2.3 microns by avalue of 1.3 microns. The stretched region will contain 14 grid spaces. This case may be useful forgenerating large isolation regions that take too long to simulate numerically.

STRETCH OXIDE X.VAL=2.3 DIVISIONS=14 STRETCH.VAL=1.3

Page 367: athena_users

STRETCH Statement Statements

SILVACO International 7-123

Note: The stretch function may not be valid or physically correct in the case of very short initialstructures (e.g. with RSCE effect in MOSFETs). The location selected for stretching should correspondexactly to a grid line for best results. It will provide best grid quality if the stretch location does not touchareas in which the grid has been relaxed.

Note: The STRETCH command often results in grid failure for complex structures and is notrecommended for complex topographies. DEVOTED provides a superior stretch feature for these cases

Page 368: athena_users

ATHENA User’s Manual STRIP Statement

7-124 SILVACO International

STRIPSTRIP — removes all photoresist and barrier materials.

SyntaxSTRIP[PHOTORESIST|SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON

ALGAAS|INGAAS|SIGE|INP

|BARRIER|ALUMINUM|TUNGSTEN|TITANIUM|PLATNIUM|WSIX|TISIX

|PTSIX|GAAS|MATERIAL=<c>]

DescriptionThis command is used to remove all photoresist and barrier material. STRIP is equivalent to ETCHPHOTORESIST ALL or ETCH BARRIER ALL commands.

PHOTORESIST, SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, BARRIER,ALUMINUM, TUNGSTEN, TITANIUM, PLATNIUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS,INGAAS, SIGE, INP and MATERIAL specifies the material to be stripped. If no material isspecified, the STRIP command removes photoresist and barrier materials.The MATERIAL=<c>parameter should only be used for user-defined materials.

ExamplesThe following sequence of statements deposits photoresist patterned with the mask level namedCONT, etches oxide through the mask, and removes the photoresist with the STRIP statement.

MASK NAME="CONT"

ETCH OXIDE DRY THICK=.2

STRIP

This example requires the use of MASKVIEWS.

See Also: MASK, ETCH

Page 369: athena_users

STRUCTURE Statement Statements

SILVACO International 7-125

STRUCTURESTRUCTURE — writes the mesh and solution information, aerial image information, or flips or mirrorsthe structure.

SyntaxSTRUCTURE[OUTFILE=<c>]

[INFILE=<c>][OPC=<n>]

[FLIP.Y][MIRROR][LEFT|RIGHT|TOP|BOTTOM]

[INTENSITY|MASK]

[REMOVE.GAS]

DescriptionThis statement writes the entire mesh and solution set to a file. The saved data is from the current setof solution and impurity values.

OUTFILE specifies the name of the file to be written. Existing files with the same name will beoverwritten by a newly specified file.

INFILE specifies the name of the section file generated by MASKVIEWS to be imported. This file isassumed to contain the unbiased layout structures and will be used as a reference to calculate thepercentage area of deviations in performing optical proximity correction. Thus, it must be usedtogether with the OPC option.

OPC specifies the normalized intensity level for OPC evaluation. An image file (.SEC) will begenerated for this particular intensity level and is to be used by MASKVIEWS.

FLIP.Y indicates that the structure should be flipped around the x axis.This is used to invertstructures for backside processing.

MIRROR, LEFT, RIGHT, TOP, and BOTTOM mirrors the grid about its left or right, top or bottomboundary, respectively. This is useful for turning half-a-MOSFET simulations into ATLAS grids. Thedefault reflection is about the right axis.

INTENSITY and MASK specifies whether the output file is to be an intensity file or a mask file. Ifneither INTENSITY or MASK are specified, the file will contain the current ATHENA structure.

REMOVE.GAS specifies that the gas region is to be removed from the output structure. Currently,the overlaying gas region is automatically added to the structure for Monte Carlo etch and BCAimplant simulations.

ExamplesThe following statement writes the current structure to a file called test.str.

STRUCTURE OUTFILE=TEST.STR

The following statement saves an aerial image calculated by OPTOLITH to a file called test.str.

STRUCTURE OUTFILE=TEST.STR INTENSITY

The following statement mirrors the structure about its left boundary.

STRUCTURE MIRROR LEFT

Page 370: athena_users

ATHENA User’s Manual STRUCTURE Statementl

7-126 SILVACO International

Note: The STRUCTURE command will save all mesh and solution information only. It will not save anydefined model or machine methods. If a simulator is exited in the middle of an input file, it is oftentherefore necessary to manually parse the preceding METHOD and IMPURITY commands to reinitializespecified parameters. This function is handled automatically when running under the VWF AUTOMATION

TOOLS.

See Also: INITIALIZE

Page 371: athena_users

SYSTEM Statement Statements

SILVACO International 7-127

SYSTEMSystem - allows execution of any UNIX C-shell command within an input file

Note: The system statement is executed by DECKBUILD and is fully documented in the VWFINTERACTIVE TOOLS - MANUAL VOLUME I

Note: The system command must be enabled using an option on the DECKBUILD Main_Control menuunder Category: Options.

ExamplesThe following command will remove all files test*.str before a DIFFUSE statement where the DUMPparameter is used

system \rm -rf test*.str

DIFFUSE .... DUMP=1 DUMP.PREF=test

The system command and the UNIX commands are case sensitive.

UNIX commands may be concatenated on a single line using the semicolon (;) operator. For example torun a third party program that reads and writes Silvaco format files with fixed names input.str andoutput.str.

STRUCTURE OUTF=mysave.str

system mv mysave.str input.str; source myprog.exe; mv output.str myrestart.str

INIT INF=myrestart.str

The UNIX re-direct symbol > is not supported by the system command. The UNIX echo and sedsyntax can be used instead to output values or variables to a given filename. For example to save theextracted value of variable $myvariable to the file myfile.

system echo $”myvariable” | sed -n “w myfile”

Page 372: athena_users

ATHENA User’s Manual TONYPLOT Statement

7-128 SILVACO International

TONYPLOTtonyplot - starts the graphical post-processor TONYPLOT

Note: The tonyplot statement is executed by DECKBUILD and is fully documented in the VWFINTERACTIVE TOOLS MANUAL - VOLUME I

ExamplesAll graphics in ATHENA is performed by saving a file and loading the file into TONYPLOT. The command:

tonyplot

causes ATHENA to automatically save a file and plot it in TONYPLOT. The tonyplot window will appeardisplaying the material boundaries. Use the Plot:Display menu to see more graphics options.

This command will display the file myfile.str

tonyplot -st myfile.str

This command will overlay the results of myfile1.str and myfile2.str

tonyplot -overlay myfile1.str myfile2.str

Note: For documentation of the extensive features of TONYPLOT for graphical display and analysisconsult the TONYPLOT chapter of the VWF INTERACTIVE TOOLS MANUAL VOLUME I

Page 373: athena_users

TRAP Statement Statements

SILVACO International 7-129

TRAPTRAP — sets the coefficients of interstitial traps.

SyntaxTRAP SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|GAAS

ALGAAS|INGAAS|SIGE|INP

TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAS

ALUMINUM|PHOTORESIST|MATERIAL=<<c>>|[ENABLE][TOTAL=<n>]

[FRAC.0=<n>][FRAC.E=<n>]

DescriptionThis statement allows the user to specify values for coefficients of the interstitial traps. The statementallows coefficients to be specified for each of the materials. ATHENA has default values only for silicon.Polysilicon parameters default to those for silicon.

SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, GAAS, TITANIUM,PLATINUM, WSIX, TISIX, PTSIX, GAS, ALGAAS, INGAAS, SIGE, INP, ALUMINUM, andPHOTORESIST and MATERIAL specify the material for which the parameters apply. TheMATERIAL=<c> parameter should only be used for user-defined materials.

ENABLE indicates that traps should be enabled in the material specified.

TOTAL specifies the total number of traps, in cm-3. The default for silicon is 5.0×1017 cm-3. This valueis appropriate for Czochralski silicon material.

FRAC.0 and FRAC.E allow the specification of the equilibrium empty trap ratio.

Examples

The following statement turns on interstitial traps and sets the total to 5.0×1017 and the fraction to ahalf.

TRAP SILICON TOTAL=5.0E17 FRAC.0=0.5 FRAC.E=0.0 ENABLE

Note: The trap concentration will depend on the thermal history of the wafer, starting material, stressand temperature. This history is not considered in the trap model in ATHENA.

See Also: INTERSTITIAL, VACANCY

Page 374: athena_users

ATHENA User’s Manual %UNDEF Statement

7-130 SILVACO International

%UNDEF%UNDEF — nullifies previously defined macros.

Syntax %UNDEF <macro_name>

Description%UNDEF can be used to turn off a previously defined macro. MACRO_NAME and its expansion aredeleted from the macro table. This statement is similar to the UNIX csh UNALIAS command.

ExamplesThe following sequence of commands:

%DEFINE MACRO THIS IS A MACRO

ECHO MACRO

%UNDEF MACRO

ECHO MACRO

will produce the following output:

ATHENA > %DEFINE MACRO THIS IS A MACRO

ATHENA > ECHO MACRO

THIS IS A MACRO

ATHENA > %UNDEF MACRO

ATHENA > ECHO MACRO

MACRO

The macro MACRO has been undefined. In order to undefine any macro, the “%” character must be thefirst character on the line.

See Also:%DEFINE

Page 375: athena_users

UNSET Statement Statements

SILVACO International 7-131

UNSETUNSET — unsets various boolean shell parameter defined on the SET statement.

Note: When SET variable=value is used it is not possible to UNSET the variable

SyntaxUNSET[NOEXECUTE|PROMPT=<c>|ECHO]

DescriptionThis command turns off the following useful shell parameters. The SET statement allows the sameparameters to be turned on.

NOEXECUTE puts all entered statements into a check only mode. If this flag is on, ATHENA will onlycheck the syntax of the input commands and not actually run them.

PROMPT causes the character string <c> to become the prompt for the rest of the session. The defaultprompt is <ATHENA>.

ECHO instructs ATHENA to echo all input lines.

ExamplesThe following turns off statement echoing.

UNSET ECHO

Note: The parser does not recognize abbreviated forms of these commands; it requires thatNOEXECUTE, PROMPT, and ECHO be entered verbatim.

See also: SET

Page 376: athena_users

ATHENA User’s Manual VACANCY Statement

7-132 SILVACO International

VACANCYVACANCY — set coefficients of vacancy kinetics.

Note: This statement is documented together with the INTERSTITIAL statement

Page 377: athena_users

Appendix A:C-Interpreter

SILVACO International A-1

C-Interpreter FunctionsATHENA has a built in C language interpreter that allows the user to modify many of the modelscontained in ATHENA. To use these functions the user must write C language functions containinganalytic descriptions of the model that is to be modified. The C-Interpreter is essentially acompiler that understands the C language syntax, its loops, assignment statements, and itsconditional constructs. If you are not familiar with the C language, we suggest that you refer to any ofthe popular C language references such as the one written by Kernigan and Ritchie [108]. Theprincipal differences between the ATHENA C-Interpreter and the C language are:

1. The C-Interpreter does not support global variables.

2. The C-Interpreter does not support macros.

3. The standard I/O functions are built into the interpreter and the user need not include a header filefor these functions.

4. The C-Interpreter does not support data structures.

These differences are not serious and for the intended purpose should present no problems to the user.The function arguments of the interpreter functions and the return values are fixed in ATHENA andthus the user must be careful to ensure that the arguments and return values in his functions matchthose expected by ATHENA.

The C Interpreter allows access to the internal models of ATHENA via a C syntax function in an inputfile. This input file contains a direct analog to the function that is used in the actual ATHENA sourcecode and can be modified and used in place of the compiled source code function. The Interpreter readsthe file supplied and executes the functions declared within it.

The Interpreter is invoked during simulation by specifying the function file name (i.e. the file to beinterpreted) on the statement for which the Interpreter is to be invoked. At present the only statementavailable is the DIFFUSE statement. An example of the interpreter use would be as follows:

DIFFUSE TIME=30 TEMP=900 P.DIF.COEF=MY_P_DIFF_COEF.LIB

The file MY_P_DIFF_COEF.LIB contains the function to be interpreted for the phosphorus diffusioncoefficient calculation. The parameter name P.DIF.COEF signifies that the standard phosphorusdiffusion coefficient calculation will be replaced by the calculation that is done inside the fileMY_P_DIFF_COEF.LIB.

A template function that duplicates the original phosphorus diffusion coefficient calculation issupplied to the user via the file athena.lib. This file is located under the install directory in /<installdirectory> /lib/athena/<version number>/common/athena.lib. The template file can be accesseddirectly via this directory path; by typing “athena -T filename”, where filename is a the name that theuser wants the template file to be copied to; or through DECKBUILD by selecting Templates... on theATHENA Commands menu. Then the desired function that is to be interpreted is copied to the user’sown file such as MY_P_DIFF_COEF.LIB. Here the file can be modified to whatever form is desired. Anexample template function is shown below.

/*

*——————————————————————————————————————-—

* interPhosphorusDiffCoef() - This routine calculates the phosphorus* diffusivity as a function of temperature, n/ni, interstitial and vacancy * concentrations.* Statement: DIFFUSE * Parameter: P.DIF.COEF

Page 378: athena_users

ATHENA User’s Manual

A-2 SILVACO International

*———————————————————————————————————————

*/

double interPhosphorusDiffCoef(temp, new, equil, noni, idf, vdf, iprt, vprt, Dix0, DixE, Dip0, DipE, Dimm0, DimmE, Dvx0, DvxE, Dvm0, DvmE, Dvmm0, DvmmE, Dim0, DimE, Fi, nn, mats, maxmat, time, kb)double temp; *Diffusion temperature*/

/*

* These solution arrays that have maximum dimension of int nn, the number of nodes in the structure

*/

/*

* Arrays of diffusion constants for each material these arrays have the* dimension of int maxmat given below

*/

double *new; /*The current concentration of the impurity.*double *equil; /*The equilibrium concentration set not used*/double *noni; /*The scaled electron concentration no/ni*/double *idf; /*The interstitial diffusivity*/double *vdf; /*The vacancy diffusivity*/double *iprt; /*The partial derivative of the interstitial diffusivity with respect to the potential*/double *vprt; /*The partial derivative of the vacancy diffusivity with respect to the potential*/

double *Dix0; /*Pre-exponential constant diffusing with (interstitial) neutral defects*/ double *DixE; /*Activation energy constant diffusing with (interstitial) neutral defects*/double *Dip0; /*Pre-exponential constant diffusing with singly positive defects*/ double *DipE; /*Activation energy constant diffusing with singly positive defects*/double *Dimm0; /*Pre-exponential constant diffusing with doubly negative interstitial defects*/double *DimmE; /*Activation energy constant diffusing with doubly negative interstitial defects*/ double *Dvx0; /*Pre-exponential constant diffusing with neutral vacancy defects*/ double *DvxE; /*Activation energy constant diffusing with neutral vacancy defects*/double *Dvm0; /*Pre-exponential constant diffusing with singly negative vacancy defects*/double *DvmE; /*Activation energy constant diffusing with singly negative vacancy defects*/double *Dvmm0; /*Pre-exponential constant diffusing with doubly negative vacancy defects*/double *DvmmE; /*Activation energy constant diffusing with doubly negative vacancy defects*/double *Dim0; /*Pre-exponential constant diffusing with singly negative (interstitial) defects*/double *DimE; /*Activation energy constant diffusing with singly negative (interstitial) defects*/double *Fi; /*Fractional interstitialcy*/int nn; *The number of nodes in the structure*/ int *mats; *The material at each node in the structure*/int maxmat; /*The maximum number of materials*/ double time; /*The diffusion time in seconds*/ double kb; /*8.62e-5 a useful Boltzmann constant value*/{

int i; /*For array indexing*/ int mat; /*Current material index at a node*/ double *Dix; /*Diffusivity with (interstitial) neutral defects*/ double *Dim; /*Diffusivity with singly negative (interstitial) defects*/

Page 379: athena_users

C-Interpreter

SILVACO International A-3

Dix = (double *)malloc(maxmat*sizeof(double));Dim = (double *)malloc(maxmat*sizeof(double));Dimm = (double *)malloc(maxmat*sizeof(double));Dvx = (double *)malloc(maxmat*sizeof(double));Dvm = (double *)malloc(maxmat*sizeof(double));Dvmm = (double *)malloc(maxmat*sizeof(double));

/*first calculate the fixed stuff*/for(i = 0; i maxmat; i++) {

Dix[i] = Dix0[i]*exp ( - DixE[i]/(Vt) );Dim[i] = Dim0[i]*exp ( - DimE[i]/(Vt) );Dimm[i] = Dimm0[i]*exp ( - DimmE[i]/(Vt) );Dvx[i] = Dvx0[i]*exp ( - DvxE[i]/(Vt) );Dvm[i] = Dvm0[i]*exp ( - DvmE[i]/(Vt) );Dvmm[i] = Dvmm0[i]*exp ( - DvmmE[i]/(Vt) );

}for(i = 0; i nn; i++) {

mat = mats[i];idf[i] = Dix[mat] + noni[i]*(noni[i]*Dimm[mat] + Dim[mat]);vdf[i] = Dvx[mat] + noni[i]*(noni[i]*Dvmm[mat] + Dvm[mat]);vprt[i] = noni[i]*(2.0*Dvmm[mat]*noni[i] + Dvm[mat])/Vt;prt[i] = noni[i]*(2.0*Dimm[mat]*noni[i] + Dim[mat])/Vt;

}free(Dix); free(Dim); free(Dimm);free(Dvx); free(Dvm); free(Dvmm);}

All variables in the interpreted function are described in the template file as shown above for thePhosphorus diffusion coefficient calculation. The variables which return the results of the functioncalculation for this case are idf, vdf, vprt, and iprt. The calculation is done for all the nodes in thestructure, nn. Material dependencies are automatically determined by the mats array for each node.Material dependent diffusion constants are passed in from ATHENA and used in the same way they areused internally. To verify that the calculation is being properly carried out it is suggested that the useruse printf statements in the C function in order to see the value of variables inside the interpreter. Anexample would be the following statement included in the for loop for calculating temperaturedependent diffusion constants.

printf(“Dix[i] = %g Dix0[i] = %g DixE[i] = %g \n”,Dix[i],Dix0[i],DixE[i]);

double *Dimm; /*Diffusivity with doubly negative vacancy defects*/double *Dvx; /*Diffusivity with neutral vacancy defects*/ double *Dvm; /*Diffusivity with singly negative vacancy defects*/ double *Dvmm; /*Diffusivity with singly negative vacancy defects*/double Vt; /*Thermal voltage*/ Vt = kb*temp;

Page 380: athena_users

ATHENA User’s Manual

A-4 SILVACO International

This will print the diffusion constant values for each material each time the calculation is made if it isincluded after the line

Dix[i] = Dix0[i]*exp ( - DixE[i]/(Vt) );

The use of printf statements is very useful when trying to analyze whether or not the user suppliedfunction is working properly.

There are three different calculations currently available via the C Interpreter. They are all associatedwith the DIFFUSE command. The first is the diffusion coefficient calculation. The diffusion coefficientcalculation is available for six solution values. These are: Phosphorus, Boron, Antimony, Arsenic,Vacancies, and Interstitials. The parameters associated with each one of these calculations areP.DIF.COEF, B.DIF.COEF, SB.DIF.COEF,

A.DIF.COEF, V.DIF.COEF, and I.DIF.COEF, respectively. The functions inside the template file thatare associated with each one of these parameters are: interPhosphorusDiffCoef, interBoronDiffCoef,interAntimonyDiffCoef, interArsenicDiffCoef, interVacanciesDiffCoef, and interInterstitialsDiffCoef.

The second is the segregation calculation. The segregation calculation is available for four impurities.These are: Phosphorus, Boron, Antimony, and Arsenic. The parameters associated with each one ofthese calculations are P.SEG.CALC, B.SEG.CALC, SB.SEG.CALC, A.SEG.CALC, respectively. Thefunctions inside the template file that are associated with each one of these parameters are:interPhosphorusBoundary, interBoronBoundary, interAntimonyBoundary, and interArsenicBoundary.

The third is the activation calculation. The activation calculation is available for four impurities.These are: Phosphorus, Boron, Antimony, and Arsenic. The parameters associated with each one ofthese calculations are P.ACT.CALC, B.ACT.CALC, SB.ACT.CALC, and A.ACT.CALC, respectively. Thefunctions inside the template file that are associated with each one of these parameters are:interPhosphorusActive, interBoronActive, interAntimonyActive, and interArsenicActive.

The parameters and constants associated with each of these functions are described and documentedin the template file, athena.lib. The argument list for each of the interpreted functions can not bealtered in any way or the C-Interpreter will not function. The interpreted functions for all threecalculations can be used separately or simultaneously as can the calculation for each of the impurities.Thus, the diffusion calculation can be complemented with fourteen user defined models in the form ofthe functions described above. These functions need not be in separate files. The interpreterrecompiles the function every time the DIFFUSE statement is issued with an interpreter file specifiedso that the interpreted calculation can be changed without restarting the simulator. The interpretedfunction will only be used if one of the filename parameters is specified on the DIFFUSE statement.

Known BugsThe C-Interpreter cannot be used to equate arrays with different dimensions. For example, thefollowing two cases will cause an error:

double array1[3][4]; double array2[4]; rray1[2][3] = array2[3]; double array1[3][4];duble array2[4];array2[3] = array1[2][3];

Page 381: athena_users

Appendix B:Default Coefficients

SILVACO International B-1

IMPORTANT NOTEThis appendix contains the list of impurity and material default coefficients, default modelparameters, and other parameters used in ATHENA calculations. Most of these coefficients areinitialized in the file athenamod. The file athenamod is displayed when the Models menu item isselected from the Commands menu of DECKBUILD while ATHENA is the current simulator. Almost all ofthese coefficients can be modified to match measured results. You should check the contents ofathenamod for updates to default values that may be more current than those shown in thefollowing lists.

Oxidation Rate Coefficients

Dry Ambient For <111> Orientation

These parameters are from bibliography reference [31].

Wet Ambient for <111> Orientation

These parameters are from bibliography reference [32].

Orientation Factors For Linear Coefficients (both Ambients)

Parabolic: PAR.H.0 (m/min)PAR.H.E (eV)P.BREAK (ºC)

= 12.8667= 1.23= 0.

Linear: LIN.H.0 (m/min)LIN.H.E (eV)1.BREAK (ºC)

= 1.038 x

105

= 2.0= 0.

Parabolic: PAR.L.0 (m/min)PAR.L.E (eV)PAR.H.0 (m/min)PAR.H.E (eV)P.BREAK (ºC)

= 283.333= 1.17= 7.0= 0.78= 950.

Linear: LIN.L.0 (m/min)LIN.L.E (eV)LIN.H.0 (m/min)LIN.H.E (eV)L.BREAK (ºC)

= 3.45 X 10 4

= 1.6

= 2.95 X 10 6

= 2.05= 900.

For <100> orientation (unitless):For <110> orientation (unitless):For <111> orientation (unitless):

ORI.FAC = 0.595ORI.FAC = 0.833ORI.FAC = 1.0

Page 382: athena_users

ATHENA User’s Manual

B-2 SILVACO International

Pressure Dependence

Chlorine Dependence

DRY: L.PDEP = 0.75WET: L.PDEP = 1.0

P.PDEP = 1.0P.PDEP = 1.0

Table B-1. Thin oxide coefficients (only for dry ambient)

Orientation THINOX.0(µ2/min)

THINOX.E (eV) THINOX.L (µ) THINOX.P [14]

<111> 5.87 x 106 2.32 0.0078 1.0

<110> 5.37 x 104 1.80 0.0060 1.0

<100> 6.57 x 106 2.37 0.0069 1.0

Table B-2. Chlorine dependence of dry coefficients for three temperatures:900, 1000, 1100ºC

HCL.PC HCL.LIN HCL.PAR

900 1000 1100 900 1000 1100

0.0 1.0 1.0 1.0

1.0 1.0 1.0

1.0 1.75 1.25 1.621

1.083 1.658 1.355

3.0 1.75 1.486 2.207

1.25 1.840 1.490

5.0 1.75 1.486 2.207

1.444 2.075 1.641

7.0 1.75 1.486 2.207

1.639 2.332 1.816

10.0 1.75 1.486 2.207

2.028 2.759 2.102

Page 383: athena_users

Default Coefficients

SILVACO International B-3

Doping Dependence Of Oxidation Rate

See reference [34] for more details.

Coefficients for the Analytical Guillemot Model

See [16] for more details.

Numerical Oxidation Coefficients

For dry:

For wet:

BAF.EBK = 241.6

BAF.PE = 0.46

BAF.PPE = 1.0

BAF.NE = 0.145

BAF.NNE = 0.62

BAF.K0 = 2.6e3

BAF.KE = 1.1

Spread = 1.0

INITIAL = 0.002

MASK.EDGE = -200

ERF.Q = 0.05

ERF.DELTA = 0.04

ERF.LBB = (8.25e-3*(1580.3 - Tox)*(Eox ^0.67)* (eox ^0.3)*exp(-( en -0.08)^2)/0.06))

ERF.H = (402*(0.445 - 1.75*en)*exp(- Tox /200)

HENRY.COEFF = 5E16

THETA = 2.2E22

TRN.0 = 1E+3

HENRY.COEFF = 3e19

THETA = 2.2e22

TRN.0 = 1e+6

Page 384: athena_users

ATHENA User’s Manual

B-4 SILVACO International

Stress-dependent Growth Model Coefficients

Vc = 300 Vr = 30 Vd = 25 Vt = 0.0 Dlim = 1.0

See reference [35] for more details.

Mechanical Parameters For Stress Calculations

Linear Coefficients Of Thermal Expansion

These parameters can be accessed by specifying the LCTE parameter in the MATERIAL statement.

Volume Expansion Ratio

Volume expansion ratio, ALPHA, can be set in the OXIDE statement.

Table B-3. These parameters can be accessed by the MATERIAL statement

VISC.0 VISC.E VISC.X YOUNG.M POISS.R

OXIDE (wet)

1.99 X 10-7 5,292 0.499 8.3 X 1011 0.2

OXIDE (dry)

3.1 X 10-3 7.405 0.499 8.3 X 1011 0.2

NITRIDE 1.8 X 1015 0 0.499 1.0 X 1014 0.3

SILICON 1 X 1030 0 0.499 1.7 X 1012 0.28

POLY 5 X 1011 0 0.499 1.7 X 1012 0.28

OXYNI 5 X 1012 0 0.499 3.89 X 1012 0.3

SILICON LCTE = 3.052e-6 + 2 * 6.206e-10 * ( T - 293)

OXIDE LCTE = 1.206e-7 + 2 * 2.543e-10 * ( T - 293)

ALUMINUM LCTE = 2.438e-5 + 2 * 6.660e- 9 * ( T - 293)

NITRIDE LCTE = 3.0e-6

POLY LCTE = 3.052e-6 + 2 * 6.206e-10 * ( T - 293)

silicon/oxide (unitless) 0.44

poly/oxide (unitless) 0.44

Other combinations (unitless) 1.00

Page 385: athena_users

Default Coefficients

SILVACO International B-5

Impurity Diffusion Coefficients

All other coefficients for refractory metals and their silicides are set to 0.0.

Table B-4. Impurity Diffusion Coefficients

Antimony Arsenic Boron Phosphorus

Silicon [36]

DIX.0 (cm2/s) 0.214 8.0 0.037 3.85

DIX.E (eV) 3.65 4.05 3.46 3.66

DIP.0 (cm2/s) 0.0 0.0 0.72 0.0

DIP.E (eV) 0.0 0.0 3.46 0.0

DIM.0 (cm2/s) 15.0 12.8 0.0 4.44

DIM.E (eV) 4.08 4.05 0.0 4.00

DIMM.0 (cm2/s) 0.0 0.0 0.0 44.2

DIMM.E (eV) 0.0 0.0 0.0 4.37

CTN.0 (cm2/s) 5.19 X 10-24

CTN.E (eV) 0.60

FI (unitless) 0.05 0.20 0.94

Polysilicon

DIX.0 (cm2/s) 21.4 6.6 3.66 385.0

DIX.E (eV) 3.65 3.44 3.46 3.66

DIP.0 (cm2/s) 0.0 0.0 72.0 0.0

DIP.E (eV) 0.0 0.0 3.46 0.0

DIM.0 (cm2/s) 1500.0 1200.0 0.0 443.9

DIM.E (eV) 4.08 4.05 0.0 4.05

DIMM.0 (cm2/s) 0.0 0.0 0.0 4420.0

DIMM.E (eV) 0.0 0.0 0.0 4.37

CTN.0 (cm2/s) 5.19 X 10-24

CTN.3(eV) 0.60

Oxide

DIX.0 (cm2/s) 1.31 X 1016 1.75 3.16 X 10-4 7.6 X 10-3

DIX.E (eV) 8.75 4.89 3.53 3.5

Tungsten Silicide [98]

DIX.0 (cm2/s) 2.6 2.6 1.0 X 10-4 4.2

DIX.E (eV) 2.11 2.11 1.17 2.14

Titanium Silicide [98]

DIX.0 (cm2/s) 4.8 4.8 1.5 X 10-7 392.0

DIX.0 (eV) 2.13 21.3 2.0 2.64

Platinum Silicide [98]

DIX.0 (cm2/s) 2.6 2.6 1.0 X 10-3 4.2

DIX.0 (eV) 2.11 2.11 1.17 2.14

Page 386: athena_users

ATHENA User’s Manual

B-6 SILVACO International

Impurity Segregation Coefficients

Interface Transport Coefficients

Solid Solubility In SiliconSolubility may be modified for a particular temperature using the SS.TEMP and SS.CONC parametersin each of the impurity statements.

Table B-5. Impurity Segregation Coefficients

Antimony Arsenic Boron Phosphorus

Silicon/oxide

SEG.0 (unit-less)

30.0 30.0 1126.0 30.0

SEG.E (eV) 0.0 0.0 0.91 0..0

Poly/oxide

SEG.0 (unit-less)

30.0 30.0 1126.0 30.0

SEG.E (eV) 0.0 0.0 0.91 0..0

Other Impurities and Pairs of Materials

SEG.E (eV) 0.0

Table B-6. Interface Transport Coefficients

Antimony Arsenic Boron Phosphorus

Silicon/gas [39]

TRN.0 (unitless) 2.5 xx 10-3 1.5 27.9 1.5

TRN.E (eV) 1.04 1.99 2.48 1.99

Poly/gas

TRN.0 (unitless) 2.5 xx 10-3 1.5 27.9 1.5

TRN.E (eV) 1.04 1.99 2.48 1.99

Other Impurities and Pairs of Materials

TRN.0 (unitless) 1.55 x 10-7

TRN.E (eV) 0.0

Page 387: athena_users

Default Coefficients

SILVACO International B-7

Point Defect ParametersThese parameters are for silicon and polysilicon only.

Table B-7. Impurity Statements

Temperature(ºC)

Boron[cm 3]

Phosphorus[cm 3]

Antimony[cm 3]

800 3.4499 x 1019 2.3000e19

825.0 4.1291 x 1019

850.0 4.9027 x 1019 2.7943 x 1020

875.0 5.7777 x 1019

900.0 6.7615 x 1019 3.1585 x 1020 3.0000 x 1019

925.0 7.8610 x 1019

950.0 9.0832 x 1019

975.0 1.0435 x 1020

1000. 101922 x 1020 303981 x 1020 4.0000 x 1019

1025. 103552 x 1020

1050 1.5331 x 1020

1075 1.7263 x 1020

1100. 1.9356 x 1020 3.7943 x 1020 4.8000 x 1019

1125. 201613 x 1020

1150. 204041 x 1020

1175. 2.6643 x 1020

1200. 2.9423 x 1020

1225. 3.2387 x 1020

1250. 3.5536 x 1020 6.6200 x 1019

1275. 3.8876 x 1020

Table B-8.

Bulk Parameters Interstitial Vacancy

D.0 600.0 [42] 0.1 [44]

D.E (eV) 2.44 [42] 2.0 [44]

CSTAR.0 (cm-3) 5.0 x 1022 [42] 2.0 x 1023 [44]

CSTAR.E (eV) 2.36 [42] 2.0 [44]

KR.0 3.16 x 10-6 [42] 3.16 x 10-6 [45]

KR.E (eV) 2.44 [42] 2.44 [45]

Page 388: athena_users

ATHENA User’s Manual

B-8 SILVACO International

Defect Interface Recombination Parameters

All parameters for other combinations are 0.0.

Table B-9.

Charge State Information Interstitial Vacancy

NEU.0 1.0 1.0

NEU.E (eV) 0.0 0.0

NEG.0 5.68 5.68

NEG.E (eV) 0.50 0.145

DNEG.0 0.0 32.47

DNEG.E (eV) 0.0 0.62

POS.0 5.68 5.68

POS.E (eV) 0.26 0.45

Table B-10.

Silicon/oxide Interstitial Vacancy

KSURF.0 1.76 X 10-04 7.0 X 108

KSURF.E (eV) 0.06 4.08

KRAT.0 1000.0 0.0

KRAT.E (eV) 0.0 0.0

KPOW.0 0.5 1.0

KPOW.E (eV) 0.0 0.0

Silicon/nitride

KSURF.0 1.0 x 10-05 1.0 x 10-09

Silicon/oxynitride

KSURF.0 1.0 x 10-02 1.0 x 10-05

Silicon/gas

KSURF.0 1.0 x 10-04 7.0 x 10-08

KSURF.E (eV) 0.0 4.08

KPOW.0 1.0 1.0

Page 389: athena_users

Default Coefficients

SILVACO International B-9

Defect Growth Injection Interface Parameters

All parameters for other combinations are 0.0.

Material Parameters

Silicide/Metal Surface Reaction Rates

The reaction rates in the table above are based on an unpublished paper by Dr. Mark Law. Many of thereaction rates are not fully calibrated and should be used with caution.

Table B-11.

Silicon/oxide Interstitial Vacancy

THETA.0 3.67 x 10-05 0.0

THETA.E (eV) -0.902 0.0

GPOW.0 0.0 1.0

GPOW.E (eV) 0.0 0.0

VMOLE 5.0 x 1022 5.0 x 1022

Table B-12.

Silicon Poly Oxide Oxynitride Nitride Photo Alumin

NI.0 [46] 3.9x1016 3.9x1016 1.0 1.0 1.0 1.0 1.0

NI.POW [46] 1.5 1.5 0.0 0.0 0.0 0.0 0.0

NI.E [46] 0.605 0.605 0.0 0.0 0.0 0.0 0.0

EPS 11.9 11.9 3.9 7.5 7.5 1.0 1.0

Table B-13.

Tungsten Silicide Tungsten Silicon Polysilicon

KS.0 (cm/s) 5.0 5.0 5.0

KS.E (eV) 1.27 1.27 1.27

Titanium Silicide

KS.0 (cm/s) 5.0 5.0 5.0

KS.E (eV) 1.27 1.27 1.27

Platinum Silicide

KS.0 (cm/s) 5.0 5.0 5.0

KS.E (eV) 1.27 1.27 1.27

Page 390: athena_users

ATHENA User’s Manual

B-10 SILVACO International

Defaults For The Solution Methods

Poly Diffusion Model Coefficients

Poly Silicon Material Coefficients

MIN.FI true

MIN.FR 0

CG true

BACK 3

INIT.TIME 0.1

TRBDF true

FERMI true

COMPRESS true

SKIP.SIL true

BLK.ITLIM 20

TIME true

REDO.OXIDE 5

DIAG true

OXIDE.GDT 0.25

OXIDE.EARLY 0.5

OXIDE.LATE 0.9

OXIDE.REL 1.0 x 10-2

REL.ERR 0.01

ABS.ERR 1.0 x 109 for dopants

ABS.ERR 1.0 x 105 for defects

Table B-14. Impurity Dependent Coefficients

Antimony Arsenic Boron Phosphorus

GB.DIX.0 (cm2/s) 6.6 x 102 6.6 x 102 6.6 x 102 6.6 x 102

GB.DIX.E (eV) 3.44 3.44 3.44 3.44

GB.SEG.0 (unit-less)

1.0 x 102 1.0 x 102 1.0 x 102 1.0 x 102

GB.SEG.E (eV) 0.0 0.0 0.0 0.0

GB.TAU 1.0 x 102 1.0 x 102 1.0 x 102 1.0 x 102

GB.VOL.RATION 0.1GB.SEG 2.64 x 1015

GB.ENERGY 1.0GB.DIX.0 1.0 x 1.0-12

GB.DIX.E 0.0GRAIN.SIZE 0.15

Page 391: athena_users

Appendix C:Hints and Tips

SILVACO International C-1

This appendix is a collection of answers to commonly asked questions about the operation of ATHENA.

This information has been previously published in articles in The Simulation StandardTM, Silvaco’strade publication. The original articles can be viewed at Silvaco’s home page at http://silvaco.com/simstd.

Question:

How is implant damage enhanced diffusion modeled by ATHENA? Which tuning parameters should beused for matching experimental results?

Answer:

The effect of implant damage enhanced diffusion is important in many technologies. Typical cases arethe source and drain diffusion in MOSFETs and the emitter diffusion in bipolar devices. Damagegenerated by implantation leads to an enhancement to the diffusion of these dopants duringsubsequent heat cycles.

Simulation of the enhanced diffusion effects are divided between two processes. First, ATHENA mustsimulate the implant damage generated by a given implant and secondly it must model the effect thatthese defects have on subsequent impurity diffusion.

ATHENA considers implant damage as point defect generation. Point defects are silicon interstitials andlattice vacancies that are created as energetic implanted ions collide with silicon lattice atoms.

The most practical model for coupling implant damage to subsequent diffusion calculations is the +1model. In its simplest form, the +1 model adds exactly one interstitial for each implanted ion. This is areasonable approximation if one assumes that the vacancies and interstitials created by the implantrecombine quickly relative to the timescale needed to produce significant diffusion. This leaves oneextra interstitial for each ion (assuming the implanted ion has replaced it on the lattice).

This model is applicable to both Monte Carlo and the default analytic implants, and can be invoked byincluding the UNIT.DAM parameter on the IMPLANT statement. A commonly applied variation to thismodel is to scale the number of generated interstitials.

In ATHENA, this can be done using the parameter DAM.FACT on the IMPLANT statement. Acorresponding profile of lattice vacancies is introduced in this model with the maximum of zero and (1-DAM.FACT) times the implanted ion profile.

The diffusion models that will include the effect of the point defects are either the TWO.DIM orFULL.CPL models. Both models include the local point defect concentration in the diffusion rate of thedopants. Both interstitials and vacancies diffuse quickly compared with dopant ions. The point defectsalso recombine as the implant damage is annealed out.

When it comes to tuning to match measured doping profiles, two approaches are possible. Either thedamage during implant or the diffusion effect of the point defects could be used. The amount of pointdefects generated during an implant is extremely difficult to measure. Similarly the model parametersfor both diffusion and recombination rates for point defects are uncertain. All are areas of currentacademic research.

Typically, the most effective tuning parameter in this type of simulation is the DAM.FACT value itself.Figure C-1 shows how fairly small changes in this parameter affect the doping profile. A value of 0.01is typical. An Athena implant statement for an MOS source/drain might be:

IMPLANT ARSENIC DOSE=3.0E15 ENERGY=60 \

Page 392: athena_users

ATHENA User’s Manual

C-2 SILVACO International

UNIT.DAMAGE DAM.FACT=0.01

Figure C-1: Variations in diffusion due to tuning of DAM.FACT parameter.

Figure C-2 illustrates how the damage produced by source drain implants affects the center of a MOStransistor with varying gate length. For shorter gate length devices, the damage at the source drainarea produces additional diffusion in the center that is not seen for longer channel devices. Thisphenomenon explains some of the reverse short channel effects seen in certain processes.

Figure C-2: Enhanced diffusion of MOS channel profile.

Page 393: athena_users

Hints and Tips

SILVACO International C-3

Question:

I use SSUPREM4 for process simulation, but I need more realistic models for deposition and etch. Howcan I use the ELITE module of ATHENA to do this? How does the interface from ELITE to SSUPREM4 work?

Answer:

ATHENA is a general purpose two-dimensional process simulator that includes modules for implant,diffusion and oxidation for silicon (SSUPREM4), implant and diffusion models for compoundsemiconductors (FLASH), topography (ELITE) and lithography (OPTOLITH). This means that it is simple toinclude physical etch or deposition steps using ELITE models in an existing SSUPREM4 input file.

As device dimensions shrink the need for more physical simulation of the deposition and etch steps ina process increases. ELITE provides these physical deposition and etch models. SSUPREM4 users can onlyuse conformal deposition and geometrical etch features built into ATHENA. These simple models maynot be sufficient to describe certain steps in the process satisfactorily.

For example, in a typical sub-micron CMOS process, ELITE models might be required for:

1. Trench isolation. 2. Spacer formation. 3. Reflow of oxides over non-planar surfaces. 4. Metal to active area contact cuts. 5. Metal deposition over step. 6. Inter-metal dielectric formation.

In general, ELITE should be used for any etch process with a degree of isotropy, since perfectlyanisotropic etches can be handled geometrically in SSUPREM4. For deposition processes, ELITE isappropriate when the deposition is significantly non-conformal.

Many topography simulators exist, but interfacing them to process simulation programs such asSSUPREM4 has traditionally been a problem. Without the tight integration of ATHENA, the interface hastraditionally been one way (for example, creating a non-planar topography such as a trench and thenusing the surface to create the initial structure for a SSUPREM4 simulation).

In ATHENA the bi-directional interface between topography and process simulation is completelyautomatic and transparent to the user. Figure C-3 shows this interface used to form a self-alignedtrench isolation for a sub-micron CMOS process. The initial part of the simulation uses SSUPREM4 to setup a LOCOS oxidation next to a nitride spacer. ELITE is then used to remove the nitride and etch atrench into the silicon. SSUPREM4 is used to oxidize the trench sidewalls. Then the ELITE depositionmodels are used to fill the trench with oxide. Finally a planarizing etch is performed.

Page 394: athena_users

ATHENA User’s Manual

C-4 SILVACO International

Figure C-3: Simulation of self aligned trench isolation process using the ELITE and SSUPREM4 modules of ATHENA. SSUPREM4 is used for the LOCOS and trench oxidation. ELITE is used for the trench etch and refill. The interface between SSUPREM4 and ELITE is completely automatic and transparent to the user.

The syntax needed to access the ELITE models can be found using the DECKBUILD Command Menus. Themain parameters are RATE.ETCH MACHINE=<name> to set up parameters for the etch machine andETCH MACHINE=<name> TIME=<value> to run that machine for a given time. Analagous commandsexist for depositions.

One key parameter for users of ELITE is DX.MULT=<value> on the ETCH statement. This parametersets the ratio between the grid spacing used by SSUPREM4 and the surface accuracy used by ELITE. Thedefault is 1.0. Lower DX.MULT values will improve the accuracy and smoothness of etch shapes at theexpense of some additional CPU time.

Page 395: athena_users

Hints and Tips

SILVACO International C-5

Question:

Can dopant diffusion be modeled simultaneously with the material reflow?

Answer:

An extremely important feature of ATHENA is that simulation of topography effects such as reflow inELITE can be combined with in-wafer simulation of dopant diffusion or oxidation in SSUPREM4. Aprevious Hints and Tips column (April 1995) showed how ATHENA can simulate individual process stepsfrom SSUPREM4 and ELITE with seamless integration. In this case, the ELITE and SSUPREM4 simulation isdone on the same process step. The reflow heat cycle will also trigger diffusion of the dopants in thesilicon, including transient enhanced diffusion effects where appropriate.

A single DIFFUSE statement with the REFLOW parameter can both produce reflow and dopantdiffusion. Figure C-4 shows an example of a 0.5mm contact cut to an arsenic diffusion. During thereflow cycle at 875C the edges of the contact cut are flowed while the arsenic is diffusing.

Figure C-4: Simulation of simultaneous dopant diffusion and glass reflow in ATHENA

Page 396: athena_users

ATHENA User’s Manual

C-6 SILVACO International

Question:

How can di-electric reflow be modeled? Which calibration parameters are important for tuning thereflow?

Answer:

ATHENA contains a model for the reflow of materials as part of the ELITE module. The model treats thedi-electric material (i.e., SiO2, BPSG) as an incompressible viscous fluid. The material is thendeformed under the driving force of the surface tension of the topography. The calculation of thechanging topography of the material then proceeds according to the applied time and temperature.

The reflow model for a given material is enabled by setting the REFLOW parameter on a MATERIALstatement. In addition, the parameter REFLOW should be given on a DIFFUSE statementscorresponding to the flow heat cycle. The following is typical syntax:

MATERIAL OXIDE VISC.0=1.862E-20 GAMMA.REFLO=1E3 REFLOWDIFF TIME={time} TEMP={temp} REFLOW

This example syntax also includes two of the most useful tuning parameters. VISC.0 sets the viscosityof the oxide. GAMMA.REFLO sets the surface tension factor for the flow calculation.

Figure C-5 shows the results of an example of reflow calculation with ATHENA. The initial structurehas a set of 1 micron contacts with a 2 micron pitch after the anisotropic contact etch. The final profileshows the reflow shoulders and the proximity effects seen following a 10 minute reflow heat cycle at950 C.

Figure C-5: Reflow of a via array

Page 397: athena_users

Hints and Tips

SILVACO International C-7

Question:

How can the reverse short channel effect (RSCE) in MOSFETs be simulated using ATHENA and ATLAS?How can the physical effect behind RSCE be tuned ?

Answer:

RSCE in MOSFETs is where the threshold voltage increases with decreasing channel length. At veryshort channel lengths the normal short channel effect takes over and the threshold voltage decreases.

The cause of the increasing threshold voltage is a non-uniform enhancement of diffusion of the channelimplant laterally along the MOS channel. This non-uniformity arises from the extra point defectsgenerated in the source and drain areas of the MOSFET. The source of these point defects is mostcommonly the damage caused by the heavy n+ and LDD implants. Other possible causes that can bemodeled in ATHENA are oxidation or silicidation of the source and drain area.

The amount of implant damage from the source/drain implants is controlled using the DAM.FACTORparameter. The effect of the damage on subsequent diffusions are modeled in ATHENA using the fullycoupled diffusion model (METHOD FULL.CPL). A previous Hints and Tips covered a description of this(Simulation Standard, Feb 1995).

To model RSCE in ATHENA and ATLAS it is necessary to construct MOSFETs of different channel lengths.This can be done either using the MASKVIEWS layout interface, or using the STRETCH command inATHENA or DEVEDIT. The user should simulate the shortest channel length up until the polysilicon etchand stretch the device to the desired length. The FULL.CPL model is only required for diffusion afterthe source/drain implants.

Figure C-6 shows the result of a threshold voltage simulation versus gate length for various values ofimplant damage. VWF was used to automatically generate and run this experiment. VWF handles theautomatic interface to ATLAS and the extraction of the threshold voltages. Looking horizontally alongthe y=0 line, it is seen that with zero implant damage the threshold voltage decreases with decreasinglength. No RSCE is seen. However as DAM.FACT is increased, the threshold voltage starts to risebefore falling at very short lengths. It is clear the size of the RSCE increases with implant damagefactor.

It is also interesting to note that even the threshold voltage for the 20mm long device is affectedslightly by the implant damage. This is to be expected from Figure C-7, which shows point defectsdiffusing 30mm into the substrate. The lateral diffusion length of point defects should be of a similarorder.

Many parameters can be used to tune the fully coupled diffusion model. The most effective for RSCE isthe surface recombination of the interstitials (KSURF.0). Figure C-7 shows threshold voltage versuschannel length as a function of KSURF.0 for a fixed DAM.FACT.

High values of KSURF.0 show no RSCE effect while lower values show strong increases in threshold atlengths around 1.0 mm.

Tuning RSCE using DAM.FACT and KSURF.0 is possible using ATHENA, ATLAS, and VWF. Users shouldnote that both these parameters will affect process simulation results such as source/drain junctiondepth. Figure C-8 shows a graph of junction depth of an arsenic implant after a fixed diffusion as afunction of DAM.FACT and KSURF.0. For a given measured result for junction depth it is clear thereare a whole set of DAM.FACT and KSURF.0 combinations that can produce the correct answer.However, the effect of each combination that matches a junction depth is not the same on RSCE.

Page 398: athena_users

ATHENA User’s Manual

C-8 SILVACO International

Figure C-6: Threshold voltage vs gate length for various values of implant damage

Figure C-7: Threshold voltage vs channel length as a function of KSURF.0 for fixed DAM.FACT

Figure C-8: Junction depth of an arsenic implant after a fixed diffusion as a function of DAM.FACT and KSURF.0

Page 399: athena_users

Hints and Tips

SILVACO International C-9

Question:

Which are the key parameters for tuning RTA simulations when using the new Stanford diffusionmodels in ATHENA version 4.0?

Answer:

For RTA applications it is recommended to use the new set of models from Stanford Universityincluded in ATHENA version 4.0. These models include effects of <311> defect clusters, dislocation loopsand high concentration effects. To enable all these models the syntax used is:

METHOD FULL.CPL CLUSTER.DAM V.LOOP.SINK \ I.LOOP.SINK HIGH.CONC

The syntax METHOD NEWTON is also recommended to improve the speed of simulations.

Since these models are an extension of the existing FULL.CPL models many of the same tuningparameters apply. Previous simulations [1] have shown how the surface recombination rate ofintersititials KSURF.0 is a key tuning parameter for reverse short channel effect where damageenhanced diffusion is significant. This is also true in the <311> cluster models.

In RTA simulations with the FULL.CPL model all point defects are created by the implantation. Theyare at a maximum at t=0 of the RTA and their concentration decays rapidly with time due to diffusionand recombination. As documented in [2], one key effect of the <311> cluster model is that the freepoint defect concentration is not created at the time of the implant. The implant creates someinterstitials but also creates <311> defect clusters. These clusters decay with time releasing pointdefects over an extended period of time. This effect is particularly apparent at low temperatures.

Clearly then a key parameter for tuning RTA effects is the time constant for the dissolution of <311>clusters to interstitials. This is controlled by the syntax:

CLUSTER SILICON TAU.311.0=<val> TAU.311.E=<val>

Measured data [3] shows that the enhanced diffusivity due to point defects extends over minutes at800C. Figure C-9 shows ATHENA results matched to the measured data in Figure C-10 of [3]. In thiscase the value of TAU.311.0 is adjusted to show lower diffusion in the first 15 seconds than theFULL.CPL model predicts. For comparison, a lower value of TAU.311.0 is used in Figure C-10. It isclear that this does not match the data in [3] as a significant part of the complete diffusion is in thefirst 15 seconds.

Page 400: athena_users

ATHENA User’s Manual

C-10 SILVACO International

Figure C-9: RTA of a 5.0e13 phosphorus implant matched to experimental data in [3].

Figure C-10: The effect of lower TAU.311.0 is to speed up the diffusion over the initial time period.

Page 401: athena_users

Hints and Tips

SILVACO International C-11

Question:

How can I determine implant range for non-standard materials such as silicides or photoresist?

Answer:

The analytical implant tables in ATHENA/SSUPREM4 cover implantation of the common silicon dopants(B, P, As, Sb, In) into the commonly used set of materials in semiconductor processing (Silicon, SiO2,Si3N4, polysilicon, aluminum). For other materials or implant species, the lack of complete datameans full analytic tables are not available. The only alternative approach was to use Monte Carlo(MC) Implant simulation.

Implantation using MC with the crystalline model is usually required for silicon implantation. Forrealistic 2D cases these implants may take up to 30 minutes to run on a Sparc Ultra. In order toovercome this problem an alternative approach is now available in ATHENA version 4.3. This approachuses MC implant in 1D mode to run implantation simulations into the material of interest. Then theanalytical implant moments are extracted from the implanted doping profile. These analyticalmoments can be used in a MOMENTS statement to set the correct doping profiles for an analyticalimplant. The syntax for this is shown in Figure C-11 with a comparison of the two different implantsin Figure C-12.

Photoresist is a special case in ATHENA. Although analytical implant tables exist for photoresist, theyare specific only to one type of photoresist (AZ-111). Photoresist materials do vary considerably indensity and material abundances. Syntax exists in ATHENA to set the required parameters for MCimplantation modeling.

MATERIAL MATERIAL=my_resist DENSITY=3 \ ABUND.1=0.6 AT.NUM.1=8 AT.MASS.1=16 \ ABUND.2=0.4 AT.NUM.2=6 AT.MASS.2=12

ABUND sets the relative abundance of elements in the photoresist. AT.NUM and AT.MASS set the atomicnumber and weight of the elements respectively. DENSITY sets the overall material density. Fromthese parameters, MC implant can calculate the implanted profile. The syntax from Figure C-11allows the user to fit, extract and re-use the analytical moments calculated from the MC implantprofile.

A similar technique can be used for implants of non-standard species too. It is possible for users tobuild up their own user-defined implant moment tables. An example of the use of this technique isincluded on the Summer 97 release CDROM with ATHENA version 4.3.

Page 402: athena_users

ATHENA User’s Manual

C-12 SILVACO International

Figure C-11: Syntax for extracting analytical implant parameters from a Monte Carlo implant simulation.

Figure C-12: Comparison of doping profiles analytical extraction versus Monte Carlo. Analytical implants are run instantaneously whereas Monte Carlo takes up to 30 minutes on Ultrasparc

References

[1] Hints and Tips, Simulation Standard December 1995

[2] S. Crowder and P. Griffin, Simulation Standard August 1996

[3] M. Giles, J. Electrochem Soc. Vol 138 p1160 (1991)

Page 403: athena_users

Appendix D:ATHENA Version History

SILVACO International D-1

ATHENA Version HistoryThis appendix lists the release notes in reverse chronological order for each ATHENA release. Theinitial release of ATHENA incorporates the standalone capabilities of previously released versions ofSSUPREM4, ELITE, and other functionalities. Version histories for SSUPREM4 are included here forreference.

ATHENA Version 4.5.0.R Release NotesSSUPREM4

Implant Simulation Features1. New Binary Collision Approximation Module for Monte Carlo type simulation of ion implantation

in amorphous and crystalline materials is implemented. The parameter BCA is used to turn on this model. BCA and MONTE are mutually exclusive.This module is much more accurate than previous Monte Carlo implementations. It is able toaccurately calculate implant profiles in difficult cases of well channeled implants. It is applicableto a wide energy range (from ~1 keV to few MeV). It includes damage accumulation modelwhich allows accurate simulation of dose-dependency effect.

2. Several improvements are made in analytical implant models: Improved handling of wrong user-defined or tabulated combinations of skewness and kurtosis forlongitudinal profiles. The values are corrected to provide legitimate bell-shaped profiles. The cor-rected values could be checked by using the parameter, PRINT.MOM, in the IMPLANT statement.

Calculations of cluster and dislocation bands from implant profiles (parameters MIN.CLUSTER,MAX.CLUSTER, MIN.LOOP and MAX.LOOP) are fixed and available for both analytical andMonte Carlo methods.

A new parameter FULL.DOSE is added. If it is set to TRUE the adjusted full dose for the angledimplant will be applied.A more accurate integration of non-Gaussian lateral distribution functions is implemeted.

3. User specified models for implant damage, < 311 > clusters and dislocation loops can becontrolled through a C-Interpreter file. The name of the file is specified in the parameter,DAMAGEMOD.FN in the MOMENTS statement.

Diffusion Simulation FeaturesA new numerical scheme for diffusion calculations, the Implicit Linear Finite Element Method(ILFEM), is implemented. The ILFEM uses a new internal data structure, an advanced spatialdiscretization scheme, an extremely fast and robust linear solver, and an object-oriented hierarchicalrepresentation of the impurity and defect transport models. The ILFEM module solves impurity anddefect transport equations much faster than previous SSUPREM4 solvers. It also has betterconvergency.

The following diffusion models are currently implemented within the ILFEM module: FERMI,TWO.DIM, FULL.CPL, 311-CLUSTERS, and HIGH.CONC. It also handles all correspondingboundary conditions, including impurity segregation, defect generation and recombination models.

Page 404: athena_users

ATHENA User’s Manual

D-2 SILVACO International

To activate ILFEM use:

METHOD ILFEM

To disable ILFEM use: METHOD ILFEM=f

The ILFEM module is currently applicable to the following:

• impurities: boron, phosphorus, arsenic, antimony, and indium.• materials: silicon, polysilicon, oxide, nitride, and aluminum.

ELITE CapabilitiesA new Monte Carlo Etch Module is implemented. The main application of this module is thesimulation of plasma or ion assisted etching. The module can take into acccount the redeposition of thepolymer material generated as a mixture of incoming ions with etched (sputtered) atoms andmolecules of substrate material.

C-Interpreter can be used for introduction of user-defined etch and ejection rate models.

Generic ATHENA CapabilitiesActive concentration calculations are improved. Previously, all existing impurities in the structurewere set to completely active after any implant, depo, or profile statement. Now, only newlyadded impurities are activated completely.

ATHENA Version 4.0.0.R Release NotesSSUPREM4

Diffusion Simulation Features

Physical RTA Model - A new TED model including the dynamic transient release of interstitial pointdefects has been added to SSUPREM4. <311>- Clusters release Interstitials over time with a userdefined time constant. This model was derived from Dr. Peter Griffin work at Stanford.

Dislocation Loop based point defect sink model - A dislocation loop based interstitial sink modelis now included for high dose RTA situation and may be used in conjunction with the <311>-Clustermodel. This model was derived from the work of Dr. Peter Griffin at Stanford University.

Point Defect - Dopant Pair Recombination Capture Cross Section Control - To account forhigh concentration effects extra terms have been added to the fully coupled diffusion model allowingfor higher order dopant-point defect dopant pair recombination. Recombination may be controlledindependently both in the bulk and as an extended surface recombination velocity. This model wasderived from the work of Dr Peter Griffin at Stanford University.

Extended Defects - Extended defects may now be in introduced during Ion Implantation. Both <311>- Clusters and Dislocation Loops may be introduced during ion implantation along with an overlyingamorphous region. This damage may be introduced in addition to a distribution of point defects and isusable in a subsequent RTA diffusion step. Damage is specified as a profile scaled to an implantedprofile. Independent vertical and lateral control of the scaled damage is definable.

CNET Diffusion Models - A new series of models from CNET under the guidance of Dr. DanielMathiot have been implanted and calibrated to better describe high dose effects during diffusion. Theseries of five extra models include: Impurity Defect pairing statistics, static clustering, percolation,correlated interstitial & vacancy mediated impurity diffusivities, bimolecular recombination of defectsthrough impurity states.

Page 405: athena_users

ATHENA Version History

SILVACO International D-3

Temperature Dependent Fractional Interstitialcy - The parameters for fractional interstitialcy,Fi have been extended to include temperature dependence. (Fi.0 and Fi.E). If Fi is stated it will remaina fixed value.

Indium Added as New Dopant Species - The Indium dopant species has been included as it hasshown promise as a good shallow junction forming alternative to Boron and BF2 implanted species.Indium may further be passed though Devedit and into Atlas as part of the active net dopantcalculation.

Gridding Capabilities

Power Device Diffusion Model - A new model for power device diffusion has been added. Thismodel will run around 4 times faster than the standard fermi model in SSUPREM4, enabling Athena tosimulate larger power device structures in a given time frame.

Adaptive Meshing During Ion Implantation and Diffusion - A series of important improvementsare now available in SSUPREM4 in the area of automated adaptive meshing. Improvements include,efficient 1D adaption and a new basemesh generation routine during the auto-transition to a 2Dstructure. 2D adaption employs a new smoothing capability. Time stepping control also allows greaterversatility. Templates for a range of technology are supplied to more automatically generate the mesh.

Implant Simulation Features

Advanced 2D Implant Distribution Model - Analytical 2D distribution model which takes intoaccount depth dependence of lateral standard deviation is implemented. It is invoked using parameterFULL.LAT in the IMPLANT statement. In order to use this advanced model the following additionalspatial moments should be furnished: LSTD.DEV, LGAMMA, and LKURTOSIS. Correspondingparameters could be specified for the second. Pearson distribution in the case of double Pearson model.All above mentioned new parameters can be specified in seriously improved MOMENTS statement orin user-defined tables (see below). Also, they are added into the standard look-up table for a few ion/material combinations.

Flexible Control of Lateral Distribution - More accurate and flexible modeling is implementedalso in the case of simple lateral implant distribution with constant lateral standard deviation. Thelateral standard deviation now can be specified independently from the depth standard deviation. TheLSTD.DEV parameters can be specified in seriously improved MOMENTS statement or in user-definedtables (see below). Also, they are added into the standard look-up table for a few ion/materialcombinations. Simplified control of the lateral distribution could be achieved by using LAT.RATIOparameter in the IMPLANT statement.

Generic Pearson Distribution - To achieve better compatibility to several other implant simulationprograms (e.g., UT at Austin), deviations from standard Pearson-IV distribution formula could beallowed using new ANY.PEARSON parameter. It means that kurtosis (fourth moment) could be slightlysmaller than the critical kurtosis of the Pearson-IV formula.

Range Parameters are Eliminated from the IMPLANT statement - This capability has becomeobsolete after complete implementation of the MOMENTS statement. The capability was very limitedbecause it could be used only for unimaterial structures.

New PRINT.MOM parameter of the IMPLANT statement - Tells ATHENA to printout rangeparameters used for all ion/material combinations for specified energy and dose. It also refers user to asource where these parameters are taken from (standard tables, user-specified tables, or theMOMENTS statement). In the case of Monte Carlo simulation PRINT.MOM prints spatial momentscalculated from the Monte Carlo based profile.

Improved Control of Moments Selection - The selection of implant moments used by theIMPLANT statement is controlled now by the MOMENTS statement. Parameter DEF_TABLEspecifies that only the default look-up implant table athenaimp should be used. ParameterUSER_TABLE=<filename>; specifies that the user-defined table should be used as a first choice for

Page 406: athena_users

ATHENA User’s Manual

D-4 SILVACO International

the moment search. In other cases parameters specified in the MOMENTS statements (if any) will bechecked first of all.

Template for the User-Defined Implant Tables - Auxiliary file USERIMP provides template forspecifying implant moment sets for all types of analytical implant models from the simplest Gauss todouble Pearson with advanced lateral distribution.

High Energy Implant Tables - 1 to 8 MeV implant tables are now available for all major impantspecies for Silicon Oxide.

Si Ion Implant into Silicon - results in the interstitial distribution, which allows estimation ofpreamorphization effect.

Trajectories of Primary Ions and Substrate Atoms Knocked-on in the Implant Cascade - canbe now saved in a special TRAJ.FILE and subsequently plotted using TonyPlot. This frees ATHENAfrom the last dependency on old graphic library plotlib.

PRE.FACTOR and POW.FACTOR Parameters are Eliminated from the IMPLANT Statement- Instead PRE.FACTOR parameter is added to the IMPURITY statement, so electronic stopping canbe control for each implant ion -substrate material combination separately. POW.FACTOR does notmake any sense because ATHENA uses Biersack-Brandt-Kitagawa stopping model where sqrt(E)dependency doesn’t exist explicitly.

Moments are Calculated during Monte Carlo Implant Simulation - All spatial moments areintegrated during Monte Carlo calculations and then can be printed out when PRINT.MOM parameteris specified.

BEAMWIDTH Capability for Monte Carlo Implant - now works properly for any number oftrajectories. It used to wrongly estimate random angle.

Boundary Conditions PERIODIC and REFLECT - now work properly even in the case of 1Dsimulation.

SMOOTH Capability - now works in all cases (used to fail for several combinations of otherparameters).

Oxidation

Oxidation Threshold Model - Oxidation only occurs for oxidant concentration above some criticalvalue.

Miscellaneous Features

Solid Solubility Tables Extended - Boron Solid Solubility Tables have been extended down to 700,o

minimum temperature.

New PD Time Stepping Control - The initial time step may not be set independently for pointdefects to dopant. This allows greater flexibility to study events occurring during the initial time of anRTA time cycle, specifically when employing a new TED diffusion model.

Equilibrium Point Defects Concentration - The equilibrium point defects concentrations (Ci* andCv*) are now output into the SSF file. These may now be visualized in TONYPLOT.

Dump filename extended - the files dumped during a diffusion now include three extra decimalplaces in the name of the file, so as to be able to movie diffusion effects during the initial short timesteps. Simulated Structure can be Truncated from a Side or from the Bottom by using NOEXPOSEparameter in the ETCH LEFT/RIGHT or ETCH BELOW statements.

Alternative Model Files - With ATHENA V4.0.0.R, users may now select alternative model filesusing the -modfile command option. The option argument names the alternative model file ATHENAshould use during the simulation. ATHENA V4.0.0.R is shipped with a new updated model namedsmod96a. This file contains improved model parameters and its use is recommended.

Page 407: athena_users

ATHENA Version History

SILVACO International D-5

ELITE Monte Carlo Plasma Etching - A new monte carlo plasma simulation function is available tocalculate the angular energy distribution of ions emitted from a RIE machines dark space sheath.Shadowing is calculated and etch rates over complex topograhical surfaces result. Sputteringefficiency as a function of angle is also controllable.

Doping Concentration Dependent Etch Rate - A doping level etch rate enhancement factor allowsuser control over the relative etch rates of doped materials. This function is unique to the mesh basedATHENA product and can not be treated with a simple string based tool.

Stress Dependent Etch Rate - Etch rates may be enhanced as a function of material stress.Oxidation induced stress creates defectivity in materials that will increase the local etch rates. Thisfunction, is only available in the mesh based ATHENA framework and can not be implemented into astring based tool.

Void Formation Control - Extra control has been added to allow the control of the formation of avoid in the case of two encroaching CVD fronts.

OPTOLITHImage Routines Enhancement - The algorithm in evaluating the aerial image of the mask has nowbeen streamlined. Approximately, the speed improvement is equivalent to a change from n*n ton*log(n). For a complex mask, the speed can be as high as 20X. A minor bug in calculating thediffraction pattern has now been removed.

Exposure Routines Improvement - Optolith Exposure now runs around 4~5 times faster thanversion 3.0. This has been achieved by restructuring the ray tracing algorithm used to expose a givennon-planar device structure.

In addition, an error in setting up the boundary conditions for the electromagnetic wave has beencorrected to yield the proper standing wave pattern. The asymmetry in energy deposition for asymmetric structure has also been fixed.

New Material RSM Calibration System - When used with the VWF system Optolith may be usedto calibrate physical model parameters. Example model parameters include A, B, C bleachingparameters and Development rate parameters for all Development rate models. The system will fitsimulation model parameters to a range of experimentally measured CD data.

ATHENA Version 3.0.1.R Release NotesATHENA Capabilities

• The default value for nitride viscosity has been changed from VISC.0 = 5e12 to VISC.0 = 1.8e15.This value is changed in the athenamod file using the following MATERIAL statement.MATERIAL NITRIDE VISC.0 = 1.8E15 VISC.E=0 VISC.X = 0.499

• The parameters WET and DRY were changed to WETO2 and DRYO2 on the INTERSTITIAL,OXIDE, and MATERIAL statements.

• The MOMENTS statement has been added to ATHENA to facilitate the entering of user definedmoments for analytic implant. The MOMENTS statement includes the following parameters: mate-

rial SILICON ..., impurity I.ARSENIC ..., DOSE incident ion flux (/cm2), ENERGY incident ionenergy (KeV), RANGE projected range (microns), STD.DEV standard Deviation (microns), GAMMAthird moment, KURTOSIS fourth moment SRANGE projected range for second Pearson (microns),SSTD.DEV standard Deviation for second Pearson (microns), SGAMMA third moment for secondPearson, SKURTOSIS fourth moment for second Pearson, DRATIO dose ratio in the double Pearsonformula.

Page 408: athena_users

ATHENA User’s Manual

D-6 SILVACO International

• The parameters WETO2 and DRYO2 were added to the INTERSTITIAL statement for THETA.0and THETA.E.

• A parameter FLIP.FACTOR has been added to the METHOD statement to let the user change crite-ria for controlling triangle flipping. FLIP.FACTOR is a measure of the obtuseness of the angles ofthe opposite nodes of a pair of triangles. The default is 1e-6. It is unitless.

• Four new materials have been included into ATHENA. They are AlGaAs, InGaAs, SiGe, and InP.These materials are accessible via the INITIALIZE or DEPOSIT statement by specifying ALGAAS,INGAAS, SIGE, or INP. The fractional components of the elements can be entered via the parame-ter C.FRAC on either the INITIALIZE or DEPOSIT statements. The DEPOSIT statement alsoallows a linearly graded variation in the fractional components by use of C.FRAC as the fractionalcomponent of the first element (ie. for ALGAAS Al is the first component) at the bottom of thedeposit and C.FINAL as the fractional component of the first element at the top of the deposit. Thefractional component of the second component (i.e., for ALGAAS Ga is the second component) is 1 -C.FRAC and 1 - C.FINAL. These materials are also available on other statements such asSTRETCH, ETCH etc.

• Ten more user materials were added to make a total of 20 user definable materials.

• The parameters DONOR and ACCEPTOR have been added to the IMPURITY statement. Thisallows an impurity to be specified as either donor or acceptor for a given material.

• Active impurities are now part of the output file as well as chemical impurities. Donors and accep-tors are calculated from the active impurity concentration. All impurity data can be entered via theIMPURITY statement. The old statements BORON, ARSENIC, PHOSPHORUS, and ANTIMONYcan still be used as before, as they are aliased to the IMPURITY statement.

• Due to numerous additions to the standard structure file in Version 3 of ATHENA the structurefiles created by Version 3 are not compatible with previous versions of ATHENA. Structure files cre-ated by old versions of ATHENA can be read by Version 3 of ATHENA.

Adaptive Meshing Capabilities

A 2-D mesh adapting module has been incorporated into ATHENA. The module is invoked byspecifying boolean flag ADAPT on the METHOD statement preceding IMPLANT, DIFFUSE, orEPITAXY statements, or by specifying boolean flag ADAPT on the ADAPT.MESH statement to dostand alone mesh refinements. A mesh smoothing algorithm has also been integrated into the moduleto improve the mesh quality after mesh adapting or after normal deposit/etch/oxidation/ silicidation/diffusion process steps. A set of parameters can be specified on ADAPT.PAR statement to adjust themesh adapting process.

The parameters available on the METHOD statement are as the following:

• Boolean ADAPT specify that the adaptive meshing should be performed on the following IMPLANT,DIFFUSE or EPITAXY statements (default false).

• Boolean DEPO.SMOOTH specify that the mesh smoothing should be performed after eachDEPOSIT statement.

• Boolean ETCH.SMOOTH specify that the mesh smoothing should be performed after each ETCHstatement.

• Boolean DIFF.SMOOTH specify that the mesh smoothing should be performed after each DIFFUSEstatement.

• Boolean STEP.SMOOTH specify that the mesh smoothing should be performed after each time stepon each DIFFUSE statement.

• Integer IMPLANT.MES specifies which adapting algorithm to use on IMPLANT statements, cur-rently IMPLANT.MES = 0 corresponds to University of Florida’s algorithm. This is the default.

Page 409: athena_users

ATHENA Version History

SILVACO International D-7

The parameters available on the ADAPT.PAR statement are as follows:

• Adaptive meshing control variables are available on the ADAPT.PAR statement. They areMIN.ADD, IMPL.SUB, DIFF.SMOOTH, and IMPL.SMOOTH.

• MIN.ADD stops point addition in IMPLANT when the number of points added in the current loop isless than MIN.ADD * (total number of points). The default value for MIN.ADD = 0.05.

• IMPL.SUB is a boolean flag that stops point removal during IMPLANT adaptive meshing. Thedefault value for IMPL.SUB = false signifies that points are not being removed.

• Integer DIFF.SMOOTH specifies which annealing algorithm to use after each adaption step, cur-rently DIFF.SMOOTH = 0 corresponds to no annealing during DIFFUSE. DIFF.SMOOTH = 1 corre-sponds to Laplacian smoothing and the dose conservation interpolation algorithm. The default isDIFF.SMOOTH=0.

• Integer IMPL.SMOOTH specifies which annealing algorithm to use after each adaption step, cur-rently IMPL.SMOOTH = 0 corresponds to no annealing during IMPLANT. IMPL.SMOOTH = 1 cor-responds to Laplacian smoothing and the dose conservation interpolation algorithm. The default isIMPL.SMOOTH=1.

• Boolean SILICON, OXIDE, ... specify material regions to be adapted on. This may be one or severalmaterials at a time. The default materials include SILICON, OXIDE, POLYSILICON etc.

• Boolean I.BORON, I.ARSENIC, ... specify impurities to be adapted on. This may be one or severalimpurities at a time. The available impurities include I.BORON, I.ARSENIC, I.PHOSPHORUS,I.ANTIMONY, I.INTERST, I.VACANCY etc.

• Boolean DISABLE specifies that materials/impurities combinations given are disabled to be effec-tive on mesh adapting or smoothing.

• Float MAX.ERR specifies the maximum error allowable before adding points to the mesh, (unitless).Error calculated above this value causes points to be added.

• Float MIN.ERR specifies the minimum error below which points may be deleted from the mesh,(unitless). Error calculated below this value causes points to be removed. Both MAX.ERR andMIN.ERR are calculated using the Bank-Weiser error estimator which is defined as

3-1:

where h is the average of the edge lengths associated with node i, Ci is the impurity concentration at node i.

• Float CONC.MIN specifies the minimum impurity concentration below which adapting will stop,(units 1.0/cm3).

• Float AREA.MIN specifies the minimum triangle area below which adding points will stop, (unitscm2).

• Float AREA.MAX specifies the maximum triangle area below which deleting points will stop, (unitscm2).

• Float EDGE.MIN specifies the minimum edge length below which adding points will stop, (unitscm).

• Float EDGE.MAX specifies the maximum edge length below which deleting points will stop, (unitscm).

• Integer MAX.POINT specifies the maximum number of points above which adapting will stop.

• Integer MAX.LOOP specifies the maximum loop count above which adapting will stop, effectiveonly with implant.

The parameters available on the ADAPT.MESH statement are as follows:

e h2∇2

CiCi

-------------=

Page 410: athena_users

ATHENA User’s Manual

D-8 SILVACO International

• Boolean ADAPT specify that a stand alone adaptive meshing step should be performed to refine orrelax the current mesh based on the material/impurity specification given on ADAPT.PAR state-ment, (default false).

• Integer ADAPT.COUNT specifies the number of adapting loops during the stand alone adaptivemeshing operation, (default 1).

• Boolean SMOOTH specifies to do stand alone annealing (default false).

• Integer SMTH.COUNT specifies the number of smooth loops during the smooth operation, (default1).

• Float ADD.I.LINE specifies that a mesh line is to be added at the interface between two materialsas defined by the booleans MATERIAL1 and /MATERIAL2. The line is added in MATERIAL1 a dis-tance ADD.I.LINE from /MATERIAL2. Boolean SILICON, OXIDE, ... Specify material1 forADD.I.LINE.

• Boolean /SILICON, /OXIDE, ... specify /material2 for ADD.I.LINE.

SSUPREM4 Capabilities• Oxidation enabled for polysilicon diffusion model.

• Vacancy and interstitial diffusion in polysilicon have been decoupled from impurity diffusion for theTWO.DIM model.

• A new parameter has been added to the OXIDE statement. It is called SPLIT.ANGLE. It governsthe minimum angle at which the oxide will split open one more grid spacing when oxidizing at a tri-ple point (i.e. where silicon, oxide, and nitride coincide together at a point). The default for the splitangle is 22.5 degrees. The SPLIT.ANGLE parameter for triple point oxidation is material depen-dent. Specify the oxidizing material without a “/” and the second material with a “/” using the follow-ing format:OXIDE SPLIT.ANGLE=35 SILICON /NITRIDE

There are only three possible combinations: SILICON /NITRIDE, SILICON /POLY, and POLY /NITRIDE.

• A new parameter for scaling analytic implants has been added to the MATERIAL statement. A mul-tiplicative factor, IMPL.SCALE, is specified on the MATERIAL statement along with the materialname in which the implant is to be scaled. An example format would be:MATERIAL IMP L.SCALE=0.5 PHOTORESIST

This scales the implant RANGE, STD.DEV, SRANGE, and SSTD.DEV parameters with this factor whenthey are take from the implant moments file athenaimp. This is intended to be a convenient way to modifythese tables with a constant multiplicative factor.

Monte Carlo Implant Capabilities• Secondary recoil in Monte Carlo implantation model has been implemented. The model is invoked

by specifying REC.FRAC=<number> together with the DAMAGE flag on the IMPLANT statement.The model calculates the trajectory of secondary ions generated by the collision between the pri-mary ion and crystal lattice atom. REC.FRAC controls the fraction of the secondary ions generatedby primary ions to be simulated.

• Work in MC Implant has changed the results so that the peaks for crystalline and amorphousimplants are now at the same position.

• Substrate rotation is now taken into account for Monte Carlo implants. This parameter is set on theINITIALIZE statement and is called ROT.SUB. The default for ROT.SUB is 45 degrees.

• Access to implant parameters for electronic stopping have been added to the IMPLANT statement.These parameters affect the electronic stopping model and the angle for the Monte Carlo implant.

Page 411: athena_users

ATHENA Version History

SILVACO International D-9

First, the BEAMWIDTH parameter has been added. This parameter allows specification of theimplant beamwidth in degrees. When the BEAMWIDTH angle is specified the TILT angle is variedbetween TILT +/- BEAMWIDTH/2.0. Each ion will have an angle somewhere in this range decidedby a random number generator. There are two electronic stopping models. The first, default model,is a simple model that uses the atomic mass of the ion and the current ion energy after each colli-sion to calculate the electronic stopping.

• A parameter called PRE.FACTOR has been added as a multiplier to the atomic mass factor. Thedefault value of PRE.FACTOR = 1. A parameter called POW.FACTOR has been added as the powerof the energy ratio (energy ratio = 1000*[current ion energy]/[initial ion energy]) of the ion. Thedefault value of POW.FACTOR = 0.5 or is the square root of the energy ratio. These parametersapply to both the CRYSTAL and AMORPH implants.

• The Hobler electronic stopping model and its parameters were originally for Boron in Si crystalimplants. The Hobler model is used by default for Boron in Silicon. It can also be used for Si withany impurity by specifying HOBLER on the IMPLANT statement. The Hobler parameters and theirdefault values are PMAX.HOBLER = 2.35, XNL.HOBLER = 0.4, and F.HOBLER = 0.8. PRE.FAC-TOR can also be used with the HOBLER model.

C Interpreter Capabilities

The C Interpreter has been integrated into ATHENA. The first models accessible by the C Interpreterare for the phosphorus, arsenic, antimony, boron, interstitial and vacancy diffusion coefficients. Thelatter two are only applicable for the advanced diffusion models. The file name for model substitutionis set on the DIFFUSE statement with the string parameter P.DIF.COEF = <filename>. This syntax isvalid for all of the above with the string parameters being P.DIF.COEF, AS.DIF.COEF, SB.DIF.COEF,B.DIF.COEF, I.DIF.COEF and V.DIF.COEF for phosphorus, arsenic, antimony, boron, interstitial andvacancy diffusion coefficients respectively. The segregation calculation can also be accessed by the CInterpreter for phosphorus, arsenic, antimony and boron. For the segregation calculation the file namefor model substitution is set on the DIFFUSE statement with the string parameter P.SEG.CALC =<filename>. This syntax is valid for all of the above with the string parameters being P.SEG.CALC,AS.SEG.CALC, SB.SEG.CALC and B.SEG.CALC. The activation calculation can also be accessed bythe C Interpreter for phosphorus, arsenic, antimony and boron. For the activation calculation the filename for model substitution is set on the DIFFUSE statement with the string parameter P.ACT.CALC= <filename>. This syntax is valid for all of the above with the string parameters being P.ACT.CALC,AS.ACT.CALC, SB.ACT.CALC and B.ACT.CALC.

All of these parameters can be used at the same time or separately as desired. Templates for all thesefunctions are located in a file called athena.lib located in the directory $SILVACO/lib/athena/common. A sample function is given for each of the diffusion coefficient calculations, segregationcalculations, and activation calculations. All these functions should have different names. Thetemplate file is copied to the current directory by typing “athena -T <filename>” in a C shell.

ELITE Capabilities

CHEMICAL and DIVERGENCE parameters have been added to the RIE model on the RATE.ETCHstatement. These account for ions that hit the structure at other than normal incidence. A Gaussiandistribution of ions as a function of the angle is assumed. DIVERGENCE is the standard deviation ofthis distribution in degrees. CHEMICAL is the etch rate for this component of the RIE model.

Chemical Mechanical Polish

• Two models for chemical mechanical polishing have been added to ELITE. They are the Burkemodel (hard polish) and the Warnock model (soft polish). The Burke model polishes the structure ata rate proportional to the pattern factor of the structure. The Burke parameters MAX.HARD andMIN.HARD are the maximum and minimum polish rates and are entered via the RATE.POLISHstatement. MAX.HARD corresponds to a pattern factor of zero and MIN.HARD corresponds to apattern factor of one. The actual polishing rate is calculated on the line between MAX.HARD and

Page 412: athena_users

ATHENA User’s Manual

D-10 SILVACO International

MIN.HARD depending on the pattern factor of the structure being polished.

• The Warnock model has four parameters on the RATE.POLISH statement. SOFT sets the polishrate. HEIGHT.FAC is the vertical deformation scale in microns. LENGTH.FAC is the horizontaldeformation scale in microns. The polishing rates for tall features and holes are calculated usingHEIGHT.FAC and LENGTH.FAC. HEIGHT.FAC measures how much the polishing pad will deformwith respect to the height of the feature. LENGTH.FAC measures the distance the effect of a tallfeature will be felt. LENGTH.FAC is a measure of the stiffness of the pad and the distance at whichshadowing will be felt by a tall feature where HEIGHT.FAC is a measure of the spring like nature ofthe pad surface. KINETIC.FAC is the multiplier which increases the vertical component of the hor-izontal polish rate on sloped surfaces. KINETIC.FAC increases the vertical polish rate as the sur-face becomes more vertical.

• An isotropical rate component is also available on the RATE.POLISH statement via the ISOTRO-PIC parameter.

• The two polish models, HARD and SOFT, can be used together or separately. The isotropic compo-nent can be added to either polish model. The polish is initiated by the POLISH statement. The syn-tax of the POLISH statement is very similar to the ETCH statement for machine etches.

• Temperature dependence has been added to the surface diffusion model for ELITE deposits. TheRATE.DEPO statement now includes SIGMA.0 and SIGMA.E for this model. The dependence isSIGMA.DEP = SIGMA.0*EXP(-SIGMA.E/KT). Temperature is entered on the DEPOSIT statement.

• The string advance algorithm and the diffusion algorithm have been modified to give a more realis-tic movement.

• The WET, RIE, etch capabilities of ELITE have been converted from a string based algorithm to amesh based algorithm. This gives greater accuracy when etching near boundaries.

• The CUSTOM deposit has been renamed to USER.DATA.1. CUSTOM remains as an alias for thisdeposit model. A new user deposit model was created that allows the same form of input file asUSER.DATA.1 but also contains all of the functionality of the UNIDIREC model including shadow-ing and surface diffusion effects (SIGMA.DEP parameter). This new model is called USER.DATA.2.The necessary parameters are in an ASCII input file of the same form as the USER.DATA.1 model.Angle and deposition rate are the input values in the file where the deposition rate is taken as a rel-ative deposition rate and the overall deposition rate is determined by the DEP.RATE parameter.

• SUBSTEPS has been added to the DEPOSIT statement. This parameter controls the number ofsteps made for each division of the deposit. This parameter is very important in terms of shadowingeffects as these effects are calculated every time there is a change in SUBSTEPS or DIVISIONS. Ingeneral, the larger the number of SUBSTEPS the more accurate the calculation. However, a largenumber of SUBSTEPS also increases calculation time. SUBSTEPS = 1 is useful for theUSER.DATA.2 model if there are a large number of points in the ASCII input file. This will speedup depositions made with this model and will not affect the accuracy of the shadowing as shadowingeffects are calculated for each point in the ASCII input file. The default value for SUBSTEPS is 8.

FLASH Capabilities

For the new materials, AlGaAs, InGaAs, SiGe, and InP, implantation and diffusion models wereenabled. Currently diffusion in AlGaAs, InGaAs, and InP have the same parameters as GaAs asspecified in the model file. SiGe uses the parameters for Si, again as specified in the model file. Theanalytic implant capabilities for these materials are as follows: SiGe uses Si moments tables wherethey are available. AlGaAs, InGaAs, and InP use moments tables for GaAs where they are available.The Monte Carlo implant capabilities are as follows: SiGe uses the Si crystal lattice. AlGaAs andInGaAs use the GaAs crystal lattice. InP uses its own crystal lattice.

Carbon has been added as a dopant for GaAs with diffusion coefficients and implant tables borrowedfrom Beryllium until better data is found.

Page 413: athena_users

ATHENA Version History

SILVACO International D-11

OPTOLITH Capabilities

Problem with annular sources for exposure has been fixed.

Known Bugs

GPLOT visualization plots do not work when remotely displaying on Solaris 2.4.

ATHENA Version 2.0 Version 2.0 of ATHENA incorporates a number of new models as well as convenience features. TheFLASH module is now available as a component of ATHENA. ATHENA now includes a Monte Carlobased deposit algorithm and a reflow calculation.

ATHENA Capabilities

ATHENA Framework capabilities have been enhanced by the inclusion of some helpful geometricmanipulations. Namely:

• The STRETCH statement has been extended to allow vertical stretches to easily extend structuresfor device analysis or point defect based diffusion calculations. The parameter, Y.VAL on theSTRETCH statement specifies the vertical position in the structure at which the stretch will occur.

• The ETCH statement has been extended to include syntax ABOVE and BELOW to facilitate thetruncation or planarization of structures for interfacing to device analysis or following point defectbased diffusion. ETCH ABOVE and ETCH BELOW both sustain one-dimensional calculation andcan be used in the inverse of the STRETCH operations described above.

• The STRIP statement has been enhanced to include material specification. This allows strip of anymaterial. If no material is specified, STRIP removes all photoresists and BARRIER materials.

• The IMPURITY statement has been added. The IMPURITY statement allows the specification ofparameters for the new impurities for FLASH and SSUPREM4 that have been introduced in thisrelease. The IMPURITY statement is intended to stop proliferation of multitudinous statements ofthe form PHOSPHORUS, BORON, ARSENIC, etc. as new impurities are added. Parameters forboron, for example, can be specified with IMPURITY I.BORON instead of the BORON statement.The IMPURITY statement allows setting of atomic mass and atomic number using the AT.MASSand AT.NUMBER parameters respectively. These parameters effect the Monte Carlo ion implantand allow user defined impurities for ion implant by redefining an existing impurity with thedesired characteristics.

• The number of user definable materials has been increased to 10.

• Shell statements such as QUIT and HELP have been made case insensitive.

• A new algorithm for surface grid removal has been included in the functionality of the RELAXstatement. This algorithm allows elimination of surface segments that are smaller than a valuespecified by parameter, DX.SURF in microns. This is useful for removing excess grid created duringhigh resolution machine etches.

• A new set of examples is included that illustrate calibration of coefficients for several typical cali-bration problems.

SSUPREM4 Capabilities• Gallium, Aluminum, and Gold impurities have been added. The statement language for DEPOSIT,

DIFFUSION, INITIALIZE, and a number of other statements has been modified to include theseimpurities. The IMPURITY statement described above has been added to allow coefficient settingfor these impurities.

• A two stream polysilicon diffusion model has been added. This model takes into account the diffu-

Page 414: athena_users

ATHENA User’s Manual

D-12 SILVACO International

sion of impurities via grain and grain boundary components. The relative magnitude of the twocomponents is controlled by the GB.VOL.RATIO parameter on the MATERIAL statement. Thegrain size of the columnar grains can be set by the parameter GRAIN.SIZE on the MATERIALstatement. Grain boundary directionality is included in the DEPOSIT calculation. Grain size evolu-tion is calculated during diffusion and is controlled by the GRAIN.SIZE and GB.ENERGY parame-ters on the MATERIAL statement. Impurity segregation into and out of grains is calculated duringdiffusion. The impurities in the grains are treated similar to diffusion in silicon. Impurities in thegrain boundary diffuse more quickly as set by the GB.DIX.0 and GB.DIX.E parameters on theIMPURITY statement. The advanced polysilicon diffusion model is invoked by specifying thePOLY.DIFF parameter on the METHOD statement. The METHOD POLY.DIFF statement shouldprecede the deposition of the polysilicon.

• The CRYSTAL parameter on the IMPLANT statement is now true by default. This parameterdetermines whether silicon materials will be treated with a full crystal representation duringMonte Carlo ion implant calculations. The previous default can be obtained by including CRYS-TAL=f on the IMPLANT statement. Monte Carlo implant calculations will now take longer to per-form due to the use of the more complete crystalline model. The AMORPH parameter can now beused instead of CRYSTAL=f to determine which model for Monte Carlo ion implant will be used.Either AMORPH or CRYSTAL=f can now be used to specify that statistics for amorphous silicon beused for analytic ion implant calculations. The UNIT.DAMAGE model now has a default value for aDAM.FACTOR of 0.01

• Dynamic amorphization is now included in the Monte Carlo ion implant capability. This models theamorphization that takes place during implantation.

• The MATERIAL statement includes the boolean parameter, DAM.THRESH=, that specifies theimplant damage threshold in eV. This can be used to control the extent of amorphization that occursduring implant. The parameter MAX.DAMAGE on the IMPLANT or MATERIAL statements alsocontrols the rate at which the implanted material will amorphize.

• The silicide model has been enhanced to improve volume conservation during silicide calculations.Parameters DSV.0 and DSV.E have been added to the SILICIDE statement to control the dissolu-tion of a contributing material during the silicide calculation.

• Improvements to the TWO.DIM model and cylindrical coordinates to address bug fixes and modelextensions have been included.

ELITE Capabilities• Reflow capabilities that allow spin on glass modeling with a physically based calculation that simul-

taneously calculates impurity diffusion are now included. Reflow capability is now available withELITE for individual materials by specifying the REFLOW parameter on the MATERIAL state-ment. Specifying the REFLOW parameter on the DIFFUSION statement invokes the reflow model.The VISCOUS model should be selected on the METHOD statement prior to performing reflow. Theparameter GAMMA.REFLOW=<n> has been added to the MATERIAL statement to specify surfacetension sigma for the reflow calculation. When used in conjunction with either SSUPREM4 orFLASH, the reflow capability allows simultaneous calculation of material flow and impurity diffu-sion.

• Monte Carlo deposit capabilities are now available as an optional functionality. These allow physi-cally based calculations that include deposited species reflection/sticking coefficient, surface diffu-sion, and density variations.

• A tuning parameter, DX.MULT=<n> has been added to the ETCH statement to allow enhanced dis-cretization during individual ELITE etch steps. Increasing the value of DX.MULT from its default of1.0 will result in larger surface segments and a reduced discretization. Decreasing DX.MULT willresult in better discretization in both space and time during the etch calculation. Reducing the

Page 415: athena_users

ATHENA Version History

SILVACO International D-13

value of this parameter allows realistic modeling of wet etches that previously were poorly resolved.

• A new machine type specified by the parameter CUSTOM is now available for ELITE deposits. Thismachine type reads deposit rate vs. angle information from a user specified ASCII file. This can beused as an interface to deposit rates produced by non-Silvaco simulators. If a full range of depositangles is not specified the simulator will interpolate rates between the closest angle and a rate ofzero at an angle of +/- 180 degrees. The rates at +/- 180 degrees are assumed to be the same.

• The limits on number of regions and number of surface segments for machine etch calculations havebeen increased. The new limits should be adequate for most applications of ELITE.

OPTOLITH Capabilities• A new defocus model that directly couples the imaging module to the exposure module has been

introduced. The DEFOCUS parameter on the EXPOSE statement is now obsolete and the NAparameter on the EXPOSE statement is used only to specify that the vertical propagation model beused (NA=0.0). The default is the large numerical aperture model.

• Multiple exposure capability has been added to the EXPOSE statement. Using the boolean parame-ter MULT.EXPOSE allows an arbitrary number of exposures to be simulated in the same resist.Applications are multiple focal planes (FLEX method) and multiple wavelengths.

• Multiple image capability has been added to the IMAGE statement. Using the boolean parameterMULT.IMAGE allows an arbitrary number of images to be superimposed in the same aerial image.The application is for superposition of multiple images with different focal planes (FLEX method).

• A new parameter, POWER.MIN=<n>, in the EXPOSE statement has been introduced to control theextent of the exposure calculation. This parameter controls the amount of loss to be considered inthe calculation. After reflection, transmission and absorption the intensity may be so low as to benegligible. POWER.MIN sets the level below which the intensity will be ignored.

• The imaging module now includes a one-dimensional mode that allows the calculation of one dimen-sional as opposed to two dimensional images. The one-dimensional image capability is invoked byspecifying the ONE.DIM parameter on the IMAGE statement. The advantage of the ONE.DIMmode is realized when using the calculated image in the exposure module. The two-dimensional cal-culation allows the user to see effects due to lines perpendicular and parallel to the current crosssection being studied but uses a two dimensional array of plane waves in the calculation. The two-dimensional mode requires much longer calculation time. The one-dimensional calculation usesonly lines which are perpendicular to the cross section. This calculation uses only a one dimensionalarray of plane waves and is much faster. When a two dimensional mask is defined, only mask fea-tures that are on the same level as the desired cross section are included in the calculation.

• The POSTBAKE statement has been replaced by the BAKE statement that performs either post-exposure bake or post-development bake. TIME and TEMPERATURE parameters have been addedto be used instead of diffusion length. Associated photoactive component diffusivity parameters arealso included in the RATE.DEVELOP statement. A new post-development bake capability includesphotoresist flow. The REFLOW parameter on the BAKE statement invokes the material flow model.

• The BAKE statement includes the DUMP and DUMP.PREFIX parameters that allow movies ofbake processes to be created. Setting DUMP=1 and DUMP.PRE=test will create a sequence of Sil-vaco standard structure files that show the time evolution of the structure during the bake. Thefiles will be named test**.**.str where the **.** indicates the time within the bake.

• The library of default photoresists has been extended with the inclusion of more resists and param-eters describing the new models.

FLASH Module• The FLASH module has been introduced with this release of ATHENA. The FLASH module provides

Page 416: athena_users

ATHENA User’s Manual

D-14 SILVACO International

the ability to model gallium arsenide materials. This involves a number of changes. A partial list ofthe FLASH capabilities is provided here for reference.

• GaAs material is now included on the INITIALIZE and DEPOSIT statements as well as a numberof model coefficient statements.

• Impurities appropriate for GaAs processing, namely, beryllium, chrome, germanium, magnesium,selenium, silicon, and zinc, have been added to a number of statements.

• Ion implantation moments tables have been added that describe implant of these species into mate-rials typical of GaAs processing.

• Monte Carlo ion implant capabilities have been extended to accommodate the new impurities andGaAs material including crystal effects.

• A diffusion model for impurities in GaAs has been included. This model can be accessed by specify-ing the DIFFUSE statement.

ATHENA Version 1.0• Version 1.0 incorporates a number of new models as well as convenience features. The maximum

number of grid points has been increased to 20000. Dynamic allocation of critical arrays makes thislimit practical. A slight slowdown while dynamic allocation is being performed may be observedduring execution of INITIAL statements. If the grid definitions exceed the limits of 20000 points or1000 horizontal or vertical points, the program gives an error message and exits.

• Non-integer specification of the DIVISIONS parameter on the DEPOSIT statement is now allowed.This allows parameterized gridding.

• The INITIALIZE statement now accepts material specifications. This allows the specification of aninitial grid for any material using only LINE and INITIALIZE statements. TAG parameters forboundary definition do not need to be specified. REGION and BOUNDARY statements are notneeded and for most commonly used boundary conditions are set up by default.

• Improved grid refinements following oxidation, deposition, silicidation, etching, or other grid mov-ing steps.

• This update includes a new parser function MAT1|MAT2(Y) that will return the x intersectionpoint between materials mat1 and mat2 for the y value given to the function. The other parser func-tion, MAT1@MAT2(X), returns a y intersection point given x. However, the two functions are verydifferent. The former allows the intersection point with gas to be found specifically for the applica-tion of extracting critical dimensions (CDs) for photolithography applications. The latter will nothandle gas material. In the case of extraction of cds a special format is used.PRINTF (GAS|PHOTO(Y)-PHOTO|GAS(Y))

This is the right intersection - the left intersection. If there are more than two intersections the right-mostand left-most will be taken. These conditions are only true if gas is specified. If gas is not specified itreturns the x intersection for y in the same manner as MAT1@MAT2(X).

• A bug in the RELAX capability has been repaired. This makes RELAX function more completelyand makes it remove triangles for cases where they were left in the past.

SSUPREM4 Version 6.0• Version 6.0 of SSUPREM4 incorporates a number of new models as well as convenience features.

SSUPREM4 now includes the first available two-dimensional silicide model. The DEPOSIT, ETCHand model statements now include materials TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX,and PTSIX. Silicidation can also be performed using user defined materials for other metal systems.

• The silicide model parameters can be specified in a number of model statements and in the

Page 417: athena_users

ATHENA Version History

SILVACO International D-15

METHOD statement.

• DEPOSIT, EPITAXY, and DIFFUSION now allow specification of multiple impurities. The multipleimpurity deposition capability is exhibited in an example of BPSG type material.

• The DIFFUSION statement now allows simultaneous oxide growth and impurity predeposition.This allows physically based modeling of processes such POCL deposition.

• One remaining area of concern for modeling such processes is that impurity diffusion in highlydoped oxide type materials such as BPSG or PSG will tend to be faster. The impurity diffusion coef-ficient must typically be adjusted in order to model such processes accurately.

• Diffusion calculation has been modified to allow the previous discretization or Rex Lowther’s dis-cretization method. The improved Lowther discretization can be accessed using the parameterLOWTHER on the METHOD statement.

• The oxidation gridding algorithm has been modified to allow a thin grid at the initial oxidation anda coarser grid throughout subsequent oxidation. This technique is designed to create a fine grid dur-ing gate oxide and similar growth steps but coarse grid for thicker oxidations. The parameter GRI-DINIT.OX on the METHOD statement sets the value of the initial grid thickness. A similarcapability for silicidation is available via the GRIDINIT.SIL on the METHOD statement.

• Substrate orientation can now be specified on the INIT statement to set the orientation of trenchsidewalls. This effects oxidation and Monte Carlo implantation.

• The SSUPREM4-MaskViews interface has been replaced by the MaskViews cutfile capability. Allreferences to the SSUPREM4-MaskViews interface in the INITIAL statement will be ignored dur-ing calculation and will produce a warning message.

• The regrid capability has been replaced by the functionality of DEVEDIT. REGRID statements inSSUPREM4 input will be ignored during calculation and will produce a warning message.

• Regional attribute information can now be set in SSUPREM4. Currently the attributes that are setby SSUPREM4 are only electrode names.

• Poly Oxidation - Etching in complicated structures (latch-up etc.), memory allocation, and freeingbugs eliminated

• Syntax Changes - REGRID and layout interface related syntax for INITIAL statement has beenremoved.

SSUPREM4 Version 5.1.4• Version 5.1 of SSUPREM4 incorporates a number of new models as well as convenience features, and

numerous bug fixes.

• Eliminated a bug in the PRINT.1D statement for structures including BARRIER material.

• The memory requirements for SSUPREM4 were reduced dramatically through a change to the maxi-mum number of materials and regions allowed in a simulation.

• Boundary conditions bug fixes eliminated some difficulties during TWO.DIM diffusions.

SSUPREM4 Version 5.1• Version 5.1 of SSUPREM4 incorporates a number of new models as well as convenience features, and

numerous bug fixes.

• PREDICT2 Feature Incorporation - As part of an ongoing collaboration with the Microelectron-ics Center of North Carolina, Version 5.1 of SSUPREM4 is coupled with initial model implementationof PREDICT2. The models in PREDICT2 are the most accurate available for high concentration diffu-sion, Rapid Thermal Processing (RTP), and Transient Enhanced Diffusion (TED). The use of these

Page 418: athena_users

ATHENA User’s Manual

D-16 SILVACO International

models is described in the DIFFUSION and METHOD statement descriptions and in the ReferenceManual.

• DeckBuild example facility added - A set of standard examples for SSUPREM4 and other SIL-VACO simulators can now be accessed via the DECKBUILD working environment. To run these exam-ples, run DeckBuild, pull down the Main Control-Examples menu, and select SSUPREM4 from theSection menu. Then select an example name from the scrolling list and select the Load button at thebottom of the screen. This will copy the example and any associated files to your current workingdirectory and load the example into DECKBUILD. You can then run the example. The example facilityincludes a short description of the example that describes how to run it and some description of theresults that is similar to the manual description. Examples describing interfaces between differentsimulators are also accessible.

• SSUPREM3 Interface - The SSUPREM4 PROFILE statement can read a one dimensional (1D)structure file generated by SSUPREM4. The PROFILE statement reads a MASTER file that con-tains layer and impurity information from SSUPREM3. The interface between this simulators is bestaccomplished by using DECKBUILD. Within DECKBUILD, you simply build the SSUPREM3 portion ofthe input deck. Next, specify the command: GO SSUPREM4. Specify the mesh within silicon as younormally would in SSUPREM4. DECKBUILD will automatically insert the appropriate profile state-ment following SSUPREM4 initialization.

• User accessible polysilicon oxidation rates - In previous releases of SSUPREM4, polysilicon andsilicon were assumed to oxidize with similar rates. The parameters for polysilicon oxidation werenot independently accessible from those for silicon oxidation. Experiments have shown that polysil-icon oxidation can be significantly different from silicon oxidation. All coefficients for oxidation arenow accessible independently for silicon and polysilicon oxidation. By default, the rates for polysili-con and silicon oxidation are the same.

• Geometric Mode Added - The capability to specify at initialization that a simulation is to be per-formed without impurities has been added as a parameter on the INITIALIZE statement. Thisspecifies the so-called geometric mode that describes all material layers but produces no impurityinformation, speeding up SSUPREM4 execution time immensely.

• Coarse Grid Mode Added - The parameter SPACE.MULT has been added to the INITIALIZEstatement to globally manipulate the initial grid specification for SSUPREM4. Setting the value ofSPACE.MULT to a value greater than one will increase the effective value of each of the spacingparameters on preceding LINE statements. This gives a quick way to globally reduce the grid den-sity in a SSUPREM4 simulation for reduced simulation time for preliminary analyses.

• Full Rotation Capability Added To IMPLANT Statement - Full rotation for implant can nowbe specified on the IMPLANT statement. Specifying the FULLROTAT parameter will performimplantation at the specified tilt angle from all rotation angles as would occur with a rotating wafermount.

• User definable materials added - The capability to define new materials in SSUPREM4 hasbeen included in this release. This allows separate treatment of materials deposited using differentprocesses.

• Ramped DIFFUSION syntax change - The RAMP parameter has been removed from the diffu-sion statement. If the parameter is present it will be ignored. Temperature ramps for thermal diffu-sions can now be specified by adding either the T.FINAL or T.RATE parameter to any DIFFUSIONstatement. The initial temperature must be specified using the TEMPERATURE parameter.

• Line continuation syntax change - Line continuation is now supported in a manner consistentwith use within DeckBuild. The line continuation character for SSUPREM4 as well as other simula-tors running under DeckBuild is \ (backslash). The \ character should be the last character on aline that is to be continued on the following line.

Page 419: athena_users

ATHENA Version History

SILVACO International D-17

• ETCH statement default change - The TOP.LAYER parameter on the ETCH statement defaultsto true. This parameter can be set to false to etch underlying material layers simultaneously withexposed layers of a particular material. SSUPREM4 will now give information warning messages foretches that create voids within a structure. In addition, unexposed materials will not be etchedunless TOP.LAYER is set to false.

• TonyPlot and go syntax supported - The command TonyPlot can be included in a SSUPREM4input deck and will initiate a TONYPLOT of the structure if run under DeckBuild. For SSUPREM4standalone operation, the TonyPlot statement is ignored. Also, under DeckBuild, the command GOSSUPREM4 will initiate SSUPREM4 execution. This statement is ignored in standalone operation.

• Manual improvements and additional examples - The manual for SSUPREM4 has been refor-matted and thoroughly revised to be more readable and provide the user with more importantguidelines for effective use of SSUPREM4. The Tutorial section and Getting Started sections havebeen added to provide an introduction to the use of SSUPREM4. Additional examples detail the useof the user defined material capability, bipolar device fabrication and EEPROM device fabrication.

SSUPREM4 Version 5.0 • Version 5.0 of SSUPREM4 represents a new standard for 2D process simulation. SSUPREM4 Version

5.0 incorporates a number of new models and convenience features briefly described in this chapter.

• One-dimensional mode - Version 5.0 offers a significant enhancement for speed and ease of useby incorporating a one-dimensional (1D) mode. This may be specified within a conventional two-dimensional (2D) input deck. This allows fast analyses of particular points in a 2D structure prior tocomplete 2D analysis with the same input deck. The use of this feature is described in the INITIAL-IZE statement description.

• Analytic angled implant - The implant capabilities of SSUPREM4 have been enhanced by theinclusion of analytic angled implant models. This implementation removes the use of the rectangu-lar grid that is utilized in other versions of SSUPREM4 and that is frequently responsible for largememory requirements during implant calculations. This model can be invoked by specifying theTILT parameter in the IMPLANT statement.

• Speed enhancements for diffusion and oxidation calculations - Speed enhancements havebeen incorporated that provide an overall speed improvement by a factor of two for typical diffusioncalculations.

• Monte Carlo ion implant model - Version 5.0 of SSUPREM4 introduces a fast Monte Carlo ionimplant calculation. This calculation is very general and because of significant developments inmodeling and computational techniques, is from 10 to 100 times as fast as similar calculations fromother sources. The model includes the following effects:

- Implant angle (tilt and rotation)- Substrate damage and damage temperature dependence

• Reflected Ions - Physical modeling of penetration through multi-layer structures. This model canbe invoked by specifying the MONTECAR parameter on the IMPLANT statement.

• Non-uniform grid capability - A non-uniform grid can now be specified in the vertical directionfor either deposit or epitaxy process steps. This is especially useful for modeling epitaxial processes.This capability can be invoked by specifying the DY and YDY parameters as described in the EPIT-AXY and DEPOSIT statement descriptions.

• Gas flow specification - Gas flow can now be explicitly specified during diffusion calculations.This functionality supports the use of mixed ambients and is described in the DIFFUSION state-ment description.

• RELAX statement added for improved gridding - A new statement, RELAX, has been added to

Page 420: athena_users

ATHENA User’s Manual

D-18 SILVACO International

allow the removal of excess grid points at any time during the simulation. This greatly enhancesefficiency by allowing free manipulation of the grid.

• Improved MaskViews interface - The interface to MASKVIEWS now can be invoked interactivelyduring SSUPREM4 simulation. This interface has also been improved to provide for automatic gridgeneration that is tied to layout information. This interface and capability are demonstrated in thefirst standard example.

• ELECTRODE statement - The name and position of electrodes in a SSUPREM4 structure can nowbe defined using the ELECTRODE statement. This information is incorporated in the MASTERstructure file format and can be read transparently by SPISCES 2B.

Additional SSUPREM4 ChangesOxidation method defaults to compress.

• The HCL.PC parameter has been added to the diffusion statement to allow the inclusion of HCl.

• The readability of the online help facility has been improved, and additional comments have beenadded.

• These can be accessed by specifying HELP or HELP <statement name> in interactive mode.

• The initial HELP statement list has been alphabetized.

• The amount of runtime output has been set such that the default level provides appropriate infor-mation for day to day use. The level of output may be specified by the OPTION statement and eitherQUIET, NORMAL, VERBOSE, or DEBUG parameters. The default is NORMAL.

• The ECHO feature has been set to on by default. This can be altered by specifying UNSET ECHO orSET ECHO to turn the echo off or on respectively.

• Command line continuation was supported. The + (plus) symbol at the beginning of a line indicatesthat it is a continuation of the previous line. The + at the end of a line indicates that the line follow-ing it is a continuation. The continuation symbol is now a space followed by a backslash character “\” at the end of the line to be continued.

• A smoothing algorithm has been incorporated into the mesh initialization calculation. This guaran-tees numerically desirable mesh characteristics for meshes with rapidly changing spacing.

• The deposition and epitaxy algorithm has been improved to be more robust and to provide more con-sistent gridding. The parameter MIN.SPACE has been added to control the resulting grid.

• The parameter TOP.LAYER has been added to the ETCH statement to indicate that only top layersof the etched material should be removed.

Page 421: athena_users

SILVACO International BIB-1

Bibliography1. W. Fichtner, (ed. S.M. Sze). “Process Simulation”, VLSI Technology. McGraw-Hill, p. 422, 1988.

2. B.R. Penumalli, “A Comprehensive Two-Dimensional VLSI Process Simulation Program, BICEPS”,IEEE Trans. on Electron Dev. vol. ED-30(9), p. 986, Sept. 1983.

3. P.M. Fahey, “Point Defects and Dopant Diffusion in Silicon”, PhD Thesis, Integrated CircuitsLaboratory, Department of Electrical Engineering, Stanford University, June 1985.

4. R.B. Fair, (ed. F.F.Y. Wang). “Concentration Profiles of Diffused Dopants in Silicon”, ImpurityDoping Process in Silicon. North Holland, New York, 1981.

5. D. Mathiot, and J.C. Pfister. “Dopant Diffusion in Silicon: A Consistent View InvolvingNonequilibrium Defects”, J. of Appl. Physics, vol. 55, No. 10, p. 3518, May, 1984.

6. W. Shockley, and J.T. Last. “Statistics of the charged Distribution for a Localized Flow in aSemiconductor”, Phys. Rev. vol. 107, No. 2, p. 392, July, 1957.

7. S.M. Hu, “On Interstitial and Vacancy Concentration in Presence of Injection”, J. Appl. Phys., vol.57, p. 1069, 1085.

8. M.D. Giles, “Defect Coupled Diffusion at High Concentrations”, IEEE Trans. on CAD. vol. 8, No. 5,p. 160, 1989.

9. G.D. Watkins, (ed. F.A.Huntley). “EPR Studies of the Lattice Vacancy and Low TemperatureDamage Processes in Silicon”, Lattice Defects in Semiconductors. 1974, Inst. Phys. Conf. Ser. 23,London, 1975.

10. P.B. Griffin, and J.D. Plummer. “Process Physics Determining 2-D Impurity Profiles in VLSIDevices”, International Electron Devices Meeting., p. 522, Los Angeles, Dec. 1986

11. M.E. Law, and R.W. Dutton. “Verification of Analytic Point Defect Models Using SUPREM-IV”,IEEE Trans. on CAD. vol. 7, No. 2, p. 181, Feb. 1988.

12. M.E. Law, “Two Dimensional Numerical Simulation of Dopant Diffusion in Silicon”, PH.D. Thesis,Department of Electrical Engineering, Stanford University, Jan. 1988.

13. B.E. Deal, and A.S. Grove. “General Relationship for the Thermal Oxidation of Silicon”, J. Appl.Phys. Vol. 36, p. 3770, 1965.

14. H.Z. Massoud, “Thermal Oxidation of Silicon in Dry Oxygen-Growth Kinetics and ChargeCharacterization in the Thin Regime”, Technical Report, Stanford Electronic Laboratories, StanfordUniversity, Jun. 1983.

15. C.P. Ho, and J.D.Plummer, J. Electrochem. Soc. Vol. 126, No. 9, p. 1576, Sep. 1979.

16. N. Guillemot, “A New Analytical Model of the Bird’s Beak”, IEEE Trans. on ED. vol. ED-34, No.5,May 1987.

17. D. Chin, “Two Dimensional Oxidation. Modeling and Applications”. Ph.D Thesis, Department ofElectrical Engineering, Stanford University, Jun. 1983.

18. H. Eyring, “Viscosity, Plasticity, and Diffusion as Examples of Absolute Reaction Rate”, J. Chem.Phys. v. 4, p. 283, 1936.

19. J. Lindhard, M. Scharff, and H.E. Schiott. “Range Concepts and Heavy Ion Ranges”, Kgl. Dan. Vid.Selsk. Mat.-fys. Medd Vol.33, No 14, 1963.

20. J.E. Gibbons, W. S. Johnson, and S. W. Mylroie. Projected Range Statistics (2nd edition),Stroudsburg, Pennsylvania: Dowden, Hutchinson, & Ross, Inc., 1975.

Bibliography

Page 422: athena_users

ATHENA User’s Manual

BIB-2 SILVACO International

21. A.F. Burenkov, F.F.Komarov, M.A.Kumakhov, and M.M.Temkin. Tables of Ion Implantation SpatialDistributions. Gordon & Breach Science Publishers, 1986.

22. W.K. Hofker, “Concentration Profiles of Boron Implantations in Amorphous and PolycrystallineSilicon”, Philips Res. Rep. Suppl. No. 8, 1975.

23. A. F. Tasch, “An Improved Approach to Accurately Model Shallow B and BF2 Implants in Silicon”,J. Electrochem. Soc., Vol. 136, No. 3, March, 1989.

24. G.A.J. Amaratunga, K. Sabine, and A.G.R. Evans. “The Modeling of Ion Implantation in a Three-Layer Structure Using the Method of Dose Matching”, IEEE Trans. Electron. Dev. Vol. ED-32, No. 9,p. 1899, Sep. 1985.

25. A.F. Burenkov, F.F.Komarov, and M.M.Temkin. “Analytical Calculation of Ion Implantationthrough Mask Windows” (in Russian), Microelektronika, vol. 16, No. 1, p. 15, Jan. 1987.

26. J.F. Ziegler, J.P. Biersack, and U. Littmark, The Stopping and Range of Ions in Solids, New York,Pergamon, 1985.

27. G.H. Kinchin and R.S. Peace, “The Displacement of Atoms in Solids by Radiation”, Rep. Prog. Phys.vol. 18, pp. 1-51, 1955.

28. H.R. Yeager and R.W.Dutton. “An Approach to Solving Multi-Partial Diffusion Exhibiting NonlinearStiff Coupling”, IEEE Trans. on Electron Dev. vol. ED-32, pp. 1964-1976, Oct.1985.

29. R.E. Bank, et.al. “Transient Simulation of Silicon Devices and Circuits”, IEEE Trans. on ElectronDev. vol. ED-32, pp. 1992, Oct. 1985.

30. H.C. Elman, “Preconditioned Conjugate Gradient Methods for Nonsymmetric Systems of LinearEquations”, Research Report, vol. 203, Apr. 1981.

31. B.E. Deal, “Thermal Oxidation Kinetics of Silicon in Pyrogenic H2O and 5% HCl/H2O Mixtures”, J.Electrochem. Soc. Vol. 125, No. 4, pp. 576-579, Apr. 1978.

32. R.R. Razouk, L.N.Lie, and B.E.Deal. “Kinetics of High Pressure Oxidation of Silicon in PyrogenicSteam”, J. Electrochem. Soc. Vol. 128, No. 10, pp. 2214-2220, Oct. 1981.

33. D.W. Hess and B.E.Deal. “Kinetics of the Thermal Oxidation of Silicon in O2/HCl Mixtures”, J.Electrochem. Soc. Vol. 124, No. 5, pp. 735-739, May 1977.

34. C.P. Ho and J.D.Plummer. “Si/SiO2 Interface Oxidation Kinetics: A Physical Model for the Influenceof High Substrate Doping Levels”, J. Electrochem. Soc. Vol. 126, pp. 1516-1522, Sept. 1979.

35. D.B. Kao, J.P.McVittie, W.D.Nix, and K.C.Saraswat. “Two Dimensional Thermal Oxidation ofSilicon I. Experiments”, IEEE Trans. Electron. Dev. May 1987.

36. R.B. Fair, (ed.F.F.Y. Wang). “Impurity Doping Process in Silicon, North Holland, Amsterdam”, 1981.

37. H.F. Wolf,”Semiconductors”, Interscience, p. 361, 1971.

38. D.A. Antoniadis, A.G. Gonzalez, and R.W. Dutton. “Boron in Near-Intrinsic d and o Silicon underInert and Oxidizing Ambients Diffusion and Segregation”, J. Electrochem. Soc., Vol. 125, No. 5, pp.813-819, May 1978.

39. P.H. Langer and J.I. Goldstein. “Boron Autodoping during Silane Epitaxy”, J. Electrochem. Soc.,Vol. 124, No. 4, pp. 591-598, Apr. 1977.

40. G.L. Vick and .M. Whittle. “Solid Solubility and Diffusion Coefficients of Boron in Silicon”, J.Electrochem. Soc. Vol. 116, No. 8, pp. 1142-1144, Aug. 1969.

41. F.A. Trumbore “Solid Solubilites of Impurity Elements in Germanium and Silicon”, Bell SystemTech. J.Vol. 39, pp. 205-233, Jan. 1960.

42. G.B. Bronner and J.D.Plummer. “Gettering of Gold in Silicon: A Tool for Understanding theProperties of Silicon Interstitials”, J. Appl. Phys. Vol. 61, No.12, p. 5286, June, 1987.

43. P. Packan, Private Communication.

Page 423: athena_users

The C Interpreter

SILVACO International BIB-3

44. T.Y. Tan and U. Gosele. “Point Defects, Diffusion Processes, and Swirl Defect Formation in Silicon”,Appl. Phys. vol. A37, No.1, p.1, 1985.

45. E. Guerrero “Determination of the Retarded Diffusion of Antimony by SIMS Measurements andNumerical Simulations”, J. Electrochem. Soc. Vol. 133, No.10, p. 2182, Oct., 1986.

46. F.J. Morin and J.P. Maita. “Electrical Properties of Silicon Containing Arsenic and Boron”, Phys.Rev. Vol. 96, No. 1, p. 28, Oct. 1954.

47. R.B. Fair and J.C.C. Tsai. “A Quantitative Model for the Diffusion of Phosphorus in Silicon and theEmitter Dip Effect”, J. Electrochem Soc., v. 124, No. 7, p. 1107; July 1977.

48. E.A. Irene “Residual Stress in Silicon Nitride Films”, J. Electronic Mat., vol. 5(3), p. 5; 1976.

49. S.M. Hu,”Film-edge-induces Stress in Silicon Substrates”, Applied Physics Letters, Vol. 32, p. 5; 1978.

50. S.P. Timoshenko and J.N. Goodier. Theory of Elasticity. McGraw-Hill, New York, 1970.

51. E. Kutludemirlioglu, “Oxidation Kinetics of Polycrystalline Silicon”, SRC Technical Report, No.G502-10, December, 1988.

52. T. Kamins, “Oxidation of Phosphorus-doped Low Pressure and Atmospheric Pressure CVDPolycrystalline Silicon Films”, J. Electron Soc., Vol. 126, No. 5, P. 838, May, 1979.

53. S.P. Murarka, “Silicide for VLSI Applications”, Academic Press, 1983.

54. G.C. Steward, “The Symetrical Optical System”, The Cambridge University Press, Cambridge, 1928.

55. E.H. Linfoot, “Diffraction Images In Systems With Annular Aperture”, Proc. Phys. Soc., Vol. B 66,pp. 145-149, 1953.

56. W.T. Welford, “Use of Annular Apertures To Increase Focal Depth”, J. Opt. Soc. Am., Vol. 50, No. 8,pp. 749-753, 1960.

57. T. Wilson, C. Sheppard, “Theory and Practice Of Scanning Optical Microscopy”, Academic Press,London, 1984.

58. B.M. Watraslewicz, “Image Formation In Microscopy At High Numerical Aperture”,. Optica Acta,Vol. 12, No. 2, pp. 167-176, 1965.

59. B. Richards, E.Wolf, “Electromagnetic Diffraction In Optical Systems II. STructure Of The ImageField In An Aplanatic System”, Proc. Phys Soc., A 253, pp. 358-379, 1959.

60. H.H. Hopkins, “On The Diffraction Theory Of Optical Images”, Proc. Roy. Soc., Vol A 217, pp. 408-432, 1953.

61. H.H. Hopkins, “Applications Of Coherence Theory In Microscopy And Interferometry”, J. Opt. Soc.Am., Vol 47, No. 6, pp. 508-526, 1957.

62. W.H.A. Fincham, M.H.Freeman, Optics, Butterworths, London, Ch. 15, 1980.

63. P.K.Mondal, “Phase Contrast Microscopy In Partially Coherent Light”, Optica Acta, Vol. 15, No. 1,pp. 65-82, 1968.

64. J.Tsujiuchi, “Image Forming Performance Of Projection Systems”, Jap. J. of appl. phys., Vol. 4,Suppl. I., (1965), Proc. Conf. On Photographic And Spectroscopic Optics, pp. 251-259.

65. P.H. Berning, “Theory And Calculations Of Optical Thin Films”, Physics of Thin Films, ed. G. Hass,Academic Press, N.Y. 1963.

66. F.H. Dill, W.P.Hornberger, P.S.Hauge, J.M.Shaw, “Characterization Of Positive Photoresist”, IEEETrans. Electron Devices, Vol. ED-22, No. 7, July 1975.

67. D.A. Bernard, “Simulation Of Post Exposure Bake Effects On Photolithographic Performance Of AResist Film”, Phillips Journal of Research 42, pp. 566-582, 1987.

68. C.A. Mack, “Modeling The Effects Of Prebake On Positive Resist Processing”, Proc. KTIMicroelectronics Seminar, pp.155-158, 1985.

Page 424: athena_users

ATHENA User’s Manual

BIB-4 SILVACO International

69. F.H. Dill, W.P.Hornberger, P.S.Hauge, J.M.Shaw, “Characterization of Positive Photoresis”t, IEEETrans. Electron Devices, Vol. ED-22, No. 7, July 1975.

70. D.J. Kim, et al., “Development of Positive Photoresist”, IEEE Trans. Electron Devices, Vol. ED-31,pp. 1730-1736, 1984.

71. C.A. Mack, “PROLITH: A Comprehensive Optical Lithography Mode”l, Proc. SPIE, Vol. 538, pp.207-220, 1985.

72. P. Trefonas III, et al., “New Principle For Image Enhancement In Single Layer PositivePhotoresist”, Proc. SPIE, Vol 771, pp. 194-207, 1987.

73. Y. Hirai et al., “Process Modeling For Photoresist Development And Design Of Drl/sd (double ResistLayer By A Single Development Process”, IEEE Trans. on computer aided design CAD-6, pp. 403-409, 1987.

74. W. Henke et al., “Three Dimensional Simulation Of Reticle Defects In Optical Lithography”, Proc.KTI Microlithography Seminar, pp. 257-275, 1991.

75. W.G.Oldham, A.R.Neureuther, C.Sung, J.L.Reynolds and S.N.Nandgaonkar, “A General SimulatorFor Vlsi Lithography And Etching Processes: Part Ii - Application To Deposition And Etching”,IEEE Trans. on Electron Devices, Vol. ED-27, No. 8, pp. 1455-1459, Aug., 1980.

76. A.R.Neureuther, “IC Process Modeling And Topography Design”, IEEE Proceedings, Special IssueOn Vlsi Design: Problems And Tools, Vol. 71, No. 1, pp. 121-128, Jan., 1983.

77. S.F.Meier, “Etching Simulation Of Nonplanar Layers”, M.S. Thesis, University of California,Berkeley, May 1987.

78. J.L.Reynolds, A.R.Neureuther, and W.G.Oldham, “Simulation Of Dry Etched Line Etched Profiles”,J. Vac. Sci. Technol., Vol 16, No 6, pp. 1772-1775, Nov./Dec., 1979.

79. A.R.Neureuther, C.Y.Liu, and C.H.Ting, “Modeling Ion Milling”, J. Vac. Sci. and Technol., pp. 1167-1171, 1979.

80. A.R.Neureuther, C.H.Ting, and C.Y.Lin, “Application Of Line-edge Profile Simulation To Thin-filmDeposition Process”, IEEE Trans. on Electron Devices, Vol. ED-27, No. 8, pp. 14491455, Aug., 1980.

81. A.R.Neureuther, “Basic Models And Algorithms For Wafer Topography Simulation, in Problems andNew Solutions for Device and Process Modeling”, Ed. J.J.H. Miller, Boole Press, Dublin, pp. 99-109,1985.

82. A.R.Neureuther, “Algorithms For Wafer Topography Simulation”, NASECODE IV, Dublin, Ireland,Proceedings, pp.58-69, 1985.

83. K.Lee, Y.Sakai, and A.R.Neureuther, “Topography Dependent Electrical Parameter Simulation ForVLSI Design”, IEEE Trans. Elec. Dev., Vol. 30, pp. 1469-1474, Nov., 1983.

84. P.Sutardja, Y.Shacham-Diamand, and W.G.Oldham, “Two Dimensional Simulation Of Glass ReflowAnd Silicon Oxidation”, VLSI Technology Technical Digest, 1986 Symposium, pp.39-40, May, 1986.

85. R.Jewett, “A String Model Etching Algorithm”, M. S. Thesis, University of California, Berkeley,Dec., 1979.

86. S.F.Meier, “Etching Simulation Of Nonplanar Layers”, M. S. Thesis, University of California,Berkeley, May, 1987.

87. J.Pelka, K.P.Miller, “Simulation Of Dry Etch Processes By COMPOSITE”, IEEE Trans. CAD, Vol.7,No.2, p. 154, Feb., 1988.

88. J.Pelka, M.Weiss, W.Hoppe, D.Mewes, “The Influence Of Ion Scattering On Dry Etch Profiles”,J.Vac.Sci.Technol., B7, No.6, p. 1483, Nov./Dec., 1989.

89. J.Pelka, “Simulation Of Ion-enhanced Dry-etch Processes”, Santa Clara, SPIE proceedings, Vol.1392, SPIE fall meeting, 1990.

Page 425: athena_users

The C Interpreter

SILVACO International BIB-5

90. J.L. Reynolds, “Simulation Of Dry Etched Line-edge Profiles”, M. S. Thesis, University of California,Berkeley, June, 1980.

91. J.L. Reynolds, “Characterization Of Plasma Etched Structures In Ic Processing”, Ph.D. Thesis,University of California, Berkeley, Dec., 1983.

92. C.Sung, “Simulation And Modeling Of Evaporated Deposition Profiles”, M. S. Thesis, University ofCalifornia, Berkeley, Dec., 1979.

93. C.Catana, J.S.Colligan, and G.Carter, Journal of Materials Science, Vol. 7, p. 467, 1972.

94. H.P.Urbach, D.A.Bernard, “Modeling Latent-image Formation In Photolithography, Using TheHelmholtz Equation”, J. Opt. Soc. Am. A6, 1343-1356 (1989).

95. M.S.Young, “Photolithography Simulation On Nonplanar Substrates”, Proc. SPIE vol. 1264, 309-321(1990).

96. K.Tadros, A.R.Neureuther, J.Gamelin, R.Guerreri, “Investigation Of Reflective Notching WithMassively Parallel Simulation”, Proc. SPIE, vol. 1264, 322-332 (1990).

97. J.Bischoff, U.Glaubitz, N.Haase, “New Method Of Topography Simulation In Photolithography”,Proc. SPIE, Vol. 1674, 423-434 (1992).

98. C.L. Chu, “Characterization of Lateral Dopant Diffusion in Silicides”, IEDM, 90-245, 1990.

99. C.M.Li, M.Temkin, T.L.Crandle, “A Two-Dimensional Model for Silicide Growth”, Proceedings ofVPAD, 1993, Nara, Japan.

100.B.J.Mulvaney, W.B.Richardson, T.L.Crandle, “PEPPER - A Process Simulator for VLSI”, IEEETrans. on Computer-Aided Design, Vol. 8, No. 4, April 1989.

101.S.P. Murarka, Silicides for VLSI Applications, p. 60, Academic Press, Orlando, Florida 32887, 1983.

102.Conor S. Rafferty, Stress Effects in Silicon Oxidation - Simulation and Experiments, pp. 123-125,Integrated Circuits Laboratory, Department of Electrical Engineering, Stanford University,Stanford, CA 94305, 1989.

103.M. Sikkens, I.J. Hodgkins, F. Horowitz, H.A. Macleod and J.J. Wharton, Opt. Eng., 25 (1986) 142.

104.R.N. Tait, T. Smy and M.J. Brett “A Ballistic Deposition Model For Films Evaporated OverTopography”, Thin Solid films, 187 (1990) 375-384.

105.T.S. Cale and G.B. Raupp, J. Vac.. Sci. Technol. B8, 1242 (1990).

106.R.N. Tait, S.K. Dew, T. Smy and M.J. Brett “Ballistic Simulation of Optical Coatings DepositedOver Topography”, SPIE Vol. 1324 Modeling of Optical Thin Films II, (1990) 112-119.

107.R.N. Tait, T. Smy and M.J. Brett “Simulation and Measurement of Density Variation in Mo FilmsSputter Deposited Over Oxide Steps”, Journ. Vac. Sci. Technol. A 8 (3), May/Jun 1990, 1593-1596.

108.Kernighan and Ritchie, “The C Programming Language”, Prentice-Hall, 1978.

109.C. C. Lin and M. E. Law, “2-D Mesh Adaption and Flux Discretizations for Dopant DiffusionModeling”, to be published on IEEE Tran. CAD, 1995.

110.C. C. Lin and M. E. Law, “Mesh Adaptation and Flux Discretizations for Dopant DiffusionModeling”, Technical Digest, NUPAD V, June, 1994.

111.S.K. Jones and A. Gerodolle, “2D Process Simulation Of Dopant Diffusion In Polysilicon”,NASECODE-VII Conference Copper Mountain (Colorado), Copper Mountain, May, 1991.

112.L. Mei and R.W. Dutton, “A Process Simulation Model For Multilayer Structures InvolvingPolycrystalline Silicon”, IEEE Trans. Electron Devices, Vol. ED-29, pp. 1726-1734, 1982.

113.R. Huang, “Experimental Investigation and Modeling of the Effects of High Dose Ion ImplantationDamage on Boron Diffusion in Silicon”, Ph.D. dissertation, Department of Electrical Engineering,Stanford University, 1994.

Page 426: athena_users

ATHENA User’s Manual

BIB-6 SILVACO International

114.J. Hu, “The Study and Simulation of Beryllium Diffusion in Gallium Arsenide”, Ph.D. dissertation,Department of Electrical Engineering, Stanford University, 1995.

115.S. Dunham, “Suggested Modifications for SUPREM-IV”, unpublished.

116.E. Peroziello, private communications.

117.D. Roth and J. Plummer, J. Electrochem. Soc., 141, 1074 (1994).

118.D.Mathiot and J.C.Pfister, J. Appl. Phys. 55, 3518 (1984).

119.D.Mathiot and J.C.Pfister, J. Phys. Lettres (Paris) 43, L-453 (1982).

120.D.Mathiot and P.Scheiblin, ULSI Science and Technology/1995, E.M.Middlesworth and H.MassoudEds, Electrochemical Soc. Proc. 95-5, p 13 (1995).

121.M.Yoshida, Japan. J. Appl. Phys. 18, 479 (1979).

122.S.Matsumoto and T.Niimi, Japan. J. Appl. Phys. 15, 2077 (1976).

123.P.A. Stolk, H.-J. Gossmann, D.J. Eaglesham, D.C. Jacobson, J.M. Poate, and H.S. Luftman, Appl.Phys. Lett., 66, 568 (1995).

124.P.A. Stolk, H.-J. Gossmann, D.J. Eaglesham, D.C. Jacobson, H.S. Luftman, and J.M. Poate,presented at MRS Fall Meeting 1994, Symposia A, Proc. Vol. 354.

125.P.A. Stolk, H.-J. Gossmann, D.J. Eaglesham, and J.M. Poate in Proceedings of IIT 94 (Catania),Nucl. Instrum. Methods B96, 187 (1995)

126.M. Law, “Two Dimensional Numerical Simulation of Impurity Diffusion in Silicon”, Ph.D.dissertation, Department of Electrical Engineering, Stanford University, 1988.

127.D. Roth, R. Huang, J. Plummer, and R. Dutton, Appl. Phys. Lett., 62, 2498 (1993).

128.R. Huang and R. Dutton, J. Appl. Phys., 74, 5821 (1993)..

129.S.E. Hansen and M. Deal, “SUPREM-IV.GS: Two-Dimensional Process Simulation for Silicon andGallium Arsenide”, Integrated Circuits Laboratory, Stanford University (1993).

130.P. Packan, “Physical Modeling of Transient Diffusion Effects in Silicon Due to Surface Oxidationand Ion-Implantation”, Ph.D. dissertation, Department of Electrical Engineering, StanfordUniversity, Dec. 1990.

131.M. Giles, J. Electrochem. Soc., 138, 1160 (1991).

132.F. Lau, private communications.

133.G. Hobler and S. Selberherr, IEEE Trans. on CAD, 7, 174 (1988).

134.Park&Law, Point Defect based Modeling in Silcon University of Florida, J.A.P Oct. 1993-P.3431

135.S. Crowder, “Processing Physics in SOI Material”, PHD Disertation, Department of ElectricalEngineering, Stanford University (1995)

136.C.S. Rafferty, International Electron Devices Meeting, IEDM Technical Digest (1993), p.311.

137.L.H.Zhang, Appl Phys.Lett vol 67, p2025, (1995).

138.P.A.Stolk , Appl Phys.Lett. vol 66, p568.(1995).

139.H.S. Chao , Appl Phys.Lett.vol 79, p568.(1995).

140.S. Crowder, International Electron Devices Meeting, IEDM Technical Digest (1995), p.427

141.Simulation Standard (1996)

142.R. Smith (Ed.), “Atomic and Ion Collisions in Solids and at Surfaces”, Cambridge University Press(1997).

143.D.G. Ashworth, R. Oven and B. Mundin, J. Phys. D, Vol. 23, 1990, p.870.

Page 427: athena_users

The C Interpreter

SILVACO International BIB-7

144.K.B. Parab, D..H. Yang, S.J. Morris, S. Tian, A.F. Tasch, D. Kamenitsa, R. Simonton and C. Magee,“Analysis of Ultra-Shallow Doping Profiles Obtained by Low Energy Ion Implantation”, J. Vac. Sci,Technol., Vol. B14, No. 1 (1996)

145.A.F. Burenkov, A.G. Kurganov, G.G. Konoplyanik, “Two-Dimensional Local Ion ImplantationDistribution” (in Russian), Povekhnost (Surface Sciences), vol.8, p.52-58, 1989.

146.J. Lorenz, W. Kruger, A. Barthel “Simulation of the Lateral Spread of Implanted Ions: Theory”,NASECODE-VI, Ed. J.J.H.Miller, Boole Press (1989), p.513-520.

147.D.G. Ashworth, M.D.J. Bowyer, and R. Oven, J. Phys.D Vol. 24 (1991), p.1120.

148.G. Hobler, E. Langer, and S. Selberherr, “Two-Dimensional Modeling of Ion Implantation withSpatial Moments”, Solid-State Electronics, 30, 445 (1987), IEDM 91, p.693.

149.M. Temkin and I. Chakarov, “Computationally Effective Model for 2D Ion ImplantationSimulation”, to be published.

150.G. Hobler and H. Potsl, IEDM 91, p.693.

151.P.B. Griffin and J.D. Plummer, ‘‘Process Physics Determining 2-D Impurity Profiles in VLSIDevices’’, International Electron Devices Meeting, p.522, Los Angeles, Dec. 1986.

152.B.R. Penumalli, ‘‘A Comprehensive Two-Dimensional VLSI Process Simulation Program, BICEPS’’,IEEE Trans. on Electron Dev., vol. ED-30(9), p.986, Sept. 1983.

153.W. Fichtner, (ed. S.M. Sze), ‘‘Process Simulation’’, VLSI Technology, McGraw-Hill, p.422, 1988.

154.R.B. Fair, (ed. F.F.Y. Wang), ‘‘Concentration Profiles of Diffused Dopants in Silicon’’, ImpurityDoping Process in Silicon, North Holland, New York, 1981

155.P.M. Fahey, ‘‘Point Defects and Dopant Diffusion in Silicon’’, PhD Thesis, Integrated CircuitsLaboratory, Department of Electrical Engineering, Stanford University, June 1985.

156.D. Mathiot and J.C. Pfister, ‘‘Dopant Diffusion in Silicon, A Consistent View involvingNonequilibrium Defects’’, J. of Appl. Phys., vol. 55, No. 10, p.3518, May, 1984.

157.W. Shockley and J.T. Last, ‘‘Statistics of the Charged Distribution for a Localized Flow in aSemiconductor’’, Phys. Rev., vol.107, No. 2, p.392, July, 1957.

158.M.D. Giles, ‘‘Defect Coupled Diffusion at High Concentrations’’, IEEE Trans. on CAD, vol.8, No. 5,p.160, 1989.

159.G.D. Watkins, (ed. F.A. Huntley), ‘‘EPR Studies of the Lattice Vacancy and Low TemperatureDamage Processes in Silicon’’, Lattice Defects in Semiconductors, 1974, Inst. Phys. Conf. Ser.23,London, 1975.

160.S.M. Hu, ‘‘On Interstitial and Vacancy Concentration in Presence of Injection’’, J. Appl. Phys.,vol.57, p.1069, 1985

161.M.E. Law and R.W. Dutton, ‘‘Verification of Analytic Point Defect Models using SUPREM-IV’’,IEEE Trans. on CAD, vol.7, No.2, p. 181, Feb. 1988.

162.M.E. Law, ‘‘Two Dimensional Numerical Simulation of Dopant Diffusion in Silicon’’, PhD Thesis,Department of Electrical Engineering, Stanford University, Jan. 1988.

163.S. Crowder, ‘‘Processing Physics in SOI Material’’, PhD Dissertation, Department of ElectricalEngineering, Stanford University, 1995

164.H. Park and M.E. Law, “Point Defect based Modeling of low Dose Silicon Implant Damage andOxidation Effects on Phosphorous and Boron Diffusion in Silicon”, J. Appl. Phys., vol 72(8), p. 3431,Oct. 1992.

Page 428: athena_users

ATHENA User’s Manual

BIB-8 SILVACO International

165.M.D.J. Bowyer, D.G. Ashworth, R. Owen, J. Phys. D: Appl.Phys, Vol.29, p.1274 (1996)

166.R.Oven, D.G.Ashworth, and M.D.J. Bowyer, J. Phys. D: Appl. Phys. vol.25, p.1235 (1992).

167.G. Hobler, E. Langer, and S. Selberherr, Solid-State Electron. Vol.30, p.445 (1987).

168.O. B. Firsov, Zh. Eksperim. Teor. Fyz. Vol. 33, p. 696, 1957

169.UT-Marlowe Version 4.1 User manual, University of Texas, Austin, USA

170.O. B. Firsov, Zh. Eksp. Teor. Fiz.},vol. 36, p. 1517(1959).

171.W. Brandt and M. Kitagawa, Phys. Rev. B, Vol.25,p. 5631 (1982).

172.F. L. Vook, Defects in Semiconductors - invited paper, 60(1972)

173.J. F. Ziegler, J. P. Biersack, U. Littmark, the stopping and range of ions in solids, Vol.1 (1985),Pergamon Press

174.B.E. Deal and A. S. Grove, “General Relationship for the Thermal Oxidation of Silicon,” Journal ofApplied Physics, Vol. 36, No. 12, pp 3770-3778, 1965.

175.B.E. Deal, “Thermal Oxidation Kineticss of Silicon in Pyrogenic H2O and 5% HC1/H2O Mixtures,”Journal of the Electrochemical Society, Vol. 125, No. 4, pp. 735-739, 1977.

176.D. W. Hess and B. E. Deal, “Kinetics of the Thermal Oxidation of Silicon in O2/HC1 Mixtures,”Journal of the Electrochemical Society, Vol. 124, No. 5, pp. 735-739, 1977.

177.R. R. Razouk, L. N. Lie, and B. E. Deal, “Kinetics of High Pressure Oxidation of Silicon in PyrogenicSteam,” Journal of the Electrochemical Society, Vol. 128, No. 10, pp. 2214-2220, 1981.

178.C. P. Ho and J. D. Plummer, “Si/SiO2,Interface Oxidation Kinetics: A Physical Model for theInfluence of High Substrate Doping Levels, I. Theory,” Journal of the Electrochemical Society, Vol.126, No. 9, pp. 1516-1522, 1979.

179.C. P. Ho and J. D. Plummer, “Si/SiO2,Interface Oxidation Kinetics: A Physical Model for theInfluence of High Substrate Doping Levels, II. Comparison with Experiment and Discussion,”Journal of the Electrochemical Society, Vol. 126, No. 9, pp. 1523-1530, 1979.

180.C. P. Ho, J. D. Plummer, J. D. Meindl, and B. E. Deal, “Thermal Oxidation of Heavily Phosphorous-Doped Silicon,” Journal of the Electrochemical Society, Vol. 125, No.4, pp. 665-671, 1978.

181.B. E. Deal and M. Sklar, “Thermal Oxidation of Heavily Doped Silicon,” Journal of theELectrochemical Society, Vol. 112, No. 4, pp. 430-435, 1965.

182.E. A. Irene and D. W. Dong., “Silicon Oxidation Studies: The Oxidation of Heavily B- and P-DopedSingle Crystal Silicon,” Journal of the Electrochemical Society, Vol. 125, No. 7, pp. 1146-1151, 1978.

183.S. M. Sze, VLSI Technology, Chapter 3, McGraw-Hill, New York, 1988.

184.W. Shockley and J. L. Moll, “Solubility of Flaws in Heavily-Doped Semiconductors,” I, Vol. 119, No.5, pp. 1480-1482, 1960.

185.J. A. Van Vechten and C. D. Thurmond, “Entropy of Ionization and Temperature Variation ofIonization Levels of Defects in Semiconductors,” Physical Review B, Vol. 14, No. 8, pp. 3539-3350,1976.

186.L. N. Lie, R. R. Razouk, and B. E. Deal, “High Pressure Oxidation of Silicon and Dry Oxygen,”Journal of the Electrochemical Society, Vol. 129, p. 2828, 1982.

187.N. Guillemot, G. Pananakakis, and P. Chenevier, “A New Analytical Model of the ‘Bird’s Beak’,”IEEE Transactions on Electron Devices, Vol. 34, No. 5, pp. 1033-1038, 1987.

188.I. R. Chakarov and R. P. Webb, Radiation Effects and Defects in Solids, Vol. 130-131, pp. 447(1994)].

Page 429: athena_users

SILVACO International Index-1

Index

Numerics1D Mode ...........................................................................................2-92

AAdaptive Meshing .............................................................................2-80

Analytical Oxidation Models .............................................................3-48athenaimp ...........................................................................................2-4athenamod .........................................................................................2-4

athenares ...........................................................................................2-4ATLAS............................................................................................ 7-125

BBake .................................................................................................2-78BCA ........................................................................................ 2-35, 3-65Bipolar ..............................................................................................2-47

Boltzmann ..........................................................................................3-2

CChlorine

Chlorine.........................................................................................3-42Chlorine Dependence ...................................................................3-47

C-Interpreter .....................................................................................4-17

CLUSTER cluster ................................................................................ 2-30, 7-74CLUSTER Damage Model ................................................. 3-73, 3-74Cluster Model ...............................................................................3-73

Clustering Model ..............................................................................3-18CMP ............................................................................ 4-19, 7-93, 7-109

CNET...................................................................................... 2-30, 3-21CNET models............................................................................... 7-78

Coarse Grid Mode .............................................................................2-92COMPRESS ........................................................................... 2-40, 7-74

Compress Model...........................................................................3-35Conformal ...........................................................................................4-3Conical ...............................................................................................4-8

continuity equation .............................................................................3-4CVD ....................................................................................................4-3cylindrical ..........................................................................................2-92

DDamage Accumulation Model ..................................................3-69, C-1

Deactivation ......................................................................................3-18DeckBuild ................................................2-1, 2-90,7-117, 7-127, 7-128Deposition .............................................................. 2-14, 2-56, 3-74, 4-2

Development ............................................................................ 2-79, 5-9Diffusion .................................................................. 2-36, 2-45, 3-1, 6-1

Dislocation Loop Dislocation Loops Model............................................ 3-17, 3-73, 3-74

Dopant Loss .....................................................................................3-49Doping ..............................................................................................3-43

DOSE.MATCH .................................................................................3-59Dual Pearson Implant Model ............................................................3-57

EElectrodes ........................................................................................2-24ELITE ................................................................ 2-54, 7-101, 7-105, C-3

EpitaxyEpitaxy .........................................................................................2-40Epitaxy Models .............................................................................3-75

Etch ........................................................................................ 2-18, 2-58Etching Models ....................................................................... 3-75, 4-10

Examples ............................................................................................2-1Exposure ............................................................................................5-7

FFERMI ........................................................................... 2-30, 2-40, 7-74

Fermi diffusion ...............................................................................3-1FERMI Model ..................................................................................3-5

Free Point Defect Damage................................................................3-72

FULL.CPL ................................................................2-30, 2-40, C-1, C-7FULL.CPL CLUSTER ................................................................... C-9Fully Coupled Model .......................................................... 3-14, 7-74

GGaAs Diffusion ................................................................................... 6-2Gaussian Implant Model ...................................................................3-55Geometrical Mode ............................................................................2-92

Grain Growth ....................................................................................3-31Grid Control ............................................................................ 2-17, 7-77

HHard Polish Model ............................................................................4-19Hemispheric .......................................................................................4-5High Concentration Fully-Coupled Model......................................... 3-16

IIllumination .......................................................................................2-71

Imaging ..................................................................................... 2-74, 5-1implant ...........................................................2-33, 2-45, 3-55, 6-3, 7-81Implant Parameter Specification ............................................ 3-59, 3-64

Implantation Geometry ......................................................................3-70Initialize .............................................................................................2-11Interface Mesh ..................................................................................2-84

Page 430: athena_users

ATHENA User’s Manual

SILVACO International Index-2

Interstitial clusters ............................................................................3-11Interstitials ..........................................................................................3-9Ion Implantation Damage .................................................................3-72

Isotropic Etch Model .........................................................................4-10

MMaskViews ..............................................................................2-60, 2-69

MATERIAL .......................................................................................2-29MAX.SCALE .....................................................................................3-60Mesh Define .......................................................................................2-7

METHOD .................................................................................2-29, 7-73Mirror ................................................................................................2-24Mixed Ambient Oxidation .................................................................3-47

MOM.SCALE ....................................................................................3-60MOMENTS Statement ......................................................................7-81

moments ..................................................................................... C-11

Monte CarloMonte Carlo Etching Model .........................................................4-13Monte Carlo Implant Models ............................... 3-65, 3-66, 3-67, 3-68, 3-69, 4-9, C-11

MOSFET .......................................................................................... 2-42

Multi-Material Scaling Technique .....................................................3-61

Nnative oxide ......................................................................................2-93

nd FULL. ..........................................................................................7-74Nuclear Scattering ....................................... 3-65, 3-66, 3-67, 3-68, 3-69Numerical Implementation ......................................... 4 3-70, 3-71, 3-72

Numerics ..........................................................................................7-76

OOnline Help ........................................................................................2-3

OPTICAL ...................................................................................5-6, 7-84OPTOLITH .......................................................................................2-68Orientation ........................................................................................3-40

Oxidation .............................................................. 2-29, 2-39, 2-43, 2-93Oxidation Models ................................................................3-33, 7-74

Oxidation Enhanced Diffusion (OED) / OxidationRetarded Diffusion (ORD) ............................................................3-50

OXIDE ..............................................................................................7-86

PPearson distribution parameters .......................................................3-56

Pearson Implant Model ................................................................3-55

Photoresist Bake .................................................................................5-9Planetary ............................................................................................4-6PLASMA ................................................................................4-12, 7-105

Plus One Model ................................................................................3-72POLISH ............................................................................................7-93Polysilicon Diffusion ...................................................... 3-30, 7-74, B-11

Power Diffusion ................................................................................3-16

Pressure ..................................................................................3-41, 3-41PRINT.1D .........................................................................................7-94PROFILE ..........................................................................................7-97

Projection ................................................................................2-73, 7-99PUPIL.FILTER ...............................................................................7-100

RRATE.DEPO ..................................................................................7-101RATE.DEVELOP ............................................................................7-103RATE.DOPE ..................................................................................7-105

RATE.ETCH ...................................................................................7-106RATE.POLISH ...............................................................................7-109Rectangular Grid .......................................................................2-5, 2-60

redeposition ......................................................................................4-13REFLOW Model ....................................................................... 4-18, C-5Relax .....................................................................................2-20, 7-113

RIE Model ........................................................................................4-11RP.SCALE ........................................................................................3-60RSCE ....................................................................................... 2-43, C-7

RTA.................................................................................................. 2-38

SS.OXIDE ...........................................................................................3-59

Segregation Model ......................................................................3-5, 3-7Silicidation Model ..............................2-94, 2-95, 3-53, 7-119, B-9, C-11SIMS-Verified Dual Pearson (SVDP) Model ............................2-35, 3-58

Soft Polish Model .............................................................................4-20Steady State Diffusion Model ...........................................................3-16STRESS .........................................................................................7-121

STRETCH .............................................................................2-52, 7-122String Algorithm ..................................................................................4-1STRIP .............................................................................................7-124

Structure File ...........................................................................2-27, 2-77STRUCTURE .............................................................................7-125

SYSTEM ........................................................................................7-127

TThin Oxides ......................................................................................3-48

Time Step Control ............................................................................3-19Time Step ....................................................................................7-75

TONYPLOT ....................................................................................7-128TRAP ..............................................................................................7-129Two Dimensional Implant Profiles ....................................................3-61

TWO.DIM ....................................................... 2-30, 2-40, 3-8, 7-74, C-1

VVacancies .........................................................................................3-13Viscous Model....................................................... 2-40, 2-93, 3-37, 7-74