ccs7 overview

102
 CCS7 (VC) rev0  1

Upload: somsankar-chakraborty

Post on 22-Jul-2015

25 views

Category:

Documents


0 download

TRANSCRIPT

CCS7 (VC) rev0

1

This module of learning program gives an idea about Common Channel Signaling System #7. Pre-requisite to this training module is basic knowledge of telecommunication. Concept about signaling, its architecture etc. is discussed in this module.

CCS7 (VC) rev0

2

Signaling is the control information exchange among the network elements.

CCS7 (VC) rev0

3

A Digital Telecom Network consists of a Network of Bearer Channels (Muscles) and an overlaying Network of Signaling channels (Nerves).

CCS7 (VC) rev0

4

This signaling is message based signaling. It is widely used signaling in circuit switched network. Signaling is separated from speech. It can now travel on separate network.

CCS7 (VC) rev0

5

The signaling system meets requirements of call control signaling for telecommunication services such as the telephone, ISDN and circuit switched data transmission services. It can also be used as a reliable transport system for other types of information transfer between exchanges and specialized centres in telecommunications networks (e.g. for management and maintenance purposes).

CCS7 (VC) rev0

6

Common Channel Signaling is treated as a common resource in an exchange, as it is shared among many speech channels. Being a pure message based signaling, it is very fast. Reliable transportation of signaling message is very essential and Message Transfer Part of CCS takes care of this aspect of reliability. Message based, layered structure makes CCS very flexible. Signaling resources are processor intensive and are limited in number in an exchange.

CCS7 (VC) rev0

7

Signaling point codes can be 14bits or 24 bits long. Formats for its representation will depends on signaling network where it is used.

CCS7 (VC) rev0

8

International format for a signaling point.

CCS7 (VC) rev0

9

STP can be used as a gateway to other signaling network.

CCS7 (VC) rev0

10

Signaling points are connected with signaling data links.

CCS7 (VC) rev0

11

E.g. Signaling relation exist between SP1 and SP4, though no direct physical connectivity.

CCS7 (VC) rev0

12

Associated mode

Signaling points are directly connected.Non-associated mode Two signaling points are not directly connected. Quasi associated Its a limited case of non-associated mode. In this mode, sequenced delivery of messages are ensured by sending messages on same path.

CCS7 (VC) rev0

13

OPC

Origination point code, where a signaling message is generated.DPC Destination point code, where signaling message is destined. The signaling system may be used with different types of signaling network structures. The choice between different types of signaling network structures may be influenced by factors such as the structure of the telecommunication network to be served by the signaling system and administrative aspects.

CCS7 (VC) rev0

14

The pre-determined path, taken by a message through the signaling network between the origination point and the destination point is the signaling route. Depending on the signaling routes deployed, signaling network structure may be an associated or quasi associated one. Signaling route set refers to all routes to a destination for a signaling relation.

CCS7 (VC) rev0

15

The Message Transfer Part (MTP) is divided into three levels. The lowest level, MTP Level 1, is equivalent to the OSI Physical Layer. MTP Level 1 defines the physical, electrical, and functional characteristics of the digital signaling link. MTP Level 2 ensures accurate end-to-end transmission of a message across a signaling link. Level 2 implements flow control, message sequence validation, and error checking. When an error occurs on a signaling link, the message (or set of messages) is retransmitted. MTP Level 2 is equivalent to the OSI Data Link Layer. MTP Level 3 provides message routing between signaling points in the SS7 network. MTP Level 3 re-routes traffic away from failed links and signaling points and controls traffic when congestion occurs. MTP Level 3 is equivalent to the OSI Network Layer. SCCP provides connectionless and connection-oriented network services and global title translation (GTT) capabilities above MTP Level3.

CCS7 (VC) rev0

16

Message transfer part is doing the job of a post office. Getting messages in form of letters from applications sitting above and transmitting to correct destination.

CCS7 (VC) rev0

17

Message transfer part has three layers to do message delivery functions. Its main functions are: 1. Message delivery at required destination. 2. To overcome system and network failures or malfunctions. the functions of the Message Transfer Part are separated into three functional levels; and the User Parts constitute parallel elements at the fourth functional level.

CCS7 (VC) rev0

18

MTP1 describes the physical, electrical and functional quality of the signaling data link. It uses normal 64 Kbits/sec timeslots to carry signals.

CCS7 (VC) rev0

19

MTP2 does data link function, very similar to OSI layer 2 functions. Main functions are listed in this and subsequent slides. It is further elaborated in subsequent slides.

CCS7 (VC) rev0

20

Above figure shows interactions of the functional blocks for signaling link control.

The signaling link functions, together with a signaling data link as bearer, provide a signaling link for reliable transfer of signaling messages between two directly connected signaling points.The signaling link functions comprise: a) signal unit delimitation; b) signal unit alignment; c) error detection; d) error correction; e) initial alignment; f) signaling link error monitoring; g) flow control. All these functions are coordinated by the link state control.

CCS7 (VC) rev0

21

BSN Backward sequence number. Used to acknowledge message signal units which have been received from the remote end of the signaling link. BIB Backward indicator bit. The forward and backward indicator bit together with forward and backward sequence number are used in the basic error control method to perform the signal unit sequence control and acknowledgment functions. FSN Forward sequence number. FIB Forward indicator bit. LI Length indicator. This indicates the number of octets following the length indicator octet. SIO Service information octet. SIF Signaling information field. CRC Cyclic redundancy check. Every signal unit has 16 check bits for error detection. CCS7 (VC) rev0

22

Signal unit delimitation The beginning and end of a signal unit are indicated by a unique 8-bit pattern, called the flag. Measures are taken to ensure that the pattern cannot be imitated elsewhere in the unit. Signal unit alignment Before signal terminals can send and receive messages, signal alignment is done. It ensures the correct signal reception on a serial link. Loss of alignment occurs when a bit pattern disallowed by the delimitation procedure (more than six consecutive 1 s) is received, or when a certain maximum length of signal unit is exceeded.

CCS7 (VC) rev0

23

The error detection function is performed by means of 16 check bits provided at the end of each signal unit. The check bits are generated by the transmitting signaling link terminal by operating on the preceding bits of the signal unit following a specified algorithm. At the receiving signaling link terminal, the received check bits are operated on using specified rules which correspond to that algorithm.

CCS7 (VC) rev0

24

Two forms of error correction are provided, the basic method and the preventive cyclic retransmission method.

CCS7 (VC) rev0

25

The basic method is a non-compelled, positive/negative acknowledgement, retransmission error correction system. A signal unit which has been transmitted is retained at the transmitting signaling link terminal until a positive acknowledgement for that signal unit is received. If a negative acknowledgement is received, then the transmission of new signal units is interrupted and those signal units which have been transmitted but not yet positively acknowledged starting with that indicated by the negative acknowledgement will be retransmitted once, in the order in which they were first transmitted.

CCS7 (VC) rev0

26

Above example shows basic error correction method. Acknowledgements are sent in BSN in return direction. BSN carry the accepted signal number.

CCS7 (VC) rev0

27

Message are asked for retransmission by using BIB and FIB.

CCS7 (VC) rev0

28

The preventive cyclic retransmission method is a non-compelled, positive acknowledgement, cyclic retransmission, forward error correction system. A signal unit which has been transmitted is retained at the transmitting signaling link terminal until a positive acknowledgement for that signal unit is received. During the period when there are no new signal units to be transmitted, all the signal units which have not yet been positively acknowledged are retransmitted cyclically.

CCS7 (VC) rev0

29

The procedure is applicable to activation and to restoration of the link. It is appropriate to both first time initialization (e.g. after "switch-on") and alignment in association with restoration after a link failure. The procedure provides a "normal" proving period for "normal" initial alignment and an "emergency" proving period for "emergency" initial alignment. The alignment procedure passes through a number of states during the initial alignment: State Idle: The procedure is suspended. State "not aligned": The signaling link is not aligned and the terminal is sending status indication "O". Time-out T2 is started on entry to State and stopped when State is left . State "aligned": The signaling link is aligned and the terminal is sending status indication "N" or "E", status indications "N", "E" or "OS" are not received. Time-out T33 is started on entry to State and stopped when State is left. State "proving": The signaling link terminal is sending status indication "N" or "E", status indication "O" or "OS" are not received, proving has been started. Proving is the means by which the signaling link terminal validates the link's ability to carry signal units correctly by inspecting the signal units. Proving must last for a period of T4 before the link can enter the aligned ready link state. Expiry of timer T4 indicates a successful proving period unless the proving period has been previously aborted up to four times. Following successful alignment and proving procedure, the signaling terminal enters Aligned Ready state and the aligned ready time-out T1 is stopped on entry in the In-service state and the duration of time-out T1 should be chosen such that the remote end can perform four additional proving attempts.

CCS7 (VC) rev0

30

Two signaling link error rate monitor functions are provided: one which is employed whilst a signaling link is in service and which provides one of the criteria for taking the link out of service, and one which is employed whilst a link is in the proving state of the initial alignment procedure.

CCS7 (VC) rev0

31

The procedure is used to handle a level 2 congestion situation. After the congestion is detected at the receiving end of the signaling link, both positive and negative acknowledgements to message units are withheld and a status indication "B" (Busy) is sent from the receiving end of the link to the remote end in order to enable the remote transmitting end to distinguish between congestion and failure situations. C 0 0 0 B 0 0 1 A 0 Status indication "O" 1 Status indication "N" 0 Status indication "E"

01 1

10 0

1 Status indication "OS"0 Status indication "PO" 1 Status indication "B"

CCS7 (VC) rev0

32

This is MTP layer 3 function. Main functions of the signaling network functions can be divided into two basic categories, namely: signaling message handling; and signaling network management.

CCS7 (VC) rev0

33

The purpose of the signaling message handling functions is to ensure that the signaling messages originated by a particular User Part at a signaling point (originating point) are delivered to the same User Part at the destination point indicated by the sending User Part. The message routing function, used at each signaling point to determine the outgoing signaling link on which a message has to be sent towards its destination point. The message discrimination function, used at a signaling point to determine whether or not a received message is destined to the point itself. The message distribution function, used at each signaling point to deliver the received messages (destined to the point itself) to the appropriate User Part.

CCS7 (VC) rev0

34

The message routing function is based on information contained in the routing label, namely on the destination point code and on the signalling link selection field.

CCS7 (VC) rev0

35

Each signaling point will have routing information that allows it to determine the signaling link over which a message has to be sent on the basis of the destination point code and signaling link selection field and, in some cases, of the network indicator. Two basic cases of load sharing are defined, namely: a) load sharing between links belonging to the same link set; b) load sharing between links not belonging to the same link set.

CCS7 (VC) rev0

36

The sub-service field contains the network indicator (e.g., national or international) and the message priority (0..3 with 3 being the highest priority). Message priority is considered only under congestion conditions, not to control the order in which messages are transmitted. Low priority messages may be discarded during periods of congestion. Signaling link test messages receive a higher priority than call setup messages.

CCS7 (VC) rev0

37

National and international networks are connected through signaling gateways. A gateway will have signaling point codes per signaling network connected to it.

CCS7 (VC) rev0

38

MTP layer uses this information for message distribution to correct User function.

CCS7 (VC) rev0

39

The signaling network management functions provide the actions and procedures required to maintain signaling service, and to restore normal signaling conditions in the event of disruption in the signaling network, either in signaling links or at signaling points.

CCS7 (VC) rev0

40

The signaling network management functions provide the actions and procedures required to maintain signaling service, and to restore normal signaling conditions in the event of disruption in the signaling network, either in signaling links or at signaling points.

CCS7 (VC) rev0

43

A changeover action will be performed when a link goes out of service. An other link has to take over as quickly as possible without information loss or miss-sequencing.

CCS7 (VC) rev0

44

Buffers of the failing link is protected, so that no message is lost due to failing link.

CCS7 (VC) rev0

45

When the unavailable link is restored back into service, a change back procedure will guarantee that the information is restored to the original link, as quickly as possible, without loss, duplication or misssequencing.

CCS7 (VC) rev0

46

CCS7 (VC) rev0

47

The signaling link management function is used to restore failed signaling links, to activate idle (not yet aligned) links and to deactivate aligned signaling links.

CCS7 (VC) rev0

48

Signaling Data link Connection Order (DLC) message is generated to reallocate a link. This message is sent to ASP.

CCS7 (VC) rev0

49

CSS, CNS, CNP are the acknowledge messages for DLC.

CCS7 (VC) rev0

50

The signaling route management function is used to distribute information about the signaling network status, in order to block or unblock signaling routes

CCS7 (VC) rev0

51

Prohibiting node to send message towards a destination, which may not be available.

CCS7 (VC) rev0

52

SCCP (Signaling connection control part) is defined well within layer 3. MTP3-1 and SCCP makes NSP (Network service part).

CCS7 (VC) rev0

53

The Signaling Connection Control Part (SCCP) provides additional functions to the Message Transfer Part (MTP) to cater for both connectionless as well as connection-oriented network services to transfer circuit related and non-circuit related signaling information and other types of information between exchanges and specialized centers in telecommunication networks (e.g. for management and maintenance purposes) via a Signaling System No. 7 network.

CCS7 (VC) rev0

54

SCCP is used to increase the functionality of MTP by adding a number of extra features. Only the users which make use of these supplementary features will communicate through SCCP, others will communicate directly with MTP.

CCS7 (VC) rev0

55

Exchange of information between two peers of the SCCP is performed by means of a protocol. The protocol is a set of rules and formats by which the control information (and user data) is exchanged between the two peers. The protocol caters for: the setup of logical signaling connection; the release of logical signaling connections;

the transfer of data with or without logical signaling connections.

CCS7 (VC) rev0

56

The message type code consists of a one octet field and is mandatory for all messages. The message type code uniquely defines the function and format of each SCCP message. Mandatory fixed part Those parameters that are mandatory and of fixed length for a particular message type will be contained in the "mandatory fixed part". The position, length and order of the parameters is uniquely defined by the message type. Thus the names of the parameters and the length indicators are not included in the message. Mandatory variable part Mandatory parameters of variable length will be included in the mandatory variable part. The name of each parameter and the order in which the pointers are sent is implicit in the message type Optional part The optional part consists of a contiguous block of parameters that may or may not occur in any particular message type. The optional part may start after the pointer or after the mandatory variable part. Both fixed length and variable length parameters may be included. Optional parameters may be transmitted in any order. Each optional parameter will include the parameter name (one octet) and the length indicator (one octet) followed by the parameter contents.CCS7 (VC) rev0 57

An SCCP message consists of the following parts: the message type code; the mandatory fixed part; the mandatory variable part; the optional part, which may contain fixed length and variable length fields.

CCS7 (VC) rev0

58

CCS7 (VC) rev0

59

CCS7 (VC) rev0

60

MTP's routing capability is limited to destinations in the own country or in the international network, since point codes are private within one network. In addition to the MTP capability, SCCP will provide a routing function, which maps a called address (Global Title) to signaling point codes of the MTP service.

CCS7 (VC) rev0

61

Global translation tables are used by SCCP to map GT to DPC.

CCS7 (VC) rev0

62

Bit 1 & 2 indicates presence of PC & SSN in address.

Bit 7 decides whether routing is based on SSN (bit7=1) or GT (bit7=0).

CCS7 (VC) rev0

63

CCS7 (VC) rev0

64

CCS7 (VC) rev0

65

CCS7 (VC) rev0

66

CCS7 (VC) rev0

67

CCS7 (VC) rev0

68

Bits 8 7 6 5 4 3 2 1 00000000 00000001 00000010 00000011 00000100 00000101 00000110 00000111 00001000 00001001 00001010 00001011 00001100 00001101 00001110 00001111 to 00011111 00100000 to 11111110 11111111

SSN not known/not used SCCP management reserved for ITU-T allocation ISDN user part OMAP (Operation, Maintenance and Administration Part) MAP (Mobile Application Part) HLR (Home Location Register) VLR (Visitor Location Register) MSC (Mobile Switching Centre) EIC (Equipment Identifier Centre) AUC (Authentication Centre) ISDN supplementary services reserved for international use broadband ISDN edge-to-edge applications TC test responder reserved for international use

reserved for national networks reserved for expansion of national and international SSN.

Sub system number are the users to SCCP.

CCS7 (VC) rev0

69

CCS7 (VC) rev0

70

CCS7 (VC) rev0

71

CCS7 (VC) rev0

72

CCS7 (VC) rev0

73

CCS7 (VC) rev0

74

CCS7 (VC) rev0

75

Connection establishment procedures provide the mechanism for establishing temporary signaling connections between users of the SCCP.

CCS7 (VC) rev0

76

CCS7 (VC) rev0

77

The data transfer service provides for an exchange of user data, called Network Service Data Units (NSDUs), in either direction or in both directions simultaneously on a signaling connection.

CCS7 (VC) rev0

78

CCS7 (VC) rev0

79

Connection release procedures provide the mechanism for disconnecting temporary signaling connections between users of the SCCP.

CCS7 (VC) rev0

80

CCS7 (VC) rev0

81

CCS7 (VC) rev0

82

TCAP is mainly for non-circuit related signaling. These message are destined for database entities as well as actual end office messages.

CCS7 (VC) rev0

83

TCAP has two sub-layers.

CCS7 (VC) rev0

84

The Component sub-layer deals with components, which are the application protocol data units, that convey remote operations and their responses. Components are also called "Primitives". The Transaction sub-layer that deals with the exchange of messages containing components.

CCS7 (VC) rev0

85

By means of these identifiers, each messages can be linked unambiguously to correct operation.

CCS7 (VC) rev0

86

CCS7 (VC) rev0

87

ISUP is a user part, which uses MTP for message transport function. It is used mainly for the set-up and tear-down of calls. They are the protocols used for message transfer associated with a voice connection.

CCS7 (VC) rev0

88

SI = 0101.

SIF.4 bytes routing label, used by layer 3. CIC. Message type code. Mandatory fixed/variable & optional parameters.

CCS7 (VC) rev0

89

CIC are identical number assigned between two nodes to identify circuits.

CCS7 (VC) rev0

90

This is an example of ISUP call setup messages.

CCS7 (VC) rev0

91

CCS7 (VC) rev0

92

CCS7 (VC) rev0

93

CCS7 (VC) rev0

94

CCS7 (VC) rev0

95

CCS7 (VC) rev0

96

CCS7 (VC) rev0

97

In en-bloc signaling, all address information goes in IAM.

CCS7 (VC) rev0

98

IAM and SAM carry called number information.

CCS7 (VC) rev0

99

CCS7 (VC) rev0

100

Steps showing ISUP message exchange in a call release.

CCS7 (VC) rev0

101

ISUP MSU

CCS7 (VC) rev0

102

CCS7 (VC) rev0

103