chapter10 verilog

62
Introduction to Introduction to Verilog Verilog

Upload: ahmed-barbary

Post on 17-Dec-2015

280 views

Category:

Documents


2 download

DESCRIPTION

Verilog

TRANSCRIPT

  • Introduction to Verilog

  • Synthesis and HDLs

  • Verilog: The Module

  • Continuous (Dataflow) Assignment

  • Gate Level Description

  • Procedural Assignment with always

  • Verilog Registers

  • Mix-and-Match Assignments

  • The case Statement

  • The Power of Verilog: n-bit Signals

  • The Power of Verilog: Integer Arithmetic

  • Dangers of Verilog: Incomplete Specification

  • Incomplete Specification Infers Latches

  • Avoiding Incomplete Specification

  • The Sequential always Block

  • Importance of the Sensitivity List

  • Simulation

  • Blocking vs. Nonblocking Assignments

  • Assignment Styles for Sequential Logic

  • Use Nonblocking for Sequential Logic

  • Simulation

  • Use Blocking for Combinational Logic

  • Dangers of Verilog : Priority Logic

  • Priority Logic

  • Avoiding (Unintended) Priority Logic

  • Interconnecting Modules

  • Module Definitions

  • Top-Level ALU Declaration

  • Simulation

  • More on Module Interconnection

  • Useful Boolean Operators

  • Testbenches (ModelSim)

  • Summary

  • Read morehttp://www.verilog.comhttp://www.vol.webnexus.com/

  • Advance Verilog

  • ParameterParameters are useful because they can be redefined on a module instance basis. That is, each different instance can have different parameter values. This is particularly useful for vector widths. For example, the following module implements a shifter: module shift (shiftOut, dataIn, shiftCount); parameter width = 4; output [width-1:0] shiftOut; input [width-1:0] dataIn; input [31:0] shiftCount; assign shiftOut = dataIn
  • Define Parameter ValueThere are two ways to change parameter values from their defaults, defparam statements and module instance parameter assignment.

    The defparam statement allows you to change a module instance parameter directly from another module. This is usually used as follows: shift sh1 (shiftedVal, inVal, 7); //instantiation defparam sh1.width = 16; // parameter redefinitionParameter values can be specified in the module instantiation directly. This is done as follows: shift #(16) sh1 (shiftedVal, inVal, 7); //instance of 16-bit shift module

  • Task and FunctionTasks and functions are declared within modules. The declaration may occur anywhere within the module, but it may not be nested within procedural blocks. The declaration does not have to precede the task or function invocation. Tasks may only be used in procedural blocks. A task invocation, or task enable as it is called in Verilog, is a statement by itself. It may not be used as an operand in an expression. Functions are used as operands in expressions. A function may be used in either a procedural block or a continuous assignment, or indeed, any place where an expression may appear.

  • TaskTasks may have zero or more arguments, and they may be input, output, or inout arguments.

    task do_read; input [15:0] addr; output [7:0] value; begin adbus_reg = addr; // put address out adbus_en = 1; // drive address bus @(posedge clk) ; // wait for the next clock while (~ack) @(posedge clk); // wait for ack value = data_bus; // take returned value adbus_en = 0; // turn off address bus count = count + 1; // how many have we done end endtask

  • FunctionIn contrast to tasks, no time or delay controls are allowed in a function. Function arguments are also restricted to inputs only. Output and inout arguments are not allowed. The output of a function is indicated by an assignment to the function name. For example, function [15:0] relocate; input [11:0] addr; input [3:0] relocation_factor; begin relocate = addr + (relocation_factor
  • System TaskSystem tasks are used just like tasks which have been defined with the task ... endtask construct. They are distinguished by their first character, which is always a "$". There are many system tasks, but the most common are: $display, $write, $strobe $monitor $readmemh and $readmemb $stop $finish

  • Example of System TaskThe $write system task is just like $display, except that it does not add a newline character to the output string.

    Example: $write ($time," array:"); for (i=0; i

  • System FunctionLikewise, system functions are used just like functions which have been defined with the function ... endfunction construct. Their first character is also always a "$". There are many system functions, with the most common being: $time ($stime) $random $bitstoreal

  • Example of System FunctionThe $time system function simply returns the current simulation time. Simulation time is a 64-bit unsigned quantity, and that is what $time is assumed to be when it is used in an expression. $stime (short time) is just like $time, except that it returns a 32-bit value of time. Example: $display ("The current time is %d", $time); $display ($time," now the value of x is %h", x);

  • Conversion Function$rtoi(real_value) Returns a signed integer, truncating the real value. $itor(int_val)Returns the integer converted to a real value. $realtobits(real_value) Returns a 64-bit vector with the bit representation of the real number. $bitstoreal(bit_value)Returns a real value obtained by interpreting the bit_value argument as an IEEE 754 floating point number. module driver (net_r); output net_r; real r; wire [64:1] net_r = $realtobits(r); endmodule module receiver (net_r); input net_r; wire [64:1] net_r; real r; always @(net_r) r = $bitstoreal(net_r); endmodule

  • XMRVerilog has a mechanism for globally referencing nets, registers, events, tasks, and functions called the cross-module reference, or XMR. This is in marked contrast to VHDL, which rejected the concept. Cross-module references, or hierarchical references as they are sometimes called, can take several different forms: References to a Different Scope within a Module References between Modules Downward Reference Upward Reference

  • Hierarchical ModuleThere is a static scope within each module definition with which one can locate any identifier. For example, in the following,

    module A; reg x; // 1 ... task B; reg x; // 2 begin ... begin: C reg x; // 3 ... end end endtask

    initial begin: D reg x; // 4 ... end endmodule

  • Reference to Scopes within Modulethere is a module, a task, and two named blocks. There are four distinct registers, each named x within its local scope.

  • Coding Styles

  • MemoryThe following are examples of memory declarations.reg [7:0] memdata[0:255];// 256 8-bit registersreg [8*6:1] strings[1:10];// 10 6-byte stringsreg membits [1023:0];// 1024 1-bit registersThe maximum size of a memory is implementation-dependent, but is at least 2^24 (16,777,216) elements.

  • Access to MemoryA memory element is accessed by means of a memory index operation. A memory index looks just like a bit-select: mem[index]

    Another limitation on memory access is that you can't take a bit-select or part-select of a memory element. Thus, if you want to get the 3rd bit out of the 10th element of a memory, you need to do it in two steps: reg [0:31] temp, mem[1:1024]; ... temp = mem[10]; bit = temp[3];

  • Finite State MachineThere are two common variations of state machines, Mealy and Moore machines. Mealy machines produce outputs based on both current state and input. Moore machines produce outputs based only on the current state. As you would expect, the Verilog representation of the two types is very similar. Typically, the clock is used to change the state based on the inputs which have been seen up to that point. It is often convenient to think of all the activity of the state machine as taking place on the clock edge: sample inputs compute next state compute outputs change state produce outputs

  • Finite State MachineFinite state machines are one of the common types of logic designed using Verilog. There are several ways to represent them: Implicit Explicit State machines always have inputs, a state variable or set of variables (sometimes called a state vector), and a clock. The clock does not have to be periodic, but there must be some strobe signal which indicates when the state transition decision should be made.

  • Implicit CodingAn implicit FSM is simply one whose state encoding is done by means of procedural code. In essence, the program counter is the current state variable.

  • Explicit CodingRepresenting FSMs explicitly is a better style than implicit coding, both because the code maps well to a state transition table and also because explicit representation is synthesizable.

  • Explicit CodingThe following is an example of using an always block for next state logic. This style is probably more common, but it is really no different than the first version.

  • PipelinePipelines, queues, and FIFOs are common logic structures which are all related, in the sense that data moves from one storage location to another synchronously, based on a strobe signal, usually a clock.

  • Pipeline Codingmodule pipeline (out, in, clock); output out; input in, clock; reg out, pipe[1:2]; always @(posedge clock) begin out = pipe[2]; pipe[2] = pipe[1]; pipe[1] = in; end endmodule

    This code works fine. The only potential problem is that out changes value on the clock edge, so whatever takes it as an input may get the wrong value.

  • Pipeline CodingA better version would be to use a non-blocking assign:

    always @(posedge clock) begin out

  • Pipe Stage as Separate ModuleIt is common to make a single pipe stage module and use it repetitively, as follows:

  • Combinational Logic in PipelineIt is more interesting if there is some combinational logic associated with each pipe stage. Suppose each stage has some logic represented by a function f1, f2, f3 which is applied to the input.

  • Race ConditionThe implication of all this is that you had better not write Verilog code which has a different result depending on the order of execution of simultaneous, unordered events. This is known generally as a race condition, and it occurs when one event samples a data value, another event changes the data value, and the two events are unordered with respect to each other.Example:always @(posedge clock) dff1 = f(x); always @(posedge clock) dff2 = dff1; This attempt at a pipeline doesn't work, because the value of dff2 may be either the old or the new value of dff1