chemical vapour deposition of coatings.pdf

114
Chemical vapour deposition of coatings K.L. Choy* Department of Materials, Imperial College of Science, Technology and Medicine, Prince Consort Road, London SW7 2BP, UK Received 1 October 2000; received in revised form 1 November 2001; accepted 1 December 2001 Abstract Chemical Vapour Deposition (CVD) of films and coatings involve the chemical reactions of gaseous reactants on or near the vicinity of a heated substrate surface. This atomistic deposition method can provide highly pure materials with structural control at atomic or nanometer scale level. Moreover, it can produce single layer, multilayer, composite, nanostructured, and functionally graded coating materials with well controlled dimension and unique structure at low processing temperatures. Furthermore, the unique feature of CVD over other deposition techniques such as the non-line-of-sight-deposition capability has allowed the coating of complex shape engineering components and the fabrication of nano-devices, carbon–carbon (C–C) composites, ceramic matrix composite (CMCs), free standing shape components. The versatility of CVD had led to rapid growth and it has become one of the main processing methods for the deposition of thin films and coatings for a wide range of applications, including semiconductors (e.g. Si, Ge, Si 1-x Ge x , III–V, II–VI) for microelectronics, optoelec- tronics, energy conversion devices; dielectrics (e.g. SiO 2 , AlN, Si 3 N 4 ) for microelectronics; refractory ceramic materials (e.g. SiC, TiN, TiB 2 , Al 2 O 3 , BN, MoSi 2 , ZrO 2 ) used for hard coatings, protection against corrosion, oxidation or as diffusion barriers; metallic films (e.g. W, Mo, Al, Au, Cu, Pt) for microelectronics and for protective coatings; fibre production (e.g. B and SiC monofilament fibres) and fibre coating. This contribution aims to provide a brief overview of CVD of films and coatings. The fundamental aspects of CVD including process principle, deposition mechanism, reaction chemistry, thermodynamics, kinetics and transport phenomena will be presented. In addition, the practical aspects of CVD such as the CVD sys- tem and apparatus used, CVD process parameters, process control techniques, range of films synthesized, characterisation and co-relationships of structures and properties will be pre- sented. The advantages and limitations of CVD will be discussed, and its applications will be briefly reviewed. The article will also review the development of CVD technologies based on different heating methods, and the type of precursor used which has led to different variants Progress in Materials Science 48 (2003) 57–170 www.elsevier.com/locate/pmatsci 0079-6425/03/$ - see front matter # 2003 Elsevier Science Ltd. All rights reserved. PII: S0079-6425(01)00009-3 * Tel.: +44-207-594-6750; fax: +44-207-594-6750. E-mail address: [email protected]

Upload: bathinsreenivas

Post on 13-Apr-2015

118 views

Category:

Documents


9 download

DESCRIPTION

chemical coating

TRANSCRIPT

Page 1: Chemical vapour deposition of coatings.pdf

Chemical vapour deposition of coatings

K.L. Choy*

Department of Materials, Imperial College of Science, Technology and Medicine,

Prince Consort Road, London SW7 2BP, UK

Received 1 October 2000; received in revised form 1 November 2001; accepted 1 December 2001

Abstract

Chemical Vapour Deposition (CVD) of films and coatings involve the chemical reactions ofgaseous reactants on or near the vicinity of a heated substrate surface. This atomistic deposition

method can provide highly pure materials with structural control at atomic or nanometerscale level. Moreover, it can produce single layer, multilayer, composite, nanostructured, andfunctionally graded coating materials with well controlled dimension and unique structure at

low processing temperatures. Furthermore, the unique feature of CVD over other depositiontechniques such as the non-line-of-sight-deposition capability has allowed the coating ofcomplex shape engineering components and the fabrication of nano-devices, carbon–carbon

(C–C) composites, ceramic matrix composite (CMCs), free standing shape components. Theversatility of CVD had led to rapid growth and it has become one of the main processingmethods for the deposition of thin films and coatings for a wide range of applications,including semiconductors (e.g. Si, Ge, Si1-xGex, III–V, II–VI) for microelectronics, optoelec-

tronics, energy conversion devices; dielectrics (e.g. SiO2, AlN, Si3N4) for microelectronics;refractory ceramic materials (e.g. SiC, TiN, TiB2, Al2O3, BN, MoSi2, ZrO2) used for hardcoatings, protection against corrosion, oxidation or as diffusion barriers; metallic films (e.g.

W, Mo, Al, Au, Cu, Pt) for microelectronics and for protective coatings; fibre production (e.g.B and SiC monofilament fibres) and fibre coating. This contribution aims to provide a briefoverview of CVD of films and coatings. The fundamental aspects of CVD including process

principle, deposition mechanism, reaction chemistry, thermodynamics, kinetics and transportphenomena will be presented. In addition, the practical aspects of CVD such as the CVD sys-tem and apparatus used, CVD process parameters, process control techniques, range of filmssynthesized, characterisation and co-relationships of structures and properties will be pre-

sented. The advantages and limitations of CVD will be discussed, and its applications will bebriefly reviewed. The article will also review the development of CVD technologies based ondifferent heating methods, and the type of precursor used which has led to different variants

Progress in Materials Science 48 (2003) 57–170

www.elsevier.com/locate/pmatsci

0079-6425/03/$ - see front matter # 2003 Elsevier Science Ltd. All rights reserved.

PI I : S0079-6425(01 )00009 -3

* Tel.: +44-207-594-6750; fax: +44-207-594-6750.

E-mail address: [email protected]

Page 2: Chemical vapour deposition of coatings.pdf

of CVD methods including thermally activated CVD, plasma enhanced CVD, photo-assisted

CVD, atomic layer epitaxy process, metalorganic assisted CVD. There are also variants suchas fluidised-bed CVD developed for coating powders; electrochemical vapour deposition fordepositing dense films onto porous substrates; chemical vapour infiltration for the fabrication

of C-C composites and CMCs through the deposition and densification of ceramic layers ontoporous fibre preforms. The emerging cost-effective CVD-based techniques such as electrostatic-aerosol assisted CVD and flame assisted CVD will be highlighted. The scientific and technolo-gical significance of these different variants of CVD will be discussed and compared with other

vapour processing techniques such as Physical Vapour Deposition. # 2003 Elsevier ScienceLtd. All rights reserved.

Contents

1. Definition ..........................................................................................................................60

2. Background .......................................................................................................................602.1. Nanostructured materials .........................................................................................62

2.2. Free standing shapes ................................................................................................622.3. Rapid prototyping ....................................................................................................63

3. Advantages and disadvantages of CVD............................................................................63

4. Thin film and coating applications....................................................................................64

5. CVD system ......................................................................................................................655.1. Chemical vapour precursor supply system ...............................................................665.2. CVD reactor .............................................................................................................67

5.3. The effluent gas handling system..............................................................................67

6. Process principles and deposition mechanism...................................................................70

7. Chemical precursors and reaction chemistry.....................................................................70

8. Thermodynamics, kinetics and mass transport phenomena..............................................72

8.1. Thermodynamics ......................................................................................................728.1.1. Reaction feasibility...................................................................................728.1.2. CVD phase diagram.................................................................................75

8.2. Kinetics.....................................................................................................................768.3. Mass transport phenomena ......................................................................................79

8.3.1. Fluid dynamics.........................................................................................79

8.4. Mass transport control .............................................................................................80

9. CVD process parameters...................................................................................................80

9.1. Coating uniformity ...................................................................................................819.2. Coating–substrate adhesion......................................................................................819.3. Uniformity of coating composition ..........................................................................82

58 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 3: Chemical vapour deposition of coatings.pdf

10.Process monitoring and measurement of the CVD process ..............................................82

10.1. Temperature .............................................................................................................8810.2. Chemical species .......................................................................................................8810.3. Fluid flow .................................................................................................................89

11.Process/microstructure/property relationships in CVD ....................................................8911.1. Epitaxial growth .......................................................................................................9011.2. Polycrystalline growth ..............................................................................................90

11.3. Whiskers ...................................................................................................................9111.4. Amorphous...............................................................................................................91

12.Variants of CVD methods.................................................................................................9412.1. Thermally activated chemical vapour deposition .....................................................94

12.1.1. Semiconductors, dielectric and metallic films for microelectronics,

optoelectronics or energy conversion devices...........................................9612.1.2. Ceramic protective coatings ...................................................................10012.1.3. Diffusion coating....................................................................................10312.1.4. Optical fibres .......................................................................................... 104

12.1.5. Ceramic monofilament fibres .................................................................10512.1.6. Fibre coating .......................................................................................... 107

12.2. Plasma enhanced chemical vapour deposition .......................................................110

12.2.1. Process principles ...................................................................................11012.2.2. Disadvantages and advantages of PECVD............................................11112.2.3. Examples of applications .......................................................................114

12.3. Photo-assisted chemical vapour deposition ............................................................11712.3.1. Photo-thermal ........................................................................................ 11812.3.2. Photolytic ............................................................................................... 118

12.3.3. Advantages............................................................................................. 11912.3.4. Applications ........................................................................................... 119

12.4. Atomic layer epitaxy process.................................................................................. 12112.5. Metalorganic chemical vapour deposition..............................................................122

12.5.1. Definition ............................................................................................... 12212.5.2. Process characteristics and advantages ..................................................12412.5.3. Limitations ............................................................................................. 125

12.5.4. Applications ........................................................................................... 12512.6. Pulsed injection metalorganic chemical vapour deposition ....................................125

12.6.1. Problems associated with the MOCVD method, especially for the

deposition of multicomponent oxides or multilayers .............................12512.6.2. Solutions to the problems using single source and special precursor

injection methods ...................................................................................12612.6.3. Pulsed injection MOCVD ......................................................................126

12.7. Aerosol assisted chemical vapour deposition .........................................................12812.7.1. Pyrosol ...................................................................................................13112.7.2. Electrostatic assisted vapour deposition.................................................133

12.8. Flame assisted chemical vapour deposition............................................................14012.8.1. Flame-assisted vapour deposition ..........................................................14212.8.2. Combustion chemical vapour deposition ...............................................143

12.9. Electrochemical vapour deposition.........................................................................14412.9.1. Process principle.....................................................................................145

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 59

Page 4: Chemical vapour deposition of coatings.pdf

1. Definition

Chemical Vapour Deposition (CVD) involves the dissociation and/or chemicalreactions of gaseous reactants in a activated (heat, light, plasma) environment, fol-lowed by the formation of a stable solid product. The deposition involves homo-geneous gas phase reactions, which occur in the gas phase, and/or heterogeneouschemical reactions which occur on/near the vicinity of a heated surface leading tothe formation of powders or films, respectively.Though CVD has been used to produce ultrafine powders, this review article is

mainly concerned with the CVD of films and coatings. Fig. 1 shows a schematicdiagram of CVD of coatings.

2. Background

CVD is a relatively mature technique. The formation of soot due to incompleteoxidation of firewood since prehistoric times is probably the oldest example ofdeposition using CVD. The industrial exploitation of CVD could be traced back to apatent literature by de Lodyguine in 1893 [1] who had deposited W onto carbonlamp filaments through the reduction of WCl6 by H2. Around this period, the CVDprocess was developed as an economically viable industrial process in the field ofextraction and pyrometallurgy for the production of high purity refractory metalssuch as Ti, Ni, Zr and Ta. For example:

12.9.2. Kinetics and growth...............................................................................146

12.9.3. Comparison of CVD and EVD processes ..............................................14712.9.4. Advantages............................................................................................. 14812.9.5. Technological status...............................................................................148

12.9.6. Other variants ........................................................................................ 14912.10. Chemical vapour infiltration ..................................................................14912.10.1. Applications ........................................................................................... 15012.10.2. Methodology.......................................................................................... 151

12.10.3. Various CVI processes ...........................................................................153

13.Comparison of various CVD methods............................................................................156

14.Comparison of CVD with other coating techniques .......................................................157

15.Conclusions and outlook ................................................................................................ 159

Acknowledgements...............................................................................................................160

References ............................................................................................................................160

60 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 5: Chemical vapour deposition of coatings.pdf

(a) The Van Arkel process TiI4 !1200 �C

Ti þ 2I2

(b) The Mond process Ni COð Þ4 !150 �C

Ni þ 4CO

However, it is only in the past 40 years that a considerable in-depth understandingof the process and the increasing applications of CVD have been made. CVD tech-nology subsequently took on new dimensions with emphasis on the deposition aspectsof the process. Such change of focus from extraction to deposition has made CVD animportant technique in coating technology, for producing functional semiconductingthin films, and coatings with improved surface properties such as protection againstwear, corrosion, oxidation, chemical reaction, thermal shock, and neutron adsorption.These coatings include III–V and II–VI materials, C, B, Si, borides, carbides,nitrides, oxides, silicides, and sulfides.In the early 1970s, CVD has attained significant success in the manufacturing of

electronic semiconductors and protective coatings for electronic circuits. This hassupported the subsequent rapid expansion of CVD technology into many otherareas of ceramic processing especially in advanced ceramics for the manufacturingof high temperature tool bits, turbine blades, ceramic fibres, fibre-reinforced com-posites and high efficiency solar cells. Today, CVD technology has found increasingimportance in the fields of aerospace, military, science and engineering. It is wellsuited for the preparation of many advanced products, including bulk materials aswell as composites, coatings and films. In short, the present applications of CVDtechnology can be separated into four main categories:

(a) extraction and pyrometallurgy,(b) electronic, and optoelectronic materials,(c) surface modification coatings,(d) ceramic fibres and Ceramic Matrix Composites (CMCs)

The CVD coating for electronic, optoelectronic, surface modification, ceramicfibre production and CMC applications will be discussed in detail in subsequent

Fig. 1. A schematic diagram of the CVD coating.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 61

Page 6: Chemical vapour deposition of coatings.pdf

sections. In addition, there is a wide scope to explore the potential applications ofCVD in the following emerging areas:

2.1. Nanostructured materials

Nanostructured materials refer to materials with dimensions less than 100 nm.These materials have potential applications in areas such as electronics, magnetism,optics, energy storage, electrochemistry and biomedical sciences. CVD of nanocrys-talline powders has been reviewed by Choy [2]. Nanostructured materials in theform of nanotubes (e.g. carbon nanotube) have been gaining considerable techno-logical interests because they are stronger yet lighter than steel. Durability as well ashigh conductivity has made them interesting materials for the fundamental physicalstudies of the intrinsic properties of quasi-one-dimensional solids and quantumconfinement effects. These materials are being explored for applications in advancedelectronic devices. CVD has been used to synthesise carbon nanotubes [3] and incombination with microfabrication techniques, have been used to synthesise orderedcarbon nanotube architectures on surfaces [4]. Large-scale synthesis of aligned carbonnanotubes has been achieved using a method based on chemical vapour depositioncatalysed by iron nanoparticles embedded in mesoporous silica [5]. Another exampleis the use of 1 nm thick carbon nanotubes as nano-gas detector. These nanotubescan detect tiny changes in an electrical current when only a small amount (20 ppm)of poisonous gas (e.g. nitrogen dioxide and ammonia) is present at room tempera-ture, which is more sensitive than commercially available devices that operate athigh temperatures (e.g. 500 �C) [6]. Carbon nanotubes have also being explored astips in atomic force microscopy for systematic studies of dry etching pattern transferin GaAs with scale sizes of <100 nm [7], and nanoprobes in scanning probe micro-scopy [8]. Nanotubes are capable of converting mechanical movements into electricalsignals which offer potential applications for creating high-frequency telephone lines tocarry voice and data and making on/off switches for nanoscaled computer chips [9].

2.2. Free standing shapes

Although CVD of free standing shapes (e.g. crucibles) of BN, B4C, Si3N4 andgraphite are in commercial production for use in molten metals, ceramic and glassprocessing [10], CVD has also been explored for producing other free-standingmaterials. For example, free standing polycrystalline diamond films of 20 mm dia-meter and 550 mm thick were deposited using DC-glow discharge chemical vapourdeposition at growth rates up to 30 mm/h. Such thick free standing diamond slabshave been explored for potential applications such as brazed tool tips, heat sinks,infrared windows [11] superhard tools [12].Free standing diamond fibres have been investigated for their suitability as

microheaters by passing electric current through the diamond fibres consisting of125 mm diameter tungsten wire coated by �35 mm thick CVD of diamond. Tungstenwire cored fibres were heated to 1000 �C in a vacuum without any visible change inthe fibres [13].

62 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 7: Chemical vapour deposition of coatings.pdf

CVD has also been used to fabricate micro-scale fibres and helical structures usinga combination of a linear three-axes micropositioning system and a rotating goni-ometer which provide many degrees of freedom (e.g. translation and rotation)[14,15]. The 3-D structures have been fabricated by moving the focal point of thelaser beam relative to the growth structure by rotation and translation of the sub-strate to initiate the chemical reaction and/or provide the substrate heating. Forexample, Westberg et al. [15] have used photo-assisted CVD (PACVD) to producethe silicon fibre substrate on which to grow a tungsten helix coil. This procedureresulted in the fabrication of a simple microsolenoid consisting of a tungsten helixcoil on a silicon fibre using PACVD.

2.3. Rapid prototyping

CVD has been explored for rapid prototyping of near net shape components [16–19]. For example, a continuous wave visible laser beams (514 nm, 488 nm) and thegaseous precursors of trimethylamine alane [AlH3.N(CH3)3] and oxygen have beenused to fabricate free-standing three-dimensional alumina that can be directly writ-ten under software control to potentially produce micro-objects such as micro-tweezers and micro-robots [18]. Duty et al. [19] have incorporated novel design fea-tures into a laser-jet CVD rapid prototyping system which is capable of fabricatingnet shape complex metal, ceramic, and metal/ceramic composite parts. This includethree modes of operation, a reagent gas jet delivery system, bimodal heating, a flex-ible interface between dual chambers, and on-line deposit dimensional control. Thisdesign enables direct fabrication of fibre-reinforced composites, functionally gradedmaterials, and nanolaminates.There are many publications on the subject of CVD that include books [20–25],

general review articles [26–30], journals [e.g. Advanced Materials—CVD Section]and conference proceedings sponsored by the Electrochemical Society (since 1960s),Materials Research Society (MRS) and the Euro CVD society.

3. Advantages and disadvantages of CVD

Although CVD is a complex chemical system, it has the following distinctiveadvantages:

(a) The capability of producing highly dense and pure materials.(b) Produces uniform films with good reproducibility and adhesion at reasonably

high deposition rates.(c) CVD is a non-line-of-sight process with good throwing power. Therefore, it

can be used to uniformly coat complex shaped components and deposit filmswith good conformal coverage. Such distinctive feature outweighs the PVDprocess.

(d) It has the ability to control crystal structure, surface morphology and orientationof the CVD products by controlling the CVD process parameters.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 63

Page 8: Chemical vapour deposition of coatings.pdf

(e) Deposition rate can be adjusted readily. Low deposition rate is favoured forthe growth of epitaxial thin films for microelectronic applications. However,for the deposition of thick protective coatings, a high deposition rate is pre-ferred and it can be greater than tens of mm per hour. This is substantiallyexceeded only by techniques such as plasma spraying.

(f) Reasonable processing cost for the conventional CVD technique.(g) The flexibility of using a wide range of chemical precursors such as halides,

hydrides, organometallics which enable the deposition of a large spectrum ofmaterials including, metal, carbides, nitrides, oxides, sulphides, III–V and II–VI materials.

(h) Relatively low deposition temperatures, and the desired phases can bedeposited in-situ at low energies through vapour phase reactions, andnucleation and growth on the substrate surface. This enables the depositionof refractory materials at a small fraction of their melting temperatures. Forexample, refractory materials such as SiC (melting point: 2700 �C) can bedeposited at 1000 �C using the following chemical reaction

CH3SiCl3 !H2SiC þ 3HCl

However, the drawbacks of CVD include:

(a) Chemical and safety hazards caused by the use of toxic, corrosive, flammableand/or explosive precursor gases. However, these drawbacks have beenminimized using variants of CVD such as Electrostatic Spray Assisted VapourDeposition (ESAVD) and Combustion Chemical Vapour Deposition (CCVD)methods that use more environmental friendly precursors as described in Sec-tions 12.7 and 12.8, respectively.

(b) Difficult to deposit multicomponent materials with well controlled stoichio-metry using multi-source precursors because different precursors have differ-ent vaporisation rates. However, this limitation can be overcome using singlesource chemical precursors.

(c) The use of more sophisticated reactor and/or vacuum system by CVD variantssuch as low pressure or ultrahigh vacuum CVD, plasma assisted CVD andphoto-assisted CVD tends to increase the cost of fabrication. However, there arealso other variants of CVD such as Aerosol Assisted Chemical Vapour Deposi-tion (AACVD) and Flame Assisted Chemical Vapour Deposition (FACVD)that do not use sophisticated reactor and/or vacuum system. Such variants mayprovide alternatives for applications where production cost is an issue.

4. Thin film and coating applications

The distinctive advantages of CVD outweigh its limitations. In general, CVD is aversatile deposition technique. It has become one of the main processing methodsfor the deposition of amorphous, single-crystalline, polycrystalline thin films andcoatings for a wide range of applications. Examples include:

64 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 9: Chemical vapour deposition of coatings.pdf

(a) semiconductors (e.g. Si, Ge, III–V, II–VI) for microelectronics, optoelec-tronics, energy conversion devices (e.g. solar cells);

(b) dielectrics (e.g. SiO2, AlN, Si3N4, etc.) for microelectronics(c) metallic films (W, Pt, Mo, Al, Cu, etc.) for electronics;(d) refractory ceramic materials (e.g. TiB2, SiC, B4C, BN, TiN, Al2O3, ZrO2,

MoSi2, diamond, etc.) used for hard coatings, protection against wear, cor-rosion, oxidation, chemical reaction, thermal shock, neutron adsorption or asdiffusion barriers;

(e) ceramic fibres (e.g. SiC and C) and ceramic matrix composites (e.g. SiC/SiC,SiC/C)

The recent development of CVD variants, such as pulsed injection CVD, ESAVD,CCVD have enabled the deposition of multicomponent films with well controlledstoichiometry and microstructure. These variations have the potential of wideningthe CVD applications for the deposition of

(a) ferroelectrics (e.g. PbTiO3, PbZrTiO3)(b) superconductors (e.g. YaBa2Cu3O7)(c) perovskite materials [e.g. La(Sr)MnO3 for solid oxide fuel cell applications]

5. CVD system

CVD can be performed in a ‘closed’ or ‘open’ system. In the ‘closed’ system, bothreactants and products are recycled. This process is normally used where reversible che-mical reactions can occur with a temperature difference for example in the purificationof metals as follows:

CrI2 gð Þ����*)����>950 �C

<750 �CCr gð Þ þ I2 gð Þ

or where there is difference in chemical activity in an isothermal system (e.g. packcementation for aluminising, chromising, etc., see Section 12.1).The ‘closed reactor’ CVD process is less of importance nowadays since only a

small fraction of CVD processes are performed in this system. Most of the CVDprocesses are of the ‘open’ system type, where after deposition, the reaction chemi-cals are removed from the reactor, with the recovery of the reactants made only ifthe expense justifies it.There is no universal CVD equipment. Each piece of CVD equipment is indivi-

dually tailored for specific coating materials, substrate geometry, etc., whether it isused for R&D or commercial production. In general, the CVD equipment consistsof three main components for example as shown in Fig. 2:

(a) chemical vapour precursor supply system,(b) CVD reactor,(c) effluent gas handling system.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 65

Page 10: Chemical vapour deposition of coatings.pdf

5.1. Chemical vapour precursor supply system

The role of this component is to generate vapour precursors and then deliver tothe reactor. The design of the CVD reactor depends on whether the starting materialis solid, liquid or gas. The sublimation of a solid precursor depends on surface areaof the solid and contact time. Liquid sources often use a bubbler to vaporise thereactants, and a carrier gas (reactive gases such as H2 or inert gases such as Ar) totransport the vaporised reactants into the reactor. The delivery of reactants dependson the source temperature, carrier gas flow rate and pressure over the source. If thevapour pressure of the liquid precursor is known, its partial pressure can be deter-mined and regulated by controlling the volume and flow rate of the carrier gas. Thegenerated vapour precursor is often metered into the CVD reactor. Flash evapora-tion is another liquid vaporisation method. The liquid precursor is metered using asyringe pump or peristaltic pump into the flash evaporator where it is being evaporatedinstantaneously.High purity (e.g. 99.9999%) gaseous reactants or carrier gases are delivered from

high pressure gas cylinders via flow meters (e.g. rotameters and/or mass flow meters)and control system into the reactor. These gases are often purified further to removeany oxygen, moisture or any contaminants picked up in the gas transfer lines priorto entering the reactor. For examples, hydrogen can be purified using Pd or heatedchips of Cu, Ti (as gettering materials) and molecular sieves, and helium using a Ptwool/liquid nitrogen trap [31].For the deposition of binary or ternary component films, the vapour precursors

are often metered and fed into a mixing chamber, prior being delivered into thereactor. Single source CVD precursors have been developed for the deposition ofmulticomponent films for better control of the stoichiometry of the films as separate

Fig. 2. A schematic diagram of a typical laboratory CVD equipment for the deposition of SiC coatings.

66 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 11: Chemical vapour deposition of coatings.pdf

sources of precursors usually have different vaporisation rates and decompositiontemperatures which tend to give rise to non-stoichiometric films. If the generation ofvapour reactants require the gas source to be heated above room temperature, thegas lines require heating in order to prevent condensation.

5.2. CVD reactor

In general a CVD reactor consists of a reaction chamber equipped with a loadlockfor the transport and placement of the substrate into the chamber, a substrateholder, and a heating system with temperature control. The main function of theCVD reactor is used to heat the substrate to the deposition temperature. The CVDreactor can be either a hot-wall or cold-wall. A hot-wall reactor uses a heated fur-nace into which the substrates are placed for indirect heating. A three-zone resis-tively heated furnace is commonly used to facilitate the control of the outer zonesand enable a uniform temperature profile to be maintained in the central depositionzone. Though the hot wall reactor can provide very precise temperature control, theinterior of the hot wall reactor is also coated, resulting in maintenance problems andlower deposition efficiency. In addition, depletion of gaseous reactants also occursalong the reactor. Therefore, the substrates have to be positioned 45 degree to thegaseous reactants (Figs. 1 and 2).In a cold wall reactor, however, only the substrate is heated, either inductively or

resistively, and the wall of the reactor is cold. Most of the CVD reactions areendothermic. Therefore, the deposition reaction will occur on the heated substrate,and negligible deposition on the wall of the reactor. Although these reactors aremore complex, they allow greater control over the deposition process, and minimisethe deposition onto the walls of the reactor and the depletion of the reactants.However, the thermal convection which occurs in a cold wall reactor can create aconcentration gradient of the reactive species and result in non-uniform coatings.This limitation can be overcome by performing the CVD cold wall deposition at areduced pressure. Factors which determine the heating method are the size andgeometry of the substrate, and whether it is conducting or non-conducting.There are various reactor configurations. For examples, horizontal, vertical,

semi-pancake, barrel and multiple wafer as shown in Fig. 3.

5.3. The effluent gas handling system

This component consists of a neutralizing part for the exhaust gases, and/or avacuum system to provide the required reduced pressure for the CVD process thatperforms at low pressure or high vacuum during deposition. In general, low pressureCVD requires a simpler vacuum system as compared to those required in the PVDprocess. Low pressure CVD uses corrosion resistant rotary or vane pump. The mainfunction of the effluent gas handling system is to remove the hazardous by-productand the toxic unreacted precursor safely. The unreacted precursors and corrosive by-products such as HCl are neutralised or trapped using a liquid nitrogen trap toprevent these gases from entering the rotary or diffusion pump which can cause

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 67

Page 12: Chemical vapour deposition of coatings.pdf

damage to the pump. Inflammable gases such as hydrogen are burned off. Unreac-ted expensive precursors (e.g. BCl3) may be collected at the outlet and recycled. Therecycling will only be carried out if it is economical to do so. For example, it isuneconomical to recover about 80% of unreacted, relatively cheap SiCl4 precursorfor the epitaxial CVD growth of Si films. Whereas, BCl3 is more expensive and isroutinely condensed from the unreacted H2 and HCl by-product, and recycled withfresh H2.The degree of recycle and disposable procedures are influenced by the deposition

efficiency. The CVD reactants and products are often corrosive, toxic, poisonous,hygroscopic, inflammable, readily oxidising and have high vapour pressures. Therefore,the post deposition section of the reactor system must be efficient to render these che-micals harmless before disposal. Special precautions need to be taken and toxic gasmonitors are required to be installed. Disposal and safety procedures for these chemi-cals have been reported [32]. Furthermore, abrasion problems could also arise due tosolid particles that form in the homogeneous gas phase reaction and are subse-quently entrained in the exhaust system. Different CVD systems may use differentchemical precursors and reactions and so as recycle/disposal problems. Thereforethe effluent gas handling system needs to be tailored to the individual CVD system.

Fig. 3. Various CVD reactor configurations: (a) horizontal; (b) vertical; (c) semi-pancake; (d) barrel; (e)

multiple wafer (adapted from [20,22]).

68 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 13: Chemical vapour deposition of coatings.pdf

The CVD equipment is designed and operated using optimum processing condi-tions to give coating with uniform thickness, surface morphology and structure andcomposition. Suitable designs have taken into consideration the temperature con-trol, reactant depletion, fluid dynamics and heat transfer in the system. Most ofCVD reactor systems are designed empirically. However, it has becoming increas-ingly common to use fluid flow and heat transfer modelling. For commercial pro-duction, the CVD system is often designed to be automated with the requiredelectrical and microprocessor control features. Fig. 4 shows a schematic diagram ofa commercial CVD coating system. The commercial production equipment is moresophisticated and complex in design as compared to the laboratory CVD coater asshown in Fig. 2, in order to accommodate large batch sizes or large scale deposition.The commercial production equipment has a large reactor with racks to place thecomponents to be deposited. The problem of reactant depletion is common in alarge CVD rector and can result in non uniform coatings. Such problems can beovercome using multiple gas injection ports.

Fig. 4. (a) A commercial low pressure CVD equipment and (b) the associated schematic diagram of the

equipment (source: Aixtron Inc., USA).

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 69

Page 14: Chemical vapour deposition of coatings.pdf

6. Process principles and deposition mechanism

In general, the CVD process involves the following key steps [2]:

(1) Generation of active gaseous reactant species.(2) Transport of the gaseous species into the reaction chamber.(3) Gaseous reactants undergo gas phase reactions forming intermediate species:

(a) at a high temperature above the decomposition temperatures of inter-mediate species inside the reactor, homogeneous gas phase reaction canoccur where the intermediate species undergo subsequent decompositionand/or chemical reaction, forming powders and volatile by-products in thegas phase. The powder will be collected on the substrate surface and mayact as crystallisation centres, and the by-products are transported awayfrom the deposition chamber. The deposited film may have poor adhesion.

(b) at temperatures below the dissociation of the intermediate phase, diffu-sion/convection of the intermediate species across the boundary layer (athin layer close to the substrate surface) occur. These intermediate speciessubsequently undergo steps (4)–(7).

(4) Absorption of gaseous reactants onto the heated substrate, and the hetero-geneous reaction occurs at the gas–solid interface (i.e. heated substrate)which produces the deposit and by-product species.

(5) The deposits will diffuse along the heated substrate surface forming thecrystallisation centre and growth of the film.

(6) Gaseous by-products are removed from the boundary layer through diffusionor convection.

(7) The unreacted gaseous precursors and by-products will be transported awayfrom the deposition chamber.

Fig. 5 shows a schematic illustration of the key CVD steps during deposition.

For the deposition of dense films and coatings, the process conditions are tailoredto favour the heterogeneous reaction. Whereas, a combination of heterogeneous andhomogenous gas phase reaction is preferred for the deposition of porous coatings.

7. Chemical precursors and reaction chemistry

The common precursors used in the CVD process are metals and metal hydrides,halides, and halohydrides, and metalorganic compounds. Generally, metal halidesand halohyrides are more stable than the corresponding hydrides. The metalorganicprecursors offer the advantage of lower reaction and deposition temperatures thanhalides and hydrides and are less toxic and pyrophoric. Therefore, they are gainingwider application especially in the deposition of II–VI and III–V semiconductors, as

70 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 15: Chemical vapour deposition of coatings.pdf

well as high-Tc superconductors. However, most metalorganics are volatile liquids,and require precise control of the deposition pressure.The selection criteria of a suitable chemical precursor for coating applications are

that the precursor:

(a) is stable at room temperature.(b) has low vaporisation temperature and high saturation of vapour pressure.(c) can generate vapour that is stable at low temperature (i.e. before decompos-

ing or reacting at a higher temperature).(d) has suitable deposition rate. Low deposition rates for thin film applications (e.g.

semiconductor industry) and high deposition rates for thick coating applications.(e) undergoes decomposition/chemical reaction at a temperature below the

melting temperature and phase transformation of the substrate depending onthe engineering applications. For example, the deposition of high tempera-ture protective coatings (e.g. oxides), and hard coatings (e.g. carbides, bor-ides, and nitrides) can use halides which tend to react at high temperaturesand offer high deposition rates. The deposition of thin films (e.g. Si, GaAs,SiO2) can utilise hydrides as well as halides for low temperature depositionand low growth rates for semiconductor applications.

(f) has low toxicity, explosivity and inflammable for safety of handling chemicalsand disposing of the unreacted precursor. This is especially desirable for largescale industrial applications. For example, metalorganic precursors are gen-erally less toxic, pyrophoric and dangerous than hydrides and are gainingincreasing usage in the semiconducting industry for the deposition of III–Vand II–VI films.

(g) is cost-effective for thin film or coating deposition.(h) is readily available at high purity electronic grade commercially.

The main chemical precursors used in CVD of films and coatings and the asso-ciated chemical reactions can be categorised into the following types of reactions:

Fig. 5. A schematic illustration of the key CVD steps during deposition.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 71

Page 16: Chemical vapour deposition of coatings.pdf

thermal decomposition (pyrolysis), reduction, oxidation, hydrolysis, nitridation,disproportionation, and synthesis. Table 1 gives examples of the main chemicalprecursor systems and the related chemical reactions. The general characteristics ofthese reactions are highlighted. A more detailed description of various chemicalreactions can be found in reference [33].

8. Thermodynamics, kinetics and mass transport phenomena

The analysis of the CVD processes includes the understanding of the (a) thermo-dynamics, (b) chemical kinetics and (c) mass transport phenomena. However, CVDis a complex chemical system with non-equilibrium reactions and the process isdetermined by chemical kinetics and mass transport phenomena. The followingsections describe the various methods of analysing the thermodynamics, kinetics andmass transport phenomena.

8.1. Thermodynamics

The Thermodynamic study of the phase equilibria during the CVD process providesa basic understanding of the process prior to designing suitable deposition experimentsand provides a useful guideline for the selection of processing conditions. Prior to theCVD deposition, it is essential to determine the feasibility of the CVD reaction, thenature and amount of the solid and gaseous species present in the system. These can bedetermined from the calculation of the thermodynamic equilibrium (i.e. the equili-brium partial pressures of the system species) at a given set of processing conditionssuch as deposition temperature, pressure and reactant concentration.

8.1.1. Reaction feasibilityThe feasibility of a CVD reaction can be determined by calculating the Gibbs free

energy �Gr of the reaction for the given temperature and pressure. In order to cal-culate the Gibbs free energy of reaction, �Gr, we have to first determine the freeenergy of formation, �Gf, of the individual species of the reactants and the pro-ducts, using the following Eq. (1) at a temperature T [34], and followed by Eq. (2),where �H0f and S

0 are standard enthalpy of formation and entropy at 298 K,respectively, and Cp is heat capacity.

�Gf Tð Þ ¼ �H0f 298ð Þ þ

ðT298

CpdT� TS0 298ð Þ �

ðT298

Cp=T� �

dT ð1Þ

�Gr ¼ �Gf productsð Þ ��Gf reactantsð Þ ð2Þ

The thermochemical data for many species can be found in the literature such asJANAF tables [35], CRC Handbook of Chemical data [36], Refs. [37–39]. A reac-tion will occur when �Gr value is negative, whereas a positive �Gr means that thereaction will not occur. Under certain circumstances where there are several possible

72 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 17: Chemical vapour deposition of coatings.pdf

Table 1

The main chemical precursor systems and related chemical reactions

CVD reaction Chemical precursor Examples Tdepa (�C) General characteristic

Thermal halides TiI4 ! Tiþ 2I2 1200 This reaction tends to form elements

decomposition hydrides SiH4 ! Siþ 2H2 600–1150 A wide range of reactants is available

(pyrolysis) metal carbonyl Fe COð Þ5 ! Feþ 5CO 370 This reaction occurs at a lower temperature

metalorganic C8H10ð Þ2Cr ! Cr þ 2C5H10 þ 6C 500 than reduction reaction and does not attack

the substrate chemically

Reduction Precursor + reducing agent This reaction is used to form the elements

halides SiCl4 þ 2H2 *) Siþ 4HCl

WF6 þ 3H2 *)W þ 6HF

900–1200

550–800

Hydrogen is the most common reducing agent

This is a reversible reaction

The reaction proceeds to the right resulting in

deposition, whereas the reverse reaction to the

left leads to etching which enable in-situ vapour

cleaning of substrate prior to deposition, especially

semiconducting films. This reduction reaction

normally occurs at high temperatures

Oxidation Precursor + oxidising agent This reaction is used for the deposition of oxides

halides TiCl4 þ 2O2 ! TiO2 þ 2Cl2 The common oxidising agent used in oxidation

hydrides SiH4 þ 2O2 ! SiO2 þ 2H2O 350 reactions at Tdep below 500�C is O2, whereas CO2,

metalorganic Zn C2H5ð Þ2þ4O2 ! ZnOþ 5H2O

þ 2CO

250–500 NO2, NO or N2O are used as oxidants for

reactions above 500 �C

Hydrolysis Precursor + hydrolysing agents This reaction is used for the deposition of oxides

halides 2AlCl3 þ 3CO2 þ 3H2 ! Al2O3þ 6HCl þ 3CO

800–1150 The common hydrolysing agents for the in-situ

formation of water vapour are H2+O2, CO2 + H2,

NO +H2. The metal halides mix with the hydrolysing

agents near the substrate surface to prevent any

premature reaction as they react readily on contact

Nitridation Precursor + nitriding agents This reaction is used for the deposition of nitrides

halides TiCl4 þ 1=2N2 þ 2H2 ! TiNþ 4HCl 1200 (e.g. Si3N4), oxynitride (e.g. SixOyNz) and metallic

(continued on next page)

K.L.Choy/Progress

inMateria

lsScien

ce48(2003)57–170

73

Page 18: Chemical vapour deposition of coatings.pdf

Table 1 (continued)

CVD reaction Chemical precursor Examples Tdepa (�C) General characteristic

hydrides 3SiH4 þ 2N2H4 ! Si3N4 þ 10H2 800 nitrides (e.g. TiN) and superconducting nitrides

halohydrides 3SiCl2H2 þ 10NH3 ! Si3N4þ 6NH4Clþ 6H2

600–1100 (e.g. NbN). The common nitriding agents are

NH3,N2+H2,N2H4

Disproption-

ation

halides GeI2 *) Ge þGeI4 Reversible reaction involving in-situ formation of

3GaCl*) 2GaþGaCl3 reactants and vapour etching. The reaction to the

Siþ SiI4 *) 2SiI2 right occurs at low Tdep, and the reverse reaction to

the left at high Tdep

Synthesis Two or more

gaseous precursors

TiCl4+2BCl3+5H2!TiB2+10HCl

1100 To produce binary compounds (e.g. borides,

Ga CH3ð Þ3AsH3 ! GaAs þ 3CH4 carbides, nitrides, silicides and oxides)

a The cited deposition temperatures are for the thermally activated CVD. Plasma enhanced CVD and photo-assisted CVD will have lower deposition tem-

peratures (see Sections 12.2 and 12.3, respectively).

74

K.L.Choy/Progress

inMateria

lsScien

ce48(2003)57–170

Page 19: Chemical vapour deposition of coatings.pdf

reactions, which are all, thermodynamically feasible, the reaction with the mostnegative �Gr value will dominate because it has the most stable reaction products.The equilibrium constant, K can be determined from Eq. (3), where R is the gasconstant and T is the deposition temperature. Once K is known, the activity (orpartial pressure) of gaseous species and products can be calculated using the law ofmass action [Eq. (4)].

K ¼ exp �Gr=RTð Þ ð3Þ

K ¼ multiple of product activities or partial pressureð Þ=multiple of

gaseous reactant activities or partial pressureð Þð4Þ

8.1.2. CVD phase diagramThe construction of a CVD phase diagram at constant temperatures and pressures

can be achieved either by (1) the equilibrium constant or (2) the minimisation ofGibbs free energy methods. The equilibrium constant method which requires infor-mation of all reactive species and reactions [24,40] involves the use of non-linearequations. The minimisation of Gibbs free energy method involves the use of linearequations and it is independent of the reaction pathways. Therefore, the lattermethod is generally more suitable especially for complex chemical systems.The CVD phase diagram provides vital information of the equilibrium phases and

amount of the solid and gaseous species present in a given system. In addition, thedeposition efficiency of a CVD reaction can also be determined from the ratio ofnumber of moles of a condensed phase deposited under thermodynamic conditionsto the maximum number of moles of the same phase formed if there were no ther-modynamic or kinetic restrictions [41].There are computer programmes such as SOLGAS [41,42], SOLGASMIX [21],

FREEMIN [43], EKVICALC and EKVIBASE [44], and MELANGE [45] which usethe minimisation of Gibbs free energy method to determine CVD phase diagrams.Most of the calculations have been performed on functional thin films for micro-electronic applications. Fig. 6 shows an example of a CVD phase diagram based onthe thermodynamic equilibrium calculations using the computer programme SOL-GAS. The diagram shows the phases that deposited under different input gas ratios,temperatures and pressures. The deposition of Ti or TiB was not predicted using anyof the CVD conditions investigated [42]. Ab inito computation has been used suc-cessfully in cases where the CVD reaction involves unstable species and there arelimited thermodynamic data such as in the Si–H–Cl/F system [46,47].Recent thermodynamic studies have used a statistical thermodynamic approach to

model plasma reactors [48], crystal growth of CVD diamond [49], formation of Ti-bearing coatings on steels in a fluidized bed chemical vapour deposition [50] andgas-phase reactions in the Ti–Cl–H system [51].However, CVD is a non-equilibrium process and there has been a study that indi-

cated the thermodynamic calculations and predictions are sensitive to the accuracy/quality of the thermodynamic data [52]. Therefore, thermodynamic calculations can

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 75

Page 20: Chemical vapour deposition of coatings.pdf

only provide basic information as to whether a process is feasible or not. In addi-tion, thermodynamics can provide guidelines for selecting process conditions basedon the equilibrium analysis of the phases and the amount of the solid and gaseousspecies present in the CVD system. A more precise and accurate analysis of the CVDreactor system would require the consideration of the chemical kinetics and masstransport phenomena.

8.2. Kinetics

CVD tends to be a non-equilibrium process. It is a complex chemical system. Thekinetics of a CVD process involves chemical reactions in the gas phase, on the sub-strate surface, chemisorption and desorption. Ideally, the relationship of the chemi-cal kinetics of a CVD process could be derived from the analysis of all possiblereaction pathways including those sequential and competing reactions. The surfacereactions and chemical reactions in the gas reactions ideally must be described bytheir formula, and followed by the their rate equations. The overall reaction rate islimited by the slowest reaction step.

Fig. 6. An example of the CVD phase diagram of TiB2 using different gas ratio and temperatures at 0.001

and 1 atm [42].

76 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 21: Chemical vapour deposition of coatings.pdf

The fundamentals of deposition kinetics and possible reaction pathways have beenstudied in detail only in limited important industrial chemical systems such as Si[53–56] and GaAs [57,58] for the semiconductor industry. However, from theextensive literature survey conducted by Comfort and Reif [56] on the deposition ofpolycrystalline and epitaxial Si, they concluded that the kinetic data and growthmodel developed for this system have been obtained under combined homogenousand heterogeneous reactions, and the homogeneous reactions could not be sup-pressed. Thus, there is no predictive model to analyse the surface-dominated growth,reaction orders and the overall activation energy over a wide range of temperatureand pressure.In-situ analysis of the interaction of active species at the substrate surface with the

aid of surface science analytical instruments [55,59,60] will provide a better under-standing of the surface reactions and may be able to predict more accurately thedeposition kinetics of thin films from first principles rather than relying on the datagenerated based on assumed reaction pathways. Surface analytical instruments suchas XPS, Auger spectroscopy have been used to supply information on the chemicalnature of the species, while electron energy loss spectroscopy is able to provideinformation on the molecular structure of the absorbed species. However, theseinstruments need to operate at ultra high vacuum (UHV) conditions. Therefore,there are concerns that the results obtained under the UHV deposition may be dif-ferent from those depositions performed at atmospheric or low pressure conditions.Hence, there is an increasing interest to use optical spectroscopy techniques [61] forthe in-situ monitoring of thin film deposition.As a result of the expensive in-situ surface analytical instruments (especially those

requiring the use of UHV), there are limited data on the surface reactions mechan-isms of most of CVD processes, activation or adsorption energy and the pre-expo-nential factors. A widely used method to obtain CVD kinetic data is to determinethe deposition rate experimentally as a function of process parameters (e.g. depositiontemperature, pressure, concentration of reactants), and match them to the possiblerate-limiting reactions.A typical plot of deposition rate as a function of temperature is shown for TiB2

deposition from TiCl4 and BCl3 and hydrogen in Fig. 7 [62]. The plot complies withthe Arrhenius law:

Deposition rate ¼ A exp �Ea=RTð Þ

where A is a constant, Ea is the apparent activation energy, R is the gas constant andT is the deposition temperature.The Arrhenius plot of logarithm of deposition rate versus reciprocal temperature

in Fig. 7 indicates that two different deposition mechanisms are operating within therange of deposition temperature 1050–1450 �C. When the temperature increasesfrom 1050 to 1350 �C, the deposition rate increases rapidly in an exponential man-ner. This indicates that the rate-limiting mechanism is surface chemical kinetics, i.e.chemisorption, and/or chemical reaction, surface migration, lattice incorporationand desorption. These surface processes strongly depend on the deposition tem-

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 77

Page 22: Chemical vapour deposition of coatings.pdf

perature. From the slope, the activation energy can be determined, in this case, it isfound to be 144 kJ/mol.At higher temperatures, above 1350 �C, the surface kinetic processes become so

rapid such that the deposition is limited by the diffusion of the active gaseous speciesthrough a boundary layer to the deposition surface. It is therefore mass transportlimited, and the deposition rate depends weakly on temperature. This behaviourseems to illustrate a classic transition in growth behaviour from ‘interface control’ atlow temperatures to transport control at high temperatures. The slope is markedlysmaller and this mechanism has an activation energy of 30 kJ/mol.At even high temperature (region c), the deposition rate may decrease as indicated

by the dotted line (Fig. 7) due to the depletion of reactants and/or increase in therate of desorption. Other possible reasons for the difference in slope may be due tothe occurrence of alternative reaction involving high temperature etching of thecorrosive reactants (e.g. TiCl4) and the by-products (e.g. HCl) during the depositionof TiB2 [62]. Such high temperature etching has also been observed in Si depositionby HCl and SiC2 [63].At increasingly high deposition temperatures and reactant concentrations, homo-

geneous gas phase reactions will occur in addition to the heterogeneous reaction.This will lead to particle formation in the gas phase and interrupt the growth ofcoatings, and hence the microstructure purity and adhesion. Very often this condi-tion will lead to the deposition of poorly adherent films with a non uniform and/orporous microstructure as well reduces the overall deposition efficiency.Low pressure CVD (LPCVD) normally has a different rate limiting step compared

to atmospheric pressure CVD (APCVD) due to the reduction in pressure. The rateof mass transport of gaseous precursors is higher than the surface reaction rate atlow pressure during the deposition. Whereas, at atmospheric pressure the mass

Fig. 7. The Arrhenius plot CVD of TiB2 coatings.

78 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 23: Chemical vapour deposition of coatings.pdf

transport is slower than the surface kinetic rate. Therefore, the reaction is masstransport or diffusion limited in APCVD.Most of the CVD processes including conventional CVD (thermal CVD),

PECVD, PACVD and Atomic Layer Epitaxy (ALE) are performed in the surfacekinetic limited regime because of the availability of abundant reactants near thesubstrate surface that undergo slow chemical reactions and almost negligible localvariations of reactant concentrations in the gas phase due to the geometry of thereactor. This condition enables the deposition of uniform films.Thermal CVD may be performed in the mass transport controlled regime when

high deposition rate is needed. For example, the CVD of SiC coating onto a C corefor the production of SiC monofilaments, wherein the deposition is performed in themass transport limited regime in order to give a high deposition rate. Other types ofCVD systems such as the metallorganic assisted CVD (MOCVD) of III–V materialsis also normally operated in the mass transport controlled regime. Non-uniformcoating thickness may occur in the mass transport controlled regime due to thedepletion of precursors. However, uniform coatings can be achieved by moving thesubstrate (translation, rotation etc), introducing vapour reactants at various inletsalong the substrate and/or creating a temperature gradient. Some recent kineticsstudies can be found in references [64–66].

8.3. Mass transport phenomena

Transport phenomena include

(i) fluid dynamics, i.e. fluid flow, mass transfer and heat transfer of the reactantsfrom the vapour precursor supply unit into the reactor, and

(ii) mass transport of reactants close to the substrate surface, diffusion through theboundary layer of the substrate, and desorption of the by-products from thesubstrates, and transport of the by-products away from the substrate (see Fig. 5).

The main parameters that affect the nature of gas flow in CVD reactors are:

reactor temperature and temperature distribution in the system reactor pressure gas flow rate characteristic of gas (e.g. density) reactor geometry

8.3.1. Fluid dynamicsComplex reactor geometry and large thermal gradient characteristics between the

inlet and the reactor influence the transport phenomena. Basic fluidmechanics conceptscan be applied to CVD to describe the fluid dynamic, and mass transfer in the gasphase. The fluid flow in a CVD process can be characterised by several dimensionlessparameters such as the Reynolds number (Re) and Knudsen number (Kn) as follows:

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 79

Page 24: Chemical vapour deposition of coatings.pdf

Re ¼�u

�, where �=mass density, u=flow density, �=viscosity, and

Kn ¼lL, where l is the mean free path and L the characteristic length.

Re defines the limit between the laminar and turbulent (Re > 2100) flow regimeswhereas, Kn defines the limits between laminar, intermediate and molecular flow[67]. Most CVD reactors operate in the laminar regime (Re<100) due to the lowprecursor flow rate. Other dimensionless parameters that are important in the char-acterisation of the transport processes are Prandtl, Schmidt, Grashof, Peclet andDamkohler numbers [68]. For examples, the Rayleigh and Grashof numbers indi-cate the strength of natural convection in the system; Peclet number predicts anydiffusion of downstream impurities into the deposition zone; and gas-phase Dam-kohler number estimates the reactant residence time.The modelling of fluid dynamics in the CVD process takes into consideration

mass continuity, mass conservation, energy conservation and momentum conserva-tion. The modelling can be used to predict the temperature, velocity and reactantconcentration profiles inside a CVD reactor where the homogeneous and hetero-geneous chemical reactions occur.

8.4. Mass transport control

The rate of mass transport is dependent on the concentration of reactants, thick-ness of the boundary layer, diffusivity of active species. These factors are influencedby the deposition temperature, pressure, gas flow rate, geometry of the reactor, etc.The boundary layer is defined as the distance where the velocity of gas increases

from zero at the substrate surface to the bulk value [69]. Grove [70] has used thestagnant-layer model to study the mass transport of the boundary layer. The thick-ness of the boundary layer is related to the Reynold number. Increase in Re resultedin a thinner boundary layer. Mass transport control, as discussed earlier, occurs athigh temperature and pressure, and a low flow rate of reactant.The surface Damkohler number (Da) (also known as CVD number [63]) can be

used to characterise the relative time for transport to the reaction time at the sur-face, and hence determines whether the process is under surface control (small Da)or mass transport control (large Da) [68]. Some recent studies in the fluid flow andtransport phenomena in CVD can be found in references [71–74].

9. CVD process parameters

The main CVD process parameters such as temperature, pressure, reactant gasconcentration and total gas flow, require accurate control and monitoring. CVDoccurs through chemical reactions that include pyrolysis, oxidation, reduction, hyr-olysis or a combination of these, and may be catalysed by the substrate. The actualchemical reaction determines the operating temperature range required. Thereforethe thermodynamics and kinetics need to be defined.

80 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 25: Chemical vapour deposition of coatings.pdf

The temperature at which the coating is deposited is critical as it controls both thethermodynamics and the kinetics of the coating process. The deposition temperaturemust be achieved and maintained in order for the reaction to occur on the substrateand not in the gas phase, and with an appropriate microstructure (e.g. grain size andshape). Small changes in the temperature (e.g. 25 �C) may change the reaction,and/or its kinetics, resulting in an inferior coating. The ability of the reactant gasesto reach the substrate surface and the temperature at which the reaction is gas dif-fusion limited, are important in determining the uniformity of the coating [75]. Thetransportation of the reactant gases to the substrate surface is controlled by thereactant-gas partial pressure, the total reactor pressure, the reactor geometry andthe substrate architecture.CVD processes are carried out from atmospheric pressure to high vacuum. At

atmospheric pressure, the growth processes are often considered to be ‘‘transport con-trolled’’. Parameters such as the substrate temperature, gas flow rates, reactor geometryand gas viscosity all affect the transport phenomena in the boundary layer. This influ-ences the structure and composition of the deposited films. In order to reduce thedependence of growth rate and film composition on the hydrodynamics in the CVDreactor, many CVD processes are carried out at total gas pressures well below 1 atmwhere chemical reactions become more important in determining the characteristics ofthe deposited films. The total pressures inside the reactor, the individual pressures ofthe reactant and the product retrieval all require control. There exists a relationshipbetween the actual quantity of the reactant gaseous products, and their movementpath in the reactor and around the substrate. Optimising the gas flow for reactantsupply to the substrate is crucial for achieving satisfactory deposition.Other processing conditions which affect the coating uniformity, composition and

adhesion are summarised below.

9.1. Coating uniformity

Depletion of reactants can result in a non-uniform coating thickness. This can beovercome by

(i) translating/rotating the substrate;(ii) improve precursor mixing by stirring the reactants and/or reversing the gas

flow direction periodically; and(iii) tilting the substrate (e.g. �45�) to enhance the projection of down stream

substrates into the boundary layer, and/or create a temperature gradientacross the substrate.

9.2. Coating–substrate adhesion

The adhesion of coating onto substrate can be enhanced by avoiding

(i) substrate contamination (e.g. an inherent oxide layer due to oxidation);

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 81

Page 26: Chemical vapour deposition of coatings.pdf

(ii) the attack of corrosive unreacted precursors and/or by-products on the sub-strate to form stable but weakly bonded compounds at the interface of coat-ing–substrate;

(iii) homogeneous gas phase nucleation which can cause the formation of weaklyadhered powdery deposit; and

(iv) depletion of a gaseous precursor which can cause differences in gas compositionand coating thicknesses with different stress concentration.

9.3. Uniformity of coating composition

The composition variation across the coating parallel to the direction of reactantscan be overcome by pulsing the gaseous reactant.

10. Process monitoring and measurement of the CVD process

As discussed in the previous sections, CVD is a complex chemical system, which isinfluenced by the thermodynamics, chemical kinetics and mass transport. Modellingof these CVD phenomena has been performed to understand and describe thedeposition process. However, the computational models used in the process model-ling have to be validated experimentally. This can be achieved by accuratemeasurement and careful monitoring of the process parameters during the CVDprocess. The main process parameters are (a) gas temperatures, (b) chemical species inthe gas phase on/near the substrate surface, and (c) fluid flow. The collection of theexperimental data will facilitate the optimisation of deposition conditions andimprovement in process reproducibility. The synergy of advanced process modellingand the process monitoring are vital to enhance the understanding of the fundamentalsand mechanisms of the CVD process.In general, these methods of process monitoring can be classified into physical

probes and optical devices. Physical probes tend to be low cost and simple toimplement. However, they are limited to analysis of localised regions, and tend to beintrusive methods, which disturb the transport phenomena and reaction near thesubstrate surface. However, optical methods do not use any intrusive probes, andthey have high spatial resolution in the presence of a rapidly changing environment(e.g. temperature and active chemical species, etc). Furthermore, they offer the cap-ability of selective detection of the desired species. However, the use of optical ana-lysis methods requires some modification of the CVD reactors to allow for thenecessary access for the optical devices. Moreover, they tend to be more expensive,complex and sophisticated analysis methods. Most of the process monitoring ofCVD processes have been investigated in detail for important chemical systems forthe microelectronics industry since they require very stringent control of thedeposition process, such as, the purity, uniformity, reproducibility and quality of thethin films. Tables 2–4 provides a brief overview of the various CVD process mon-itoring methods. These methods have been used in laboratory scale CVD reactors

82 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 27: Chemical vapour deposition of coatings.pdf

Table 2

The various methods used to determine the gas temperature

Method Principles Advantages Disadvantages Refs.

Thermocouple It uses fine wires of Pt-Rh (type R or S)

or Cromel–Alumel (type K) thermocouples

to measure the gas temperatures

A simple and accurate method

for measuring the gas temperature

from room temperature to 1200 �C

It has difficulty to measure gas

temperature accurately at low pressures

[78,79]

The thermocouples have small junctions

in order to minimise the need for

any convection and radiation losses

Under certain gas flow conditions,

low-frequency temperature oscillations

with amplitude about 20� can be detected

Raman

spectroscopy

The gas temperature is obtained by

determining the ratio of either Rayleigh

or Raman signals to a reference signal

at a known temperature

It can be used to obtain absolute

thermodynamic temperature

Good spatial resolution

It requires the use of sophisticated

and expensive high power laser

(orders of watts) in order to obtain

a good signal to noise ratio

[80]

Interference

holography

It measures optical-path differences as

a result of differences in gas densities.

A quantitative method to provide

real-time display of the gas temperature

[81,82]

i. If the gas density is uniform along

the laser propagations direction, the

fringes in an interference hologram

are associated to the temperature

isotherms

It uses low cost milliwatt lasers

It is applicable to all type of gases

ii. If the temperature varies along the

direction of laser propagation in a

complex CVD reactor, inverse

calculations are required to determine

the temperature fields

K.L.Choy/Progress

inMateria

lsScien

ce48(2003)57–170

83

Page 28: Chemical vapour deposition of coatings.pdf

Table 3

Comparison of various gas phase chemical species analysis methods

Method Principles Advantages Disadvantages Refs.

Gas

chromatography

A sample containing a mixture of

chemicals is injected into a suitable

chosen column (solid absorbent)

where they can be separated by

differential absorption on the

column and identified using a flame

ionisation or thermal conductivity

method

A quantitative method to measure

concentration of the species and their

amount in the mixture

Not a real time analysis method

It requires sampling the gaseous

species prior to analysis

Only able to analyse stable molecules

and not reactive intermediate species during

reaction

[83–85]

Mass

spectroscopy

Electron bombardment is used to

ionise molecular gases and causes

them to fragment into different

masses which can be separated by

quadrupole, time-of-flight and

magnetic methods, and counted as

a function of their charge/mass ratio

A quantitative method for accurate

identification of all chemical systems

including stable and reactive molecules.

It requires the use of a vacuum (<10�5 torr)

The use of sampling probes can perturb

the CVD system

No information on the structure of molecules

Fragmentation patterns for some reactive

species can be difficult to obtain

[86–89]

Raman

spectroscopy

The difference in energy between the

incident and scattering photons in the

Raman scattering process correspond

to vibrational or rotational frequency

characteristics of the molecule in

a sample. The signal intensity is

proportional to number density of

molecules. The Raman spectra of

vibrational transitions are commonly

used for measuring the concentration

of species

A real-time quantitative method of

measuring the concentration of

chemical species in a reacting gas

mixture

Good spatial resolution.

Low Raman cross-section

(scattering coverage)

[90–94]

84

K.L.Choy/Progress

inMateria

lsScien

ce48(2003)57–170

Page 29: Chemical vapour deposition of coatings.pdf

Table 3 (continued)

Method Principles Advantages Disadvantages Refs.

Coherent

anti-Stokes

Raman

spectroscopy

(CARS)

Two laser beams are used to overlap

and provide the phase matching.

Unlike Raman spectroscopy, the signal

photons in CARS are emitted in a

coherent beam. Thus, it is less prone to

interference from scattered light.

This increases the efficiency of the

collection of signals

A sensitive analysis method

A suitable technique for investigating

luminescence systems such as plasma

enhanced CVD

Complex and sophisticated technique

The sensitivity of the method is limited by

the presence of resonant background

The use of sampling probes can perturb the

CVD system and prevent the analysis of

reactive species directly

[95–97]

Infrared

spectroscopy

A sample is irradiated with IR light and

the transmission can be monitored as a

function of wavelength using dispersion

technique, tunable laser of Fourier

transform method. It can be used for both

sampling and in-situ absorption

techniques

A real time quantitative method for

monitoring reactant concentration

and consumption

It can be used to analyse gas samples

from the reactor for the study of the

CVD reaction mechanism

It has poor resolution for the in-situ

analysis of the reactive intermediate species

[85, 88]

[98–101]

UV/visible

absorption

spectroscopy

A sample is irradiated with a light source

(e.g. flash lamp, tunable laser) where

absorption of a photon occurs and places

the molecule in an electronically excited

state. The transmission of the sample can

be monitored as a function of wavelength

A simple method which is suitable

for routine processmonitoring

Moderate sensitivity

Not all molecules have major

absorption peaks in the required

accessible part of the UV spectrum.

Limited spatial resolutions

[102,103]

Laser-induced

fluorescence

spectroscopy

It involved the use of a tunable

laser to excite a molecule to an

electronically excited state, and the

fluorescence from the excited state

is monitored

A sensitive and accurate method for

species identification.

High spatial resolution

It is suitable for monitoring reactive

intermediate species

It is a good technique for relative

density measurement but it is not

suitable for the absolute number–

density measurements

[104–107]

(continued on next page)

K.L.Choy/Progress

inMateria

lsScien

ce48(2003)57–170

85

Page 30: Chemical vapour deposition of coatings.pdf

Table 3 (continued)

Method Principles Advantages Disadvantages Refs.

Optical

emission

spectroscopy

Light emitted by electronically

excited molecules is detected and

collected. The emission spectra

contains lines associated with

transitions from rotational and

vibrational sub-levels structure

which provides a method for the

chemical identification of the

emitting species.

A simple and low cost technique. Provides information only on chemical

species in excited electron states which

chemically may not be important, and

the density distributions of the excited

state may not correlate with the ground

state distributions

It is more suitable for plasma CVD

rather than the conventional thermal CVD

because it requires molecules to

be electronically excited

It cannot detect molecules at ground state

[108–111]

86

K.L.Choy/Progress

inMateria

lsScien

ce48(2003)57–170

Page 31: Chemical vapour deposition of coatings.pdf

Table 4

Comparison of various methods used for fluid mechanics analysis

Method Principles Advantages Disadvantages Refs.

Tracer Involves the introduction of

tracing material (e.g. white

smoke of TiO2 or kerosene,

biacetyl phosphor) into the

gas stream, and the flow pattern

is visualised using light

scattering or fluorescene.

It provides a 3-D

visualisation of the flow

A semi-quantitative method

It can cause contamination

Tracer may not follow the gas

streamlimes under large temperature

gradients

[112–114]

Interference

holography

It measures optical path-length

differences caused by gas density

gradient inside the reactor.

An indirect qualitative method

of measuring the flow pattern by

observing the density fields.

No contamination as no

tracer is needed.

It can detect small changes in

the index of refraction of the gas

when the gas is heated

It is a complex and sophisticated

method

Line-of-sight visualisation

technique

The 3-D flow pattern is difficult

to be interpreted

[115,116]

Laser

velocimetry

It measures the velocity of the

gas at the meet point of two equal

intensity laser beams that split from

a continuous-wave laser beam. At

the cross point, the two beams

interfere and create alternating light

and dark planes. The particles that

are introduced in the gas scatter

light at the cross point with amplitude

modulated at a frequency that is

directly proportional to the velocity

of the particle. Hence the absolute

velocity of the gas can be determined

from the measured frequency of the

scattered light.

A quantitative method of

measuring local gas velocities.

A highly accurate method which is

useful to verify the fluid mechanics

calculations

[117–119]

K.L.Choy/Progress

inMateria

lsScien

ce48(2003)57–170

87

Page 32: Chemical vapour deposition of coatings.pdf

for the determination of gas temperature and chemical species. For the industrialscale CVD reactors, the process monitoring methods are used to control the gaspurity and concentration, exit gas composition, temperature of the depositionenvironment etc. A more in-depth review and detailed description of the processmonitoring methods can be found in reference [76] together with individually citedpapers, as listed in Tables 2–4. Some of the process monitoring methods can beincorporated into a closed feed back loop system to use as diagnostic tool. Suchprocess monitoring and measurement methods have also been used widely in R&Dand industry of combustion science and engineering.

10.1. Temperature

The substrate temperature is often monitored and determined using thermo-couples and optical pyrometers. However, various methods including physicalprobes such as thermocouples, and optical methods (e.g. Raman spectroscopy andinterference holography), have been used to determine the temperature of gases nearthe substrate surface. Table 2 provides a summary of these various methods togetherwith a brief description of their principles, and the advantages and disadvantages ofeach technique.

10.2. Chemical species

The kinetics of a CVD process involves chemical reactions in the gas phase, on thesubstrate surface, chemisorption and desorption. Diagnostic tools provide a meansof identifying the chemical species and their concentration. This will provide infor-mation on gas phase decomposition, surface reactions, influence of process para-meters on the active chemical species near and on the heated substrate surface,depletion of reactants and hence the deposition efficiency. Furthermore, such che-mical species analysis also provides essential information in determining possiblereaction pathways, including those sequential and competing reactions.In-situ analysis of the interaction of active species at the substrate surface with the aid

of surface science analytical instruments such as XPS and Auger have been used, whichsupply information on the chemical nature of the species, while electron energy lossspectroscopy (EELS), provides information on the molecular structure of the absorbedspecies. However, these instruments need to operate at UHV conditions. Hence, thereare concerns that the results obtained under the UHV deposition may be different fromthose performed at atmospheric or low pressure conditions. Moreover, these in-situsurface science analytical instruments are expensive. Therefore, these surface analyticalinstruments have been limited to certain industrially important functional films. How-ever, there is increasing interest to use real-time optical spectroscopy techniques [77] forthe in-situ process monitoring during thin film deposition.The diagnostic tools for the analysis of chemical species in the gas phase include

those using physical probes such as gas chromatography, mass spectroscopy, andoptical analysis methods such as Raman spectroscopy, coherent anti-Stokes Ramanspectroscopy, infrared spectroscopy, UV/visible absorption spectroscopy and laser-

88 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 33: Chemical vapour deposition of coatings.pdf

induced fluorescence spectroscopy. These methods are briefly reviewed and com-pared in Table 3.

10.3. Fluid flow

Fluid flow involves the introduction of tracing material (e.g. white smoke of TiO2 orkerosene, biacetyl phosphor) into the gas stream, and flow pattern is visualised usinglight scattering or fluorescence. The fluid flow pattern inside the CVD reactor can bedetermined by introducing a tracing material into the gas stream (i.e. tracer method)or optical method such as interference halography. The local gas velocity can bedetermined using velocimetry. The various methods used to investigate the fluidbehaviour are summarised and compared in Table 4. The analysis of fluid mechanicsand temperature distribution in a reactor, together with modelling of the transportphenomena are vital to supply essential information for the process optimisation andthe design of a reactor, especially in the scale-up of an industrial reactor.

11. Process/microstructure/property relationships in CVD

The deposition process and processing parameters affect the nucleation andgrowth which in turn influence the microstructure and hence the properties of thecoatings. The nucleation and growth kinetics are influenced by the deposition tem-perature and super saturation (concentration of reactive species). The super satura-tion is affected by the total pressure and partial pressure of the active gaseous speciesin the reactor. The increase in temperature increases the surface mobility of theabsorbed species of the substrate surface. Fig. 8 shows a schematic representation ofthe relationships of process parameters, CVD phenomena and coating properties.During the CVD process, chemical reactions can occur in the gas phase or near

the vicinity of the heated substrate surface. The reactions in the gas phase lead to thehomogenous nucleation of solid from the gas phase. This type of homogeneousnucleation normally occurs at high deposition temperature and super saturation.This results in the formation of stable solid reaction product in the form of finepowder. Such formation is useful for the production of ultra fine powder but not forthe formation of a coating since the presence of the homogeneous nucleation toge-ther with the heterogeneous reaction will influence the deposited coating structure.The powder that nucleated from the gas phase will deposit onto the substrate sur-face and interrupt the surface nucleation and growth, which will lead to a powderycoating with irregular structure and poor coating adhesion.Heterogeneous reactions near the vicinity of the substrate surface, result in the

adsorption of mobile atoms/monomers on the substrate surface, which diffuse to thepreferred sites on the substrate surface to form embryos and stable nuclei. Growthwill occur by the addition of adsorbed monomers to sites with lowest free energy suchas kinks and ledges to form crystallites. A thin crystal is formed upon coalescence.Heterogeneous reactions can lead to various structures depending on successive,corresponding, two-dimensional growth which can be:

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 89

Page 34: Chemical vapour deposition of coatings.pdf

11.1. Epitaxial growth

This type of growth with crystals having the same orientation as the substrate(which exhibits almost perfect crystal structure) will lead to the deposition of filmswith epitaxial structure. Such deposition often occurs at low super saturation andhigh substrate temperature to provide rapid surface diffusion, leading to thenucleation and growth of solid in a particular preferred orientation which has thelowest interfacial free energy than any other orientation. In order to facilitate suchgrowth, it is important that the substrate surface be free from surface contaminationand defects. Deposition in reduced pressure or vacuum is often used to avoid con-tamination by facilitating the desorption of impurities, and thus helps to lower thedeposition temperature. For example, the growth of epitaxial Si at atmosphericpressure would require a high deposition temperature (>1000 �C) but epitaixal Sican be grown at a lower temperature (e.g. 750 �C) under reduced pressure (e.g. 1.3kPa). This type of microstructure is desirable for semiconductor and superconductorapplications.

11.2. Polycrystalline growth

Polycrystalline growth is nucleation that occurs at different substrate surface sitesleading to the growth of islands which coalesce to form a polycrystalline layer.Nucleation is strongly influenced by the nature of the surface and the absorbed

Fig. 8. A schematic representation of the relationship of process parameters, CVD phenomena and

coating properties.

90 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 35: Chemical vapour deposition of coatings.pdf

species. The control of polycrystalline growth and, in particular, the size and natureof the crystallites is important in determining the properties of the CVD films.Polycrystalline growth can lead to the following microstructures:

(i) Columnar structure which consists of columnar grains of preferred orienta-tion that grow from the first nucleated, equiaxed layer deposited on the sub-strate. Such microstructure is a result of high supersaturation and lowsubstrate temperature and hence more limited diffusion. This structure isdesirable for high temperature structural applications, e.g. thermal barriercoatings, where the stress-strain columnar structure can accommodate hightemperature thermal cycling.

(ii) Equiaxed structure which consists of fine and randomly oriented grains. It isdesirable for high mechanical strength and fracture toughness properties,according to the Hall Petch effect. Such microstructure results from even highersupersaturation. This enables the rapid supply of reactants to re-nucleate newgrains, and lower substrate temperature which limit surface diffusion andrestrains the migration of monomers to preferred crystal lattice sites.

11.3. Whiskers

This type of microstructure results from preferential growth. It involves nuclea-tion at preferred locations such as defects on the substrate surface, and defects incrystal structures developed along the lines of impingement of disoriented nuclei. Awhisker can form when a substrate is intersected by a screw dislocation having itsBurgers vector normal to the substrate surface. Whisker growth occurs by theadsorption of atoms on the sites of the whiskers followed by diffusion to the tip.Growth rate depends on the geometry of whiskers, reactant concentration and theresident time of the adsorbed atom in the whiskers.

11.4. Amorphous

This structure tends to form at low substrate temperatures where the mobility ofthe absorbed species is relatively low, thus preventing these species from migratingto more energetic sites where nucleation can occur. An important amorphousmaterial produced by CVD is amorphous silicon (a-Si:H) films with a high hydrogencontent. It is used extensively in applications such as transistors, memory switches,solar energy conversion devices, etc.Other less common coating microstructures include platelets and dendrites. One of

the advantages of CVD is the ability to control and vary the coating microstructureby varying the process parameters. Some of the common CVD coating micro-structures are also obtained by PVD coating processes. PVD is another vapourdeposition process incorporating atomistic deposition characteristics. Therefore, thewell-known classification of PVD coating microstructure [120,121] may well applyto some of the CVD coating microstructures.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 91

Page 36: Chemical vapour deposition of coatings.pdf

The effect of main process parameters such as substrate temperature, input gasratio and deposition pressure on the microstructure of coatings can be illustratedusing a case study on CVD of TiB2 coatings. The surface morphology of the TiB2coating was very sensitive to the substrate temperature as shown in Fig. 9. As thesubstrate temperature increased, deposits consisting of randomly oriented fine-grained polycrystals grew into more dense and coherent dome-like columnar grainsand then grew into larger faceted grains at even higher temperature (e.g. 1250 �C).Increasing the temperature further to 1400 �C, the faceted morphology grew intoeven larger and more strongly faceted grains with the grain surfaces revealing manysteps [Fig. 8(e)]. The deposition rate was also found to increase from 0.19 to 2.55mm/min with the increase in substrate temperature (from 1050 to 1450 �C).Fig. 10 shows scanning electron micrographs of the surface morphology of TiB2

coatings deposited at various pressures. As the pressure increased from 6 to 15 kPa,the shape of the crystallites became more clearly defined and the surfaces smoother,with rounded growth features. This is due to the fact that increase in pressure, increasesthe concentration of reactants (higher supersaturation). Hence the nucleation rate

Fig. 9. Scanning electron micrograph of the surface morphology of the TiB2 coatings (same magnifica-

tion) deposited at various temperatures: (a) 1050 �C; (b) 1100 �C; (c) 1150 �C; (d) 1250 �C; (e) 1400 �C.

92 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 37: Chemical vapour deposition of coatings.pdf

Fig. 10. Scanning electron micrograph of the surface morphology of the TiB2 coatings (same magnifica-

tion) deposited at various pressures: (a) 6 kPa; (b) 15 kPa; (c) 23 kPa.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 93

Page 38: Chemical vapour deposition of coatings.pdf

increases. As a result, finer and smoother polycrystals were deposited at high pressurewithin the range investigated. Increasing the pressure further to 23 kPa, produceseven smaller and finer grains. However, due to a higher nucleation rate at increasingpressure, these grains deposited on top of each other forming clusters of grains as seenin Fig. 9 (c). The deposition rate was also found to increase from 0.10 to 0.84 mm/minas the pressure increased within the pressure range (6–23 kPa) studied.As the TiCl4:BCl3 input gas ratio increased from 1:3 to 3:1, smaller grains were

deposited (Fig. 11), and the deposition rate also decreased from 0.55 to 0.12 mm/min. This may be due to the fact that an increase in TiCl4 concentration, increasesthe nucleation rate. Although the nucleation rate is high at high concentration ofTiCl4, the growth rate is low, resulting in a low deposition rate. The actual reasonfor this slow growth rate is not yet know but it might be due to the etching effect ofTiCl4, which became more apparent at high concentration.

12. Variants of CVD methods

The conventional CVD method, so called thermal activated CVD (TACVD), usesthermal energy to activate the chemical reactions. However, the CVD reactions canalso be initiated using different energy sources. This has given rise to other variantsof CVD methods such as plasma enhanced CVD (PECVD) and photo-assisted CVD(PACVD) which use plasma and light, respectively, to activate the chemical reac-tions. Atomic Layer Epitaxy (ALE) is a special mode of CVD where a ‘monatomiclayer’ can be grown in sequence by sequential saturating surface reactions. SuchCVD variants are useful for the controlled growth of epitaxial films, and the fabri-cation of tailored molecular structures. Another variants of CVD include metalor-ganic CVD (MOCVD) which uses metalorganic as the precursor rather than theinorganic precursor used in the conventional CVD method. Other CVD variantssuch as pulsed injection MOCVD and aerosol assisted CVD use special precursorgeneration and delivery systems unlike conventional CVD. Flame assisted vapourdeposition (FAVD) uses a flame source to initiate the chemical reaction and/orheating the substrate. Electrochemical vapour deposition (EVD) is another variantof CVD that is tailored for the deposition of dense films onto porous substrates.Chemical vapour infiltration (CVI) is a form a CVD that has been adapted for thedeposition of a dense ceramic matrix during the fabrication of ceramic fibre re-inforced ceramic matrix composites. All these variants are described and discussedin detail in the following sub-sections.

12.1. Thermally activated chemical vapour deposition

Thermally activated CVD is a conventional CVD process in which the chemicalreactions are initiated by thermal energy in a hot wall or cold wall reactor usinginorganic chemical precursors. The thermal energy can be in the form of rf heating,infrared radiation or resistive heating. The heating or cooling are usually performedat the normal rate. If the substrate is heated or cooled very rapidly such that the

94 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 39: Chemical vapour deposition of coatings.pdf

chemical reaction is initiated and terminated by switching and controlling the gasflow, this gives rise to a rapid thermal CVD process (RTCVD).The thermally activated CVD processes can also be subdivided further according

to the pressure range in which the deposition occurs. They include atmosphericpressure CVD (APCVD), low pressure CVD (LPCVD) or ultrahigh vacuum CVD

Fig. 11. Scanning electron micrograph of the surface morphology of the TiB2 coatings deposited at dif-

ferent TiCl4:BCl3 ratios: (a) 1:3; (b) 1:1; (c) 3:1.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 95

Page 40: Chemical vapour deposition of coatings.pdf

(UHVCVD) depending on the processing pressure: (a) atmospheric pressure, (b) lowpressure (0.01–1.33 kPa) or (c) ultrahigh vacuum (less than 10�4 kPa) environment,respectively. The chemical reactions in the above cases are basically the same. Asdiscussed earlier (Section 9), the only difference between APCVD, and LPCVD/UHVCVD is that the reduced pressure alters the rate limiting step during thedeposition. The mass transfer rate of the gaseous reactants becomes higher than thesurface reaction rate during the LPCVD process. In APCVD, the rate of masstransport of reactants and by-products through the boundary layer is slower thanthe rate of surface reaction. Therefore, the reaction is mass transport/diffusion lim-ited. UHVCVD (<10�4 kPa) has been developed by Meyerson [122] for the epitaxialgrowth of semiconducting materials such as Si and SiGe alloys.Thermally activated CVD has wide applications ranging from the relatively low

temperature deposition process for thin film applications to high temperature pro-cesses for coating applications. The following sections describe the applications ofCVD in terms of their technological and industrial importance and market demand.Initially, this section will focus on functional thin films for electrical and electronicsindustries. This will be followed by examples of protective coating applications, and,finally, structural applications (e.g. ceramic fibres and ceramic matrix composites).

12.1.1. Semiconductors, dielectric and metallic films for microelectronics,optoelectronics or energy conversion devicesSince the 1970s the CVD thin film technology has made remarkable advancements

for microelectronic and optoelectronic applications, in terms of a better understandingof the kinetics and growth process, equipment development (e.g. automation, controlof gas purity, safety handling), in order to obtain high quality and reproducible thinfilms.

12.1.1.1. Polycrystalline Si. Polycrystalline Si, or poly-Si thin films, are widely usedin integrated circuits as gate electrodes, emitters in bipolar transistors, load resistorsand interconnection connectors. SiH4 is widely used as precursor, where it under-goes a pyrolysis reaction at reduced pressure (typically �133 Pa) in the present ofH2, He or N2 to deposit poly-Si at reduced pressure and temperatures between 610and 630 �C. At a lower deposition temperature (�550 �C), amorphous Si will bedeposited. The deposition mechanism and kinetics of poly-Si deposition using SiH4have been widely studied for the semiconductor industry [56,123–125]. The standardLPCVD processing conditions operate in the surface kinetics limited regime. How-ever, at higher pressures (e.g. >130 Pa), the deposition processes are mass transportlimited, and at higher deposition temperatures (>700 �C), gas phase nucleation canoccur and lead to non uniformity of the deposited films [123]. The poly-silicon filmsare usually doped with dopants such as phosphorus (to increase the moisture resis-tance and reduced the internal stress of the films) or boron (to decrease etching rateand increase step coverage) using phosphine or diborane precursors, respectively inorder to provide the required semiconducting properties.The LPCVD batch process was first introduced commercially in the 1970s. It is

still the primary deposition technique, consisting of hot-wall horizontal reactors

96 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 41: Chemical vapour deposition of coatings.pdf

[126]. In-situ doping of phosphorus was normally not carried out because the pre-sence of phosphorus reduces the deposition rate and leads to non-uniform filmthickness. The doping is performed using ion implantation of the undoped layersand followed by thermal annealing.There are emergent technologies such as single-wafer reactors and cluster tools

that challenge the conventional LPCVD systems as production methods [126,127].The single-wafer reactors using SiH4 precursor allow poly-silicon to be deposited athigh growth rates of 2000 A/min at temperatures (600–635 �C) near to those ofconventional polysilicon deposition with a thickness uniformity better than 1%[126]. The in-situ doping of phosphorus in this case is possible in the range 1019–1021

cm�3. However, an additional in-situ hydrogen pre-baking is needed to eliminate thenative oxide. Cluster tools with LPCVD hot-wall reactors are used for the deposi-tion of poly-silicon integrated with wafer cleaning (vapour HF) and oxidation tubes[127]. The poly-Si in this case is typically deposited from pure SiH4 at 620

�C and 53Pa once the oxide is removed through in-situ etching.

12.1.1.2. Epitaxial Si films. Epitaxial Si films are used in bipolar integrated circuitsand have increasing applications in metal oxide silicon (MOS) and dynamic randomaccess memory devices. The growth of epitaxial Si films is one of the most challen-ging areas of silicon processing. During the ‘epitaxial’ growth, the deposited atomiclayer should be commensurate with the substrate and defect free. 1–10 defects/cm2 is atypical requirement for bipolar technology [128]. The deposition of epitaxial Si filmsonto same substrate material (i.e. silicon wafers) is known as homoepitaxial. Thegrowth of epitaxial Si films on other single crystal substrates such as sapphire andgermanium is known as heteroepitaxial. These films can be deposited using SiH4,SiCl4 and SiCl2H2 by undergoing decomposition (i.e. pyrolysis), reduction or dis-proportionation (see Section 7). The reduction of SiCl4 in hydrogen has been widelyused for the homoepitxial growth of Si films. It is also used when the shift of pattern isa major concern [129]. SiCl2H2 can also be used for the homoepitaxy of Si. It alsoallows selective growth of Si at low temperatures (�600 �C) [130]. The kinetics andgrowth rate of epitaxial silicon using chlorosilanes were found to depend linearly onpartial pressure of SiCl2H2 at high temperatures but sub-linear at low temperatures[129,131,132]. SiH4 is used for the low temperature deposition of epitaxial Si films inorder to prevent diffusion from the substrate. In general the deposition of epitaxialSi films using SiH4 is not satisfactory and this precursor is less commonly used.Therefore, growth kinetic studies using this precursor is less well investigated. Epi-taxial Si films are also normally doped with phosphorus, boron or arsenic similar tothe poly-silicon films in order to provide the required semiconducting properties.For the economical deposition of thick epitaxial films, cold wall APCVD batch

barrel reactors operated at high temperatures (e.g. 900–1200 �C) are normally used.Under such processing conditions, the growth is mass transport limited [129]. Theepitaxial deposition temperature can be reduced by lowering the deposition pressure.The deposition temperature can be lowered to about 550 �C using UHVCVD [133].Such development has been prompted by the requirement to produce highly dopedmultilayers with abrupt interfaces for high speed devices.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 97

Page 42: Chemical vapour deposition of coatings.pdf

In addition, deposition at low pressure also helps to lower the incorporation ofimpurities into the films. Significant reduction of dopant (e.g. arsenic) transitionwidth has also been observed by reducing the deposition pressure to about 1.3 kPa.This may be due to the enhanced gas phase diffusion of arsenic evolved from thesubstrate surface away from the growth surface rather than to be incorporated intothe films [134]. The growth of Si free from oxide requires the control of residualwater. This can be achieved using either ultra clean APCVD with a gas purifyingsystem controlled at the level of several part per billion or LPCVD/UHVCVD.Despite the use of a more sophisticated and expensive reactor and vacuum system,the LPCVD is gradually replacing APCVD because it can produce high qualityepitaxial films at lower deposition temperatures and with less auto-doping and patternshift.

12.1.1.3. Dielectrics (e.g. SiO, Si3N4, AlN). SiO2 is a dielectric material for themicroelectronic industry. Currently, SiO2 films are mostly deposited using theLPCVD process from the input precursor SiH4:O2 at a ratio of 1:3 at relatively lowtemperatures (about 400 �C) [135,136]. This is especially suitable for the applicationof a SiO2 passivation film on Al. This is an economical process and it can overcome thetendency for homogeneous gas phase nucleation in APCVD and the subsequentincorporation of particles into the film which results in non uniformity of the film.Moreover, the LPCVD process allows many wafer substrates stacked vertically closetogether to maximise the deposition capacity while maintaining uniform coating qual-ity. When SiO2 is used as a final passivating layer over the completed integrated circuit,it is normally doped with PH3 to minimise the intrinsic tensile stress in the film [135].Si3N4, has good electrical resistance and high dielectric strength. It is a suitable

material for a passivating layer [136] and storage capacitor in Dynamic RandomAccess Memory (DRAM) and Erasable Programmable Read-Only Memory(EPROM) memory chips [137] in the microelectronic industry. The Si source can beSiH4, SiCl4 or SiCl2H2, the nitride sources are often NH3 or N2+ H2. The commonprecursor for the CVD of Si3N4 for semiconductor industry application is SiCl2H2+NH3 and the deposition is operated at a temperature between 750 and 900

�C and alow pressure of 29–113 Pa [136,138].AlN is another dielectric film used in the microelectronic industry. This film can be

deposited using a mixture of Al2Cl6/NH3/N2 at 1000–1100�C [139].

12.1.1.4. Metallic films.Metallic films such as W, Mo, Pt Al, Cu also play importantroles as contacts and gate metallisation in the fabrication of microelectronics devi-ces, opto-electronics and optical devices, in addition to the corrosion protection.Conventional CVD involves rather high deposition temperatures. Therefore, mostof the metallic films such as Al, Cu and Ni for functional applications have beendeposited using electroplating or sputtering due to the low processing temperatures.The use of MOCVD (see Section 12.5) and plasma assisted CVD (see Section 12.2)has allowed these films to be deposited at low temperatures. MOCVD of Al, Pt andRh have become increasingly popular for providing functional thin films with betterconformal coverage than those provided by sputtering or electroplating.

98 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 43: Chemical vapour deposition of coatings.pdf

Thermally activated CVD is also used to deposit refractory metals such as W andMo. For example, Mo films for integrated circuit (IC) contact and gate metallisationis normally deposited using thermally activated CVD via the decomposition of metalcarbonyl [i.e. Mo(CO)6 ! Mo + 6CO] at temperatures above 450 �C in order toprevent the incorporation of oxygen and carbon into the films [140,141]. Molyb-denum is also used as coatings for solar converters with high power laser mirrors.CVD can produce high purity, ductile W films which are difficult to achieve using

conventional metallurgical processes. Tungsten films can be deposited using thereduction of tungsten halide in hydrogen at reduced pressure. WF6 has a lowerdeposition temperature (550 �C) than WCl6 (�1000

�C), and it is used widely as theprecursor for the deposition of W films for metallisation of ICs to replace Al films.The kinetics of CVD of W has been investigated using the hydrogen reduction ofWF6 over the temperature range 500–870

�C and pressure (0.8–8 kPa) [142]. Theoverall chemical reaction is

WF6 þ 3H2 ! W þ 6HF

The results showed that at lower temperature-pressure conditions, HF desorptionfrom the substrate is the rate controlling step in the process, while at higher pressuresand temperatures, WF6 gas-phase diffusion is the rate-limiting mechanism.WCl6 has been used to deposit highly pure W coatings for X-ray cathodes. The

use of tungsten carbonyl allows the deposition of W films at lower temperatures (e.g.300–600 �C) and reduced pressure (<2.7 kPa). Such low temperature deposition ispotentially useful for applications in microelectronics.

12.1.1.5. Other functional thin films. Examples of other functional thin films formicroelectronics and optoelectronics applications are summarised in Table 5.

Table 5

Other examples of CVD of functional thin films

Functional films Applications Precursor system Process conditions Ref.

Amorphous Si Solar photovoltaic

devices

SiH4 LPCVD, 1 Torr, 560 �C [143]

Epitaxial SiGea High speed

electronic devices and

optolelectronic

applications

SiH4 + GH4a APCVD, 900–1000 �C

LPCVD, 0.1 Torr, 610–750 �C

RTCVD, 2 Torr 525–840 �C

UHCVD, 2�10�3 Torr, 650 �C

[144]

[145]

[146]

[147]

Epitaxial GaAsP Light emitting diode AsH3+PH3+Ga/HCl +H2

APCVD, �630 �C [148]

Poly-WSi2 Silicide gates for

microelectronics

WF6+ SiH4WCl6+ SiH4

LPCVD, 0.05–0.3 Torr, �400 �C [149]

a Epitaxial SiGe films have also been deposited using halides and chlorosilanes in APCVD, LPCVD

and RTCVD reactors as reviewed by [150].

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 99

Page 44: Chemical vapour deposition of coatings.pdf

12.1.2. Ceramic protective coatingsAnother application of CVD is to deposit thick ceramic coatings (e.g. SiC, TiC

B4C, TiN, BN, Si3N4, TiB2, MoSi and Al2O3) to protect engineering componentsagainst chemical diffusion, wear, friction, oxidation and corrosion. The cost of CVDfor the protective coatings market is generally lower than the functional thin filmsfor semiconductor industry and it involves coating a large volume of engineeringcomponents.There are other competing deposition methods for protective coatings such as

physical vapour deposition and plasma spraying that are also available for thisapplication. However, plasma spraying tends to produce a splat-like structure with ahigh degree of porosity and microcracks and surface roughness. A thicker coating isrequired to provide adequate protection against wear and corrosion, and extensivegrinding and polishing post deposition is required to obtain a smoother surface.Moreover the splat-like structure is mechanically not as robust as the equiaxed/columnar structure deposited using the CVD and PVD techniques. The PVDmethod is a line-of-sight process. Therefore, the use of multiple targets and a rotatingsubstrate is often required during the PVD process in order to improve coatinguniformity on complex shape components. CVD does not have such limitations.The CVD of ceramic coatings usually involves high temperature depositions in

order to ensure good adhesion of the thick coating to the substrate as compared tolower deposition temperatures in the CVD of thin films. Such good coating adhesionis essential for thermal, chemical (corrosion, diffusion resistance) and/or mechanical(e.g. wear, abrasion resistance) properties. Therefore, this requirement limits theutilisation of CVD for applications where the substrate or engineering componentsare susceptible to high temperatures. For example, the deposition of thick, hard andwear resistant coatings onto high speed cutting tool steels using thermally activatedCVD is less suitable because tool steel has a low austenising temperature (450–550 �C). The CVD deposition of protective coatings such as TiC and TiN wouldrequire high temperatures (>800 �C), which is above the tempering temperature ofmost steels. This leads to softening of the steel substrate and subsequent heat treat-ment is required for re-hardening which may cause deformation, change of substratedimensions, and increases the cost of production. Although, other variants of CVDtechniques such as plasma enhanced CVD and metalorganic CVD (see Sections 12.2and 12.5, respectively) can lower the deposition temperatures and produce highquality ceramic protective coatings without degrading the tool steel substrates, theircost of production is still relatively high compare with other coating techniques.Therefore, low deposition process (<450 �C) such as the PVD techniques (e.g.sputtering) are still the preferred and dominant coatings techniques for ceramicprotective coatings in the tool steel market.However, high temperature CVD deposition of coatings can still find applications

where the substrates are refractory materials that can be exposed to high temperaturesin the following key application areas:

12.1.2.1. Cemented carbide tool industry. Cemented carbide is mostly made fromcobalt bonded tungsten carbide which has high temperature strength and can withstand

100 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 45: Chemical vapour deposition of coatings.pdf

relatively high deposition temperatures using the thermally activated CVD process.The commercialisation of CVD of TiC on cemented carbide tools was introduced inthe early 60s, and its application has continuously increased since then. It has beenestimated that over 90% of the cemented carbide tools will be coated by 2002. Arange of ceramic coating materials such as TiN, TiC and Al2O3 are suitable to use asprotective coatings for cemented carbide tools against wear, abrasion, corrosion andoxidation. Nowadays, most of the protective coatings are deposited in the form ofmultilayer or compositionally graded coating systems containing a combination ofTiN, TiC, Ti(C, N) and Al2O3 in different sequences for improved component life-time [151]. TiN, TiC and Ti(C,N) and Al2O3 are commonly deposited using themixture of precursor gases, TiCl4/NH3/N2, TiCl4/CH4/H2, AlCl3/CO2/H2, respec-tively. In addition, TiC, TiN, Cr–C and TiB2 have also been used in other tribolo-gical applications such as bearings, valves, nozzles, etc. [152,153].

12.1.2.2. Corrosion resistance applications. Borides such as TiB2 are generally resis-tant to molten metals, especially molten aluminium, and are commonly used toprotect crucibles in the evaporation of metals. The TiB2 coating is usually depositedusing a mixture of TiCl4/BCl3/H2.Although the corrosion resistance of carbide to molten metal is generally poor,

carbides have good resistance to sulphuric acid, sea water and industrial wastes. Forexample, chromium carbide is very corrosion resistant and is used widely as a pas-sivation interlayer. SiC coated molybdenum heating pipes can provide high tem-perature corrosion protection in an oxidising atmosphere at 830–1130 �C [154].Nitrides such as boron nitride is one of the most corrosion resistant coating

materials and is used widely as protective coating materials or CVD of free standingcrucibles for molten metals, ceramic and glass processing [155].Silicides, especially MoSi2, have good high temperature oxidation resistance by

forming thin layer adherent oxides, which protect silicides from further oxidationupto 1900 �C. Therefore, it has been used as high temperature corrosion protectioncoatings for engineering components that are exposed to corrosive atmospheres suchas CO2, SO2 and N2O. MoSi2 can be deposited using low pressure CVD from amixture of MoCl5/SiH4 at 650–950

�C or MoF6/SiH4 at 250–300�C [156,157].

Oxides such as Al2O3, SiO2 have been widely used to provide effective protectionfor stainless steel in corrosive environments such as CO2 up to 1000

�C [155,158], aswell as oxidation protection for carbon steel at elevated temperatures.

12.1.2.3. Composite coatings for tribological applications. R&D has been carried outto deposit composite coatings in-situ by the simultaneous deposition of powder/particlespecies during the CVD process. The powder can be injected into the gas phase duringthe deposition or formed via homogeneous gas phase reactions. For example, theinclusion of hard particles in a ductile matrix for tribological applications [159], andinclusion of chromium and aluminium containing phases in protective coatings whichform protective oxide layers that are useful for protection against high temperaturecorrosion [160]. Hirai and Goto [161] have reported a variety of particle reinforcedceramic matrix coatings for high temperature protection and tribological applications.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 101

Page 46: Chemical vapour deposition of coatings.pdf

12.1.2.4. Nuclear applications. Chemically inert refractory materials with low atomicnumber such as TiB2, TiC, and B4C have been used to coat fusion devices. For example,TiB2 and B4C have been reported to coat outer wall in fusion reactor [162,163]. TiCcoatings have been applied on graphite for neutron beam armour [164].CVD is also used widely to coat nuclear fuel particles such as fissile U-235, U-233

and fertile Th-232 with pyrolytic carbon [21]. The carbon coating of fuel particle isnormally performed in a CVD fluidised bed reactor. Fig. 12 shows a typical CVDfluidised bed reactor, which is normally used for depositing coatings onto particles.Carbon is deposited from the decomposition of a hydrocarbon precursor such aspropylene (C3H6) at 1350

�C. The carbon coated fuel particles are subsequentlyconsolidated into fuel rods and assembled to form fuel elements. The role of thecarbon coating is to contain the by-product of the fission reaction and thus minimisethe use of shielding. This also serves as a protective coating against corrosion duringsubsequent processing. Hua et al. [165] have explored the use of fluidised bed CVDto deposit nanocrystalline SnO2 thin films onto ultrafine Al2O3 particles using amixture of SnCl4–H2O–N2 precursors. The produced coated particles have potentialapplications for conductive ceramics and high temperature electrodes with resistanceto high temperature and corrosion.

12.1.2.5. Biomedical applications. Isotropic carbon is completely biologically inert.It has low density (2.1 g/cm3) and high strain to failure (1.2%) [166]. CVD is the

Fig. 12. A typical CVD fluidised bed reactor for depositing coating onto particles.

102 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 47: Chemical vapour deposition of coatings.pdf

main deposition process for isotropic carbon for medical implants such as heartvalves, ear prosthesis, dental implants etc. Isotropic carbon is usually depositedusing the pyrolysis of hydrocarbon (e.g. methane) at 1200–1500 �C to deposit car-bon with a turbostratic structure consisting of randomly oriented small crystalliteswith very little ordering.

12.1.3. Diffusion coatingDiffusion coatings formed through aluminising, for example, are often deposited

on the outer surface and inner cooling channels of turbine blades to produce therequired bond coat layer (nickel aluminised) between the ceramic top coat (e.g.Y2O3–ZrO2) and the Ni-superalloy substrate. This helps to minimise the thermalexpansion mismatch between the top coat and the Ni-alloy substrate, and improvesthe adhesion of the top coat as well as providing the required high temperatureoxidation and hot corrosion resistance. Aluminising is carried out using non-line-of-sight CVD-based processes such as pack cementation and thermally activatedCVD.

12.1.3.1. Pack cementation. Pack cementation is a variation of CVD where thevolatile gaseous reactants are generated within the powder packing as compared tothe usual vapour generation routes in CVD. This process involves packing compo-nents to be coated in a powder mixture of a pure metal or alloy coating source andan activator (typically, a halide salt, and an inert filler material such as alumina).The assembly is subsequently heated to a high temperature (e.g. 900–1100 �C) wherethe activator is decomposed and liberates a halogen gas, which reacts with the metalelement to form a volatile metal halide. The metal halide subsequently undergoesdecomposition at the surface of the engineering components to form the coating.The process parameters are the concentration of the vapour reactants and reactiontemperature [167,168]. The deposited Al coatings are subsequently heat treated toform the diffusion coatings where the Al content decreases gradually from the outersurface to the coating/substrate interface. The chemical composition of the gradientalso depends on the substrate composition. This method has been used to coat bothinternal and external walls of turbine components. Such processes were first exploi-ted commercially by Chromalloy Gas Turbine Corporation to improve the life andperformance of turbine components in the 1950s [167]. The process has been refinedby introducing Pt, Rd and Pd into the coating system by electroplating prior toaluminising to increase the life of the component further [169,170].Pack cementation is a simple, inexpensive and reproducible method for coating a

large number of complex shape components uniformly. Therefore, this method hasalso been used to provide wear, corrosion and/or oxidation resistant coatings forengine parts in chemical and petroleum processes, pump shafts, valves, cylinder linernuts, bolts, etc. The process can be based on aluminising, chromising (900–1100 �C),siliconising (900–940 �C), as well as boriding (800–1000 �C) [171].The limitations of pack cementation method are

the easy incorporation of contaminants.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 103

Page 48: Chemical vapour deposition of coatings.pdf

large amount of starting metal powder is wasted and the powder is costly tobe disposed.

labour intensive with respective to cleaning the coated components after packcementation, prior to subsequent heat treatment to form the diffusion coating.

relatively long coating cycle times.

12.1.3.2. CVD of aluminising. Unlike the pack cementation process, the thermallyactivated CVD (TACVD) generates vapour reactants away from the componentsand the use of hydrogen gas to remove any impurity and contaminants. Moreover,TACVD has a relatively shorter processing time and does not require cleaning of theAl coated components after deposition, prior to subsequent heat treatment, andremoval of aluminium waste powder. Therefore, the cost saving and high purity ofthe TACVD coated components have prompted the aerospace industry to adopt theTACVD for aluminising turbine components.During the TACVD process, a mixture of AlCl3 and H2 reactants is reacted at

about 900 �C, to deposit the aluminium coating onto the internal and external partsof turbine components. AlCl3 vapour can be formed from flowing hydrogen chloridegas over Al powder or vaporising the liquid AlCl3 precursor. Heat treatment issubsequently performed at a high temperature (about 1000–1100 �C) effecting thediffusion and formation of nickel aluminide coatings. CVD of Al is also performedon Ni-alloys that have been pre-electrolytically coated with about a 10 mm thick Ptlayer. The subsequent heat treatment will form platinum aluminides to improve thehigh temperature oxidation and corrosion of Ni-based alloys.

12.1.4. Optical fibresThere are two commercial fabrication methods for optical fibres, namely CVD

and direct melting. The direct melting is a simple and low cost process for the fab-rication of thick optical fibres (up to �420 mm in diameter). However, this processtends to incorporate impurities into the fibres during processing leading to highattenuation (e.g. 15 dB/km). These fibers are limited to short distance multimodeapplications. Whereas CVD is capable of producing high quality optical fibres withlow attenuation (<0.5 dB/km) which are suitable for both mono- and multimodelong distance applications [21]. CVD is an established method for the production ofhigh volume optical fibres at low cost. A brief review of the use CVD to produceoptical fibres is given in Refs. [21,172,173].During the TACVD of optical fibres, a mixture of high purity precursors (typically

SiCl4/GeCl4/POCl3/O2/CCl2F2) is delivered into a rotating silica tube which is heatedby a traversing heat source (e.g. oxy-hydrogen burner) as shown in Fig. 13. The SiO2particles are formed in the gas phase via homogenous gas phase reaction SiCl4+O2!SiO2+ 2Cl2) and deposited in the form a porous mass ahead of the burner, initiallyonto the wall of the silica tube. The heat of the burner fuses the porous mass into asintered glassy layer. The deposition process continues until the core material has beendeposited. The tube is then heated at high temperature (e.g. 1800 �C) to form a solidpreform rod which is subsequently heated and drawn to �100 mm fibres.

104 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 49: Chemical vapour deposition of coatings.pdf

PECVD has also been used to produce optical fibres, whereby a traversingmicrowave cavity is used to replace the oxy-hydrogen burner in the TACVD pro-cess. Although it is more expensive and uses a sophisticated reactor and vacuumsystem, it can produce compact glass fibres directly unlike in the TACVD process. Italso allows more accurate grading of the refractive index of the optical fibres [21].

12.1.5. Ceramic monofilament fibresCVD is the method used commercially for the fabrication of B and SiC mono-

filament fibres. These fibres are manufactured by depositing thick ceramic coatingsof B or SiC onto refractory filaments such as C or W.These fibres, especially SiC are used as reinforcements in metal matrix composite

in the production of high strength, low density and high creep resistant propertiessuch as Ti-based metal matrix composites (Ti–MMCs) for aerospace applications,e.g. structural materials for aerojet components and compressor blades.Compared with other deposition methods such as PVD (e.g. sputtering, evapora-

tion and ion implantation), CVD has the ability to produce dense, uniform depositswith well controlled surface morphology and composition without relying on line-of-sight between the source material and the substrate. Furthermore, this techniqueoffers the potential for rapid and continuous processing that would be desirable forlarge-scale composites programmes. There are also other simple and low-cost fibreproduction processes based on the used of sol-gel processing which uses alkoxide orpolymeric precursors [174]. The sol-gel process is limited to the production of oxidefibres and certain non-oxide fibres. In general, CVD is used to produced large dia-meter fibres with high strength and tensile modulus.Boron monofilament fibres are produced using CVD of BCl3 in H2 at about

1300 �C. The deposition rig use to produce the fibre is similar to that used for SiCfibre production in Fig. 14. The common monofilament fibres used nowadays asreinforcements in MMCs are based on SiC because they are relatively cheaper (BCl3is an expensive precursor for the fabrication of B fibres) and thermochemically morestable than B fibres. There are two major manufacturers of monofilament SiCmonofilament fibres: DERA Sigma, UK (produces Sigma SiC with W-core) and

Fig. 13. A schematic diagram of the CVD apparatus used for the fabrication of optical fibre (adopted

from [172]).

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 105

Page 50: Chemical vapour deposition of coatings.pdf

Textron, USA (produces SCS family of SiC fibres with C-core). These fibres arenormally produced using a CVD cold wall reactor configuration, as shown in Fig. 14During the production, a tungsten wire or carbon filament substrate is drawn con-tinuously through a reactor via mercury seals at each end at a high speed (e.g. about13 m min�1) through a relatively long reactor (e.g. �4 m). The mercury seals(usually amalgam) act as gas seals, as well as the contact electrodes to heat up thefilament resistively. After having passed through the cleaning chamber to removeoxide and contaminants/residuals from the filament surface, the substrate enters thedeposition chamber, where gaseous silane compounds (e.g. dichloromethylsilane,CH3Cl2SiH, methyltrichlorosilane CH3SiCl3) are introduced, and undergo a pyr-olysis reaction to deposit b-SiC onto the heated substrate.The substrate is resistively heated to a deposition temperature of �900 �C. The

deposition is performed at atmospheric pressure. Such high deposition temperatureand pressure as well as relatively low flow rate of reactants favour a mass transportcontrolled mechanism in order to yield a high deposition rate. The deposition at

Fig. 14. A vertical cold-wall CVD reactor for the fabrication of ceramic monofilament fibres.

106 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 51: Chemical vapour deposition of coatings.pdf

atmospheric pressure also avoids the use of vacuum systems, thus minimising the costof fabrication. The substrate, which subsequently forms the fibre core has diameterbetween 10 and 25 mm, while the overall diameter of the final filament is �100 mm(Sigma) or 140 mm (Textron). The mean tensile strengths of SiC fibres produced byDERA Sigma and Textron are 3.6 and 4.2 GPa, respectively. Under certain depositionconditions, free Si can be co-deposited. But this has to be minimised since it weakensthe fibres [175]. Therefore, the control of deposition conditions is critical in producingstoichiometric SiC. The main process parameters during the fabrication of SiC fibresare temperature of monofilament, input gas ratio H2/silane, gas flow rate, spoolingspeed (i.e. fibre residence time). The temperature of the filament can be controlled byvarying the applied voltage to the mercury electrode and composition of the precursors.During the SiC deposition, the fibre diameter and surface roughness can be monitoredusing a photo-optical diameter sensor. The fibre fabrication process has been subjectedto significant advanced development, many details of which remain proprietary.

12.1.6. Fibre coating12.1.6.1. Ceramic monofilament fibres. Severe interdiffusion and chemical reactionsbetween the SiC monofilament fibres and Ti-alloy matrices occur at elevated tem-peratures (> 700 �C) during composite fabrication and/or in service, leading to theformation of a brittle reaction layer and deterioration of the mechanical propertiesof the composites [176,177]. Therefore, protective coatings are needed to minimisethe SiC/Ti interfacial reactions.Textron offers C-coated SiC fibres to minimise the SiC/Ti interfacial reactions at

elevated temperatures. Sigma UK also introduces C (1140+) and C/TiB2 (1240+)coated SiC fibres to minimise the interfacial reactions as well to subject the coatedfibres to compressive stress wading and improve the handling capability of the fibreand thus preserving the strength of the fibres. All these commercial protective coat-ings onto SiC monofilaments have been deposited using CVD rather than any othercoating technique for the similar reasons as those discussed for fibre production asdescribed earlier. However, both the coating systems used are incapable of prevent-ing the deleterious SiC/Ti interfacial reactions at elevated temperatures [178,179]. Inthe case of C coating, the interfacial reaction between the coating and matrix occurs(Ti+C!TiC) which consumes the coating. Moreover, the relatively uncontrolledformation of TiC may result in cracking and weakening of the Ti-MMCs. If the Ccoating is fully consumed at elevate temperatures, Si from SiC will diffuse into TiCto form Ti–Si–C compounds. In addition, the thin C coating also presents problemswhen incorporated into other MMCs such as Al-MMCs. For example, wetting doesnot occur readily and molten aluminium reacts with the C layer, reducing the tensilestrength of the individual filaments [180]. Boron rich phases produced at the outerTiB2 coating of the C/TiB2 (1240+) leads to the formation of needle-like TiB withthe matrix (B+Ti ! TiB). Such needle-like formation was not observed in stoi-chiometric TiB2 coated SiC fibres and the coating has successfully inhibited thefibre–matrix interfacial reaction upto 1100 �C. The stoichiometric TiB2 coatings canbe achieved by carefully controlling the input gas ratio of TiCl4:BCl3 during theCVD process [181].

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 107

Page 52: Chemical vapour deposition of coatings.pdf

R&D has been carried out to investigate various types of coating systems that mightbe able to protect SiC fibre in titanium matrices. For example, Al2O3, VBx, TaBx [182],Si, TiSi2, Y2O3, ZrO2 and HfO2 [183] duplex Y/Y2O3 layer [184], TiB2, TiC and TiN[185,186]. Among these protective coating systems, only TiB2 and TiC coatings havesuccessfully inhibited the SiC/Ti interfacial reactions [187,188]. Fig. 15 shows a typicalcross-section of the TiB2 coated SiC fibre deposited using a gaseous mixture of TiCl4,BCl3 and H2 at 1150

�C. However, stoichiometric TiB2 and TiC coated fibres can onlyretain 85 and 48%, respectively, of the strength of the virgin SiC fibres [189]. Moreoverthere is some Si diffusion from SiC fibres into the TiC coatings [188].The use of compositionally graded coatings system such as C/TiC/Ti on SiC fibres

seems to be able to (i) solve the interfacial problems encountered in SiC/Ti, as wellas (ii) conserve the strength of the as-received SiC fibre and (iii) provide effectiveprotection for SiC fibres in Ti matrices against deleterious interfacial reactions athigh temperatures up to 1100 �C [190]. The compositionally graded coating systemsof C/TiC/Ti are also able to provide effective protection for SiC monofilaments inthe molten Al-matrix during composite fabrication [191]. Other multilayer or com-positionally graded coating systems with a compatible carbide interlayer and outercarbon layer are potentially suitable coating systems to improve the handleability offibre, prevent chemical and mechanical damage of the fibres during processing or in-service, enhance fibre debonding and slip in the MMCs, and hence the toughnessand strength of the composite materials. During R&D these various coating systemsstudied have been deposited using CVD or PVD. However, the suitable coating

Fig. 15. A typical cross-section of the TiB2 coated SiC monofilament fibre deposited using a cold-wall

CVD reactor.

108 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 53: Chemical vapour deposition of coatings.pdf

systems will ultimately have to be deposited using the CVD method onto SiCmonofilament fibres which is the most versatile and commercially viable method forfibre coating, especially for non-oxide protective coatings.

12.1.6.2. Carbon–carbon composites. Carbon–carbon composites fabricated usingchemical vapour infiltration (see Section 12.10) have a relatively low oxidationthreshold of about 370 �C. Therefore, they require protective coatings against hightemperature oxidation. The oxidation resistance coating system can consist of

an intermediate CVD SiC layer to prevent carbon diffusion, followed by anouter layer of CVD of Si and B containing glass formers to seal any cracks inSiC and act as an oxygen barrier [192], or

an intermediate CVD pyrolytic carbon to increase the mechanical compat-ibility of the subsequent CVD SiC layer with the carbon–carbon composites,followed by an outer CVD Al2O3 layer which acts as an oxygen barrier [193].

The cracking of the SiC coating due to thermal cycling can be overcome byintroducing an interlayer of CVD of TiC between the carbon–carbon (C–C) com-posite and SiC coating [194,195]. A significant improvement in the oxidation resis-tance has been observed and the number of cracks was significantly reduced in SiC,as compared to those without a TiC interlayer. The direct deposition of SiC onto C–C composites generates a large tensile stress in the SiC coating due to the largethermal expansion mismatch between the SiC (4.6�10�6 K�1) and C–C composite(0.3–0.5�10�6 K�1). Whereas, the small difference in the coefficient of thermalexpansion of the presence of a TiC interlayer (7.6�10�6 K�1) generates a compres-sive stress in the SiC coating thus preventing thermal cracking. The oxidation resis-tance seems to increase with the increase in the TiC/SiC ratio. This may beattributed to the increase in compressive stress with the increase of the TiC/SiC ratiowhich inhibits the cracking propagation [196].The use of compositionally (also known as functionally) graded coatings of SiC/C

have shown not only able to provide oxidation resistance but also to improve thethermal barrier and thermal shock resistance of the coated components. Composi-tionally graded SiC/C fabricated from a mixture of SiCl4–C3H8–H2 precursors byvarying the Si/(Si+C) input gas ratio (e.g. 0.66, 0.62, 0.58, 0.52, 0.23, 0) stepwisewhere the flow rate of carrier gas H2 for SiCl4 was controlled while that of C3H8 waskept constant. The stepwise change in the ratio of reactant mixture enables thedeposition of SiC/C coating with a continuous graded composition, consisting ofSiC, SiC–C, C–Si and C phase. The deposition temperature was maintained at1500 �C and at a total gas pressure of 6.7 kPa [197,198]. The thermal barrier char-acteristics of compositionally graded SiC/C and non graded SiC coated sampleswere evaluated and compared. The non-graded samples showed cracking generatedby thermal fatigue after 40 repeated heating cycles placing the top surface at 877–1427 �C, and the bottom surface at 627–927 �C in vacuum. No cracking wasobserved in the graded SiC/C coated samples [199]. The thermal shock resistancewas assessed using a 5 kWCO2 laser [200]. The laser power density value where cracks

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 109

Page 54: Chemical vapour deposition of coatings.pdf

started to show on non-graded samples was at 5.8 MWm�2 while for graded sample itwas at a higher value of 7.4MWm�2. Such graded SiC/C could also be introduced intothe protective coating system for C–C composites in order to improve the oxidationresistance and the thermo-mechanical properties of the composites.

12.2. Plasma enhanced chemical vapour deposition

12.2.1. Process principlesPlasma Enhanced Chemical Vapour Deposition (PECVD) is also known as glow

discharge chemical vapour deposition. It uses electron energy (plasma) as the acti-vation method to enable deposition to occur at a low temperature and at a reason-able rate. Supplying electrical power at a sufficiently high voltage to a gas at reducedpressures (<1.3 kPa), results in the breaking down of the gas and generates a glowdischarge plasma consisting of electrons, ions and electronically excited species. Thevapour reactants are ionised and dissociated by electron impact, and hence gen-erating chemically active ions and radicals that undergo the heterogeneous chemicalreaction at or near the heated substrate surface and deposit the thin film. The tem-perature of the electron can be on the order of 20,000 K or higher, while the tem-perature of the vapour reactants may remain near room temperature, depending onthe pressure at which the discharge is operated.PECVD can be operated using either direct or remote modes. The direct PECVD

reactors such as rf diode, microwave and inductively coupled plasma involve gas-eous precursors, inert carrier gas and substrates being placed directly in the plasmasource region. However, the remote PECVD methods generate plasma away fromthe deposition zone. This can avoid damaging the films caused by energetic ions andelectrons in the plasma. There are three main geometries of PECVD reactors: par-allel-plate discharge, tube with capacitive coupling and tube with inductive coupling.The physics and chemistry of plasma and its formation in relation to the type ofreactor have been described and reviewed in references [25,201]. There are also otheremergent PECVD reactors that try to overcome the limitation of the existing com-mercial PECVD reactors. For examples, those based on the electron cyclotronresonance CVD reactors that make use of the electron cyclotron resonance phe-nomena, which can create a large flux of low energy ions and enable deposition tooccur at reasonable rates to minimise the gas phase nucleation of particles. This typeof reactor is at the R&D stage and yet to be developed commercially. Scheib et al.[202] has employed Electron Cyclotron Wave Resonance (ECWR) for plasma exci-tation during the deposition of hydrogenated nanocrystalline silicon (nc-Si:H) films.These films can be produced at high deposition rates up to 6.5 A/s with pure SiH4,as the process gas in contrast to the conventional glow-discharge technique wherethe hydrogen dilution is needed for the formation of the crystalline phase. This leadsto considerably low deposition rates.Dehbi-Alaoui and Matthews [203] have used filament-enhanced plasma assisted

CVD. The aims are to (i) increase the degree of ionisation, (ii) reduce the voltageapplied to the cathode, and (iii) reduce the C/H ratio in the plasma product in orderto deposit improved DLC films with a higher optical band gap and a lower hydrogen

110 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 55: Chemical vapour deposition of coatings.pdf

content. The degree of ionisation has been increased further using an improved dis-charge layout which can provide specimen (cathode) current densities several ordersof magnitude higher, across a wider pressure range. This produces films with ahardness of over 8000 HK at a deposition rate of 2–3 mm/h on stainless steel andmild steel, in a methane/hydrogen plasma containing 0.5–1.0% methane [203].The chemical reactions that occur during the glow discharges are complex and can

be categorized into homogeneous gas phase collisions and heterogeneous surfaceinteractions. Bell [204] has studied the ways to generate reactive free radicals andions through the homogeneous gas phase collisions of electrons with vapour reac-tants and proposed generic examples of electron impact reactions and the rate ofreactions. Inelastic collisions between heavy particles during the homogeneousimpact reaction have also been considered [201,204,205].Catherine [206] has considered the overall complexity of the plasma process and

the growth kinetics during the PECVD of films. This includes the influence ofparameters to generate the plasma and the characteristics of such a plasma (e.g.electron ion densities and fluxes, residence time, etc.), which influence the ion energyand substrate temperature.Unlike thermally activated CVD, there is limited available fundamental informa-

tion about the PECVD process. Hence, it is difficult to establish the relationshipbetween the processing parameters and properties of the films because of the com-plexity of the PECVD reactions. Therefore, PECVD is limited to low temperatureapplications where it can not be met by thermally activated CVD.

12.2.2. Disadvantages and advantages of PECVD12.2.2.1. Disadvantages. The other drawback of PECVD is that it requires the use ofa vacuum system to generate the plasma, and a more sophisticated reactor to con-tain the plasma. Therefore, PECVD is often more expensive than the thermallyactivated APCVD system. However, PECVD can find applications where technol-ogy will outweigh the cost of fabrication and also where low deposition tempera-tures are required on temperature sensitive substrates, which can not be met by theconventional CVD such as the examples mentioned above.In general, PECVD has difficulty in depositing high purity films. This is mostly

due to the incomplete desorption of by-product and unreacted precursor at lowtemperatures, especially hydrogen which remains incorporated into the films. Suchimpurities in some cases, however, is beneficial. For example, the incorporation ofhydrogen in amorphous silicon films provides improved optoelectronic propertiesand it is advantageous for solar cell applications. Whereas, in other cases, such asrefractory ceramics of carbides, nitrides, oxides and silicides, the presence of impu-rities affect the stoichiometry of the deposited films and hence the physical, chemi-cal, mechanical and electrical properties of the films.The use of high ion energy plasma, exceeding 20 eV could damage some fragile

substrates (e.g. some III–V and II–VI semiconductors) by strong ion bombardmentand needs to be avoided. PECVD at low frequencies is also prone to induce unde-sirable compressive stresses in the films [11]. This is especially damaging in thick filmfor tribological applications, which could lead to cracking or spalling of the films.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 111

Page 56: Chemical vapour deposition of coatings.pdf

12.2.2.2. Advantages. The main advantage of PECVD over other CVD methods isthat the deposition can occur at relatively low temperatures on large areas. It alsooffers the flexibility for the microstructure of the film and deposition be controlledseparately. The ion bombardment can be substituted for deposition temperature toobtain the required film density. Such low temperature deposition is important forapplications that involve the use of temperature sensitive substrates. For example, atemperature between 800 and 900 �C is required to deposit Si3N4 using the thermallyactivated CVD process. Such high temperature prevents the use of thermally acti-vated CVD to deposit Si3N4 on integrated circuits as a final passivation layerbecause the final metallisation is Al (m.p. 660 �C). Whereas, PECVD can deposithigh quality Si3N4 films at about 350

�C using a mixture of SiH4/NH3 precursors.Smith et al. [207] have proposed a deposition mechanism through the formation ofactive intermediate species of aminosilanes [Si(NH2)3] which are absorbed onto agrowing surface and undergo subsequent condensation reactions to deposit Si3N4and eliminate hydrogen. The proposed model is consistent with the in-situ studies ofSi3N4 deposition using X-ray photoelectron spectroscopy [208]. PECVD has thetendency to introduce a significant amount of hydrogen (above 1021 cm�3) into thefilms [209] which leads to the deposition of SiNxHy films. The amount of hydrogenpresent in the film will influence the properties of films such as optical absorptionedge, refractive index, electrical conductivity, etch rate, etc. The incorporation ofhydrogen can be minimised effectively using a mixture of NH3/NF3 as the nitrogensources [210,211]. Oxygen is another possible impurity, which is often detected in thefilms, which could increase the rate of etching, and reduce the refractive index [212].This impurity can originate from small vacuum leakage in the system, water vapourdesorbed from the wall of reactors or exposure to air after deposition.The deposition temperature of PECVD can be lowered further by the use of

metalorganic precursors (MOPECVD). For example, Rie et al. [213,214] haveexplored the use of metalorganic as precursor in the PECVD process to lower thedeposition temperatures from 450–550 to about 140 �C. This deposition temperatureis considerably lower than the conventional thermal CVD (900–1100 �C). This haswidened the scope of substrates that can be used. They have deposited TiN, TiC,TiCN, ZrCN, ZrBCN, BCN single and multilayer systems on steel substrates, hardmetals, cermet substrates and age hardening aluminium alloys to improve the wearand corrosion resistance. Field tests of dies in aluminium pressure die casting show thesubstantial improvement reached by the MOPECVD deposited functional coatings.The commercial plasma reactor was first developed in 1970s for the deposition of

Si3N4 passivation layers [215] replacing the silicon oxide/metal packaging technol-ogy and allow the use of plastic packaging. Reviews on the PECVD methods areavailable in references such as [216–219]. With the availability of high vapour pres-sure metalorganic precursors at reasonable prices, the commercial applications ofmetalorganic assisted PECVD have been extended from semiconducting, dielectricand metallic films to new applications including diamond deposition diffusion bar-riers, optical filters, abrasion resistant coatings on polymer, powder coating, fibrecoating and biomaterials [216]. Here are further descriptions of some of theseexamples.

112 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 57: Chemical vapour deposition of coatings.pdf

Fig. 16. Schematic diagrams of (a) microwave plasma; (b) hot filament; (c) plasma arc deposition appa-

ratus for CVD of diamond.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 113

Page 58: Chemical vapour deposition of coatings.pdf

12.2.3. Examples of applications12.2.3.1. Diamond. The diamond film is one of the potentially most versatile anduseful materials of great technological interest due to its highest hardness, chemicalinertness, highest thermal conductivity, optical transparency, wide energy band gap,low dielectric constant and high carrier mobility. Diamond has face-centred cubicstructure which consists of essentially pure carbon with a hydrogen content less than1%. Each carbon atom is tetrahedrally coordinated to four other carbon atomsthrough sigma bonds formed from the sp3 orbital hybridization. It can be identifiedby Raman spectroscopy, with the characteristic peak at 1332 cm�1.There are various potential applications of diamond films. For example, speaker

diaphragms have been coated with thin films of diamond to provide improvedacoustic properties. Diamond films also have potential applications as heat sinks formicroelectroncis and opto-electronics, sensors, microwave devices, coatings for IRwindows, in nuclear detectors, UV imaging, cold cathodes. It is also widely used inthe studies of nuclear and atomic/particle physics. The use of CVD to synthesisediamond films has been reviewed [210,220].Pure diamond films can not be synthesized using the conventional thermally acti-

vated CVD or other physical vapour deposition method such as evaporation, sput-tering or ion plating. A more intense energy form such as plasma is required toenable the growth of diamond films. There are three main processes based onPECVD for the fabrication of diamond films. These include microwave plasma, hotfilament and plasma arc deposition (Fig. 16). Although other method such as acet-ylene flame deposition [221] has been used as a simple and low cost method for thedeposition of diamond films, it is difficult to deposit highly pure diamond films ascompared to those deposited using PECVD based processes. Therefore, this methodis less suitable for depositing diamond films for microelectronic applications and willnot be discussed in detail here.Microwave-plasma-assisted chemical vapour deposition (MPCVD) is one of the

most common deposition techniques for diamond films. The microwave plasma canbe generated using a microwave generator (typically 2.45 GHz) with an electrondensity (typically 1020 electrons/m3). The process parameters can be varied todeposit high quality diamond films with uniform thickness at different conditions forvarious applications. The deposition rates and nucleation density can be enhancedby adding about 0.5% of oxygen in the percursor mixtures [222]. The nucleationdensity can also be increased by a biased pretreatment of the substrate with a highermethane concentration (about 5–10%) [223]. Smooth (20–50 nm root mean square)diamond films with nanocrystalline structure have been grown using the microwaveplasma assisted CVD method in Ar and fullerene (the carbon source) [224,225].These films have ultra-low friction and wear properties. The friction coefficients ofthese films against Si3N4 balls are 0.04 and 0.12 in dry N2 and air respectively,comparable to that of natural diamond sliding against the same pin material, but arelower by factors of 5–10 than that afforded by rough diamond films grown in con-ventional H2 + CH4 plasma. Nanocrystalline diamond films (average grain size 15nm) were grown in an Ar–C60 microwave plasma [226]. The use of halogenatedcarbon–hydrogen diamond precursor has been found to enhance low temperature

114 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 59: Chemical vapour deposition of coatings.pdf

growth, nucleation density and the quality of diamond films when compared to themore common carbon–hydrogen system. The increase in diamond quality in thehalogenated systems might be due to the preferential etching of graphite by Cl, Fand HF. The utilisation of halogenated precursors in the CVD of diamond has beenreviewed by Asmann et al. [227].Hot filament such as heated W filament or Ta (wire or tube) heated to high tem-

peratures (typically above 2000 �C) can cause the formation of atomic hydrogen andgeneration of plasma. Diamond films have been synthesised using hot filament che-mical vapour deposition. The diamond nucleation rate is greatly enhanced in the hotfilament chemical deposition process if a negative glow discharge is producedaround a cathode wire above a substrate [228,229]. The appearance of bias currentand plasma induced on the substrate surface was found critical for the enhancementof diamond nucleation density. The diamond deposition depends on the surfaceorientation of the grains in the substrate, and occurs preferentially on faceted sur-faces with hill-and-valley structures. The deposited diamond particles show a ten-dency to orientate in one direction [229]. The substrate temperature (typicallybetween 850 and 1000 �C) and working distance (i.e. between the hot filament andthe substrate) can affect the microstructure, composition and deposition rate of thediamond films. The working distance is normally kept below 1 cm to avoid therecombination of atomic hydrogen. Although this is a simple and low cost techniquefor the deposition of diamond films, the hot filament has a rather short life becauseit undergoes carburisation and embrittlement at high temperatures in a hydrocarbonrich atmosphere. Moreover, the filament is prone to evaporate at elevated temperaturesand contaminate the diamond films.The plasma arc diamond deposition involves the generation of a high intensity arc

between two water-cooled metal electrodes (W cathode and Cu anode) using DC,AC or high frequency current (RF), and a very high temperature can be reached(above 5500 �C) [230]. Fig. 16(c) shows a schematic diagram of the plasma arcdeposition apparatus for diamond deposition. Such high temperatures can cause thecomplete decomposition of H2 into atomic hydrogen which facilitate the diamonddeposition, and leading to a very high deposition rate (e.g. >200 mm/h) as comparedto MPCVD and hot filament CVD which are typically in the range of 0.5–1 mm/h.Moreover, as atomic hydrogen and carbon species are heated in the plasma arc, theyundergo sudden expansion and transported instantaneously to the heated substratesurface. Thus this minimizes the homogeneous gas phase reactions and recombinationof hydrogen.

12.2.3.2. Diamond-like carbon. PECVD can easily be used to deposit diamond-likecarbon (DLC) films which consist of a mixture of sp2 and sp3 bondings. The ratio ofsp2:sp3 can vary as a function of hydrogen content (upto 40 at.% hydrogen). DLCfilms have high hardness and chemical inertness like diamond. The refractive indexand electrical conductivity of DLC can be varied by varying the hydrogen content inthe films. DLC films have been deposited using PECVD onto polycarbonate toimprove the resistance of polycarbonate to radiation-induced degradation. Theconcentration of free radicals in the uncoated polycarbonate rapidly decreased to

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 115

Page 60: Chemical vapour deposition of coatings.pdf

4.4% when exposed to the gamma radiation emitted from a Co-60 source (to thelevel of 106 rad). However, the DLC coated polycarbonate remained at 60% [231].This suggests that DLC inhibits the oxidation reaction between free radicals andoxygen in the surrounding air.Diamond-like carbon (DLC) films are also being used to improve the tribological

properties between the head drum and video cassette recorder (VCR) tape by pro-viding anti-abrasion and low friction properties. Lee et al. [232] had deposited10.1 mm thick DLC by high frequency PECVD on VCR head drums using puremethane. The performances of the DLC coated head drums were tested for 1000 h inVHS type VCR using a scheduled operation mode variation and under ambientconditions. It was observed that the DLC coatings on VCR head drums preventsurface damage caused by the VCR tape and the wear rate of VCR heads was alsoreduced by a factor of about 50%.

12.2.3.3. Nitrides. Plasma assisted CVD has also been used for the fabrication ofnon diamond materials such as nitrides. The production facilities for IC-technologyapplications of CVD-TiN are on the edge of breaking through using PECVD wherethe deposition temperatures have been reduced to 500–600 �C. Research and devel-opment have shown even lower deposition temperatures possible for TiN andTi(C,N) layers using MOPECVD.Other nitrides such as boron nitride (BN) have been deposited using BCl3 and N2

as precursor gases to produce nanocrystalline boron nitride (BN) films consisting ofhexagonal grains of 3 nm in size [233]. The electron emission characteristic of Si tiparray is much improved by coating with nanocrystalline BN films. The energy gap isestimated to be 6.0 eV from ultraviolet-visible optical transmission measurements. Thetunneling barrier height is estimated to be 0.1 eV from the Fowler–Nordheim plot.In-situ doped nanocrystalline BN, AlN and GaN films were deposited by

Werbowy and co-workers [233] using plasma assisted CVD-based method on siliconsubstrates. As a result c-BN(n-type)/Si(p-type) as well as AlN(p-type)/Si(n-type) andGaN(p-type)/Si(n-type) heterojunction structures were fabricated. A hypotheticalenergy band diagram of the heterostructures was proposed [234]. The AIN layersnot only showed good breakdown strength but also demonstrated exceptionallystable and repeatable electrical behaviour [233]. The nanocrystalline AlN layersgrown on silicon substrates at room temperature exhibits relatively low excitationenergy and seems to be promising for light emitting applications [235–237].Nanocrystalline nitride/amorphous nitride have also been fabricated using

PECVD to improve the hardness and oxidation resistance of coated component.Veprek et al. [238] deposited several micron thick films of nanocrystalline Me(x)N/amorphous-Si3N4 (Me=Ti, W, V) materials using PECVD at a rate of 0.6–1 nm s

�1

from the corresponding metal halides, hydrogen, nitrogen and silane at depositiontemperatures of less than or equal to 550 �C. A low content of chlorine of less thanor equal to 0.3 at.% assures their stability against corrosion air. The nanocrystal-line/amorphous film helps to avoid the formation and multiplication of dislocationsin the nanocrystalline phase, and blocking the crack propagation in a 0.3–0.5 nmthin amorphous layer. The hardness of these nanocrystalline films is greater than or

116 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 61: Chemical vapour deposition of coatings.pdf

equal to 50 GPa (�5000 kg mm�2). The elastic modulus is greater than or equal to500 GPa and they have a high stability against oxidation in air up to 800 �C.Moreover, they are thermodynamically more stable than diamond, c-BN, and C3N4and can be prepared relatively easily.

12.2.3.4. Other materials. The low temperature deposition of PECVD enables thedevelopment of improved devices for microelectronics applications. For example,the deposition of oxide equivalent gate dielectrics using nitride/oxide compositesprepared by Remote Plasma Enhanced CVD (RPECVD)/oxidation process. Lee etal. [239] have produced ultrathin nitride/oxide (�1.5/0.7 nm) dual layer gatedielectrics using remote plasma enhanced CVD of nitride onto plasma-grown oxideinterface layers. The high accumulation capacitance (1.72 mF/cm2) is measured andthe equivalent oxide thickness is 1.6 nm after quantum effect corrections. As com-pared to 1.6 nm oxides, a tunnelling current reduction of more than 100 fold isfound for devices with 1.6 nm nitride/oxide dielectrics due to the increased filmthickness and interface nitridation. Hole channel mobility decreases by about 5%,yielding very good P-MOSFET current drive. Excellent dielectric reliability and inter-face robustness have also been demonstrated for P-MOSFET’s with nitride/oxidedielectrics. Example of other functional applications of PECVD are summarised inTable 6

12.3. Photo-assisted chemical vapour deposition

Photo-assisted Chemical Vapour Deposition (PACVD) is a process that relies onabsorption of light to raise the substrate temperature and cause thermal decompositionof the precursor in the gas phase and/or substrate surface. The decomposed inter-mediate products undergo subsequent heterogeneous chemical reactions on thesubstrate surface to form the desired film. The PACVD process can be performed atatmospheric or reduced pressure (e.g. 0.01–1 atm). Unlike the thermally heated

Table 6

Examples of other functional applications of PECVD films

Film Precursor system Deposition

temperature

Application

SiO2 SiH4+N2O 200–300 Dielectric [240]

a-Si SiH4+H2 – Solar cell, thin transistors memory switches [241]

GaAs (CH3)3Ga, AsH3 <450 Epitaxial layer

W WF6+H2 350 Conductor

Mo MoF6+H2 350 Conductor

a-Ca Methane, acetylene <400 Thermal conductor, electrical insulator,

mechanical hardness [242,243] precision mechanical

parts, magnetic data storage unit and sound heads,

bearings in vacuum

a a-C, amorphous carbon, a metastable phase of carbon that contains hydrogen and exhibit properties

between graphite and diamond.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 117

Page 62: Chemical vapour deposition of coatings.pdf

reactor in the conventional CVD, there is a provision of a window in the depositionchamber for optical access to the chamber via a suitably transmitting window forthe excitation wavelength. Some commonly used PACVD reactor designs have beenreviewed [244]. Since the initial work by Deutsch, Ehrlich and Osgood [245] theinterest and R&D in laser assisted CVD have accelerated. There are several reviewson laser assisted CVD (e.g. [244,246–248]).There are a variety of light sources for PCVD such as arc lamp, CO2 lasers, Nd-YAG

lasers, excimer lasers and argon ion lasers. These exclude the use of lamps as heatingsources as in the case of resistance or RF heating. The decomposition and/or chemicalreactions can be initiated via photothermal (pyrolytic) or photolytic mechanism.

12.3.1. Photo-thermalThe photothermal mechanism is often used for selected area deposition which can

be achieved either by laser scanning or projection imaging using a pulsed lasersource. The use of pulsed laser sources can provide localised surface heating, with-out heating the bulk substrate. Thus, this avoids any thermal damage to the sub-strate while achieving sufficiently high transient temperatures that are required forphotothermal decomposition.The rise of peak temperature at time �, �0 �ð Þ which is at the centre of the laser

spot can be determined using Eq. (5), where p is the incident laser power, R thereactivity from the surface, �0 is the diffusion time over one spot size, !0 is the beamwidth and KT is the thermal conductivity [249].

�0 �ð Þ ¼ p 1� Rð Þ�arctan �=�0ð Þ=3=2!0KT ð5Þ

The laser wavelength from the near ultraviolet through to the infrared is selectedin order to give a photon energy greater than the bandgap of the substrate so that itis highly absorbing in the substrate for photo-thermal deposition and no absorptionin the vapour phase. However, the visible range wavelength is often used.

12.3.2. PhotolyticThe wavelengths (4250 nm) in UV spectrum can cause the non-thermal decom-

position formation of radicals from chemical precursors, and allow the deposition tooccur at a lower temperature than the photo-thermal decomposition. For examples,PACVD can deposit GaAs using Tetraethyl gallate (TEGa) and AsH3 at a tem-perature considerably lower than in conventional MBE and MOCVD [248]. TEGahas a peak absorption wavelength at 250 nm. The absorption properties of a rangeof chemical precursors such as metalorganics, halides and hydrides can be found inRef. [250]. The photon absorption characteristic can be determined using the fol-lowing Eq. (6), where Ra=rate of reaction in the vapour, I0=incident UV intensity,h�=photon energy, F=photochemical quantum efficiency, � lð Þ=absorption crosssection, p=partial pressure, l=optical path length, k=Boltzmann’s constant, andT=vapour temperature (K) [244].

Ra ¼ I0=h�ð ÞF�exp �� lð Þpl=kTð Þ ð6Þ

118 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 63: Chemical vapour deposition of coatings.pdf

The mechanism and examples of photolytic reactions in PACVD have beenreported [244,251]. In general the photolytic process is more suitable for low tem-perature deposition rather than localised deposition. This is because localiseddeposition requires photo-simulated surface reactions, whereas the photolytic reac-tions induce the radical formation and reactions in the gas phase which yield theproduct that diffuse into the surface.Other deposition mechanism includes mercury photosensitization that uses a

photosensitizer to transfer the photon energy to the precursor [252]. This is parti-cularly useful where the precursor does not have a strong absorption band close to alaser or lamp source, such as for silane and disilane.

12.3.3. AdvantagesThe following key advantages of PACVD has provided the motivation for the

development of PACVD method:

(i) Localised deposition, selected area deposition or patterned films can beachieved by rastering a focused laser on localised area or image projection ofa pattern.

(ii) Low deposition temperature. Thus minimise dopant diffusion, defect, interlayerdiffusion and thermal stress created with high temperature processing. This issuebecomes important when the device size decreases to sub-micron levels.

(iii) Low excitation energies (typically <5 eV). Thus avoid film damage, and freefrom any ion bombardment damage that is encountered in plasma assistedCVD.

(iv) PACVD process has limited possible reaction pathways as compared toplasma enhance CVD or thermally activated CVD due to the narrow energydistribution of photons emitted from lasers or a spectrally filtered lamp.Therefore, PACVD have greater control over film properties than PECVD orconventional CVD and reduces unwanted reactions and eliminates radiationdamage [253].

12.3.4. Applications. The application of PACVD is almost exclusive for the field ofmicroelectronics. A range of materials for microelectronics has been deposited usingPACVD including

(i) Semiconductors (e.g. Si [254,255], III–V [256,257], II–VI [258,259]).(ii) Metals (e.g. Al for integrated circuit interconnections [260–262]).(iii) Insulators (e.g. SiO2 [263], and Si3N4 [264]) for interlayer electrical insulation,

gate electrode isolation in field effect transistors, device encapsulation, etc.

These materials have been deposited using either

(i) Line-writing where focused lasers are used for local rapid deposition by ras-tering the laser beam or substrate so that fine lines can be deposited for cir-

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 119

Page 64: Chemical vapour deposition of coatings.pdf

cuit customisation (e.g. laser writing of metal interconnects on an integratedcircuit) and photomask repair [260].

(ii) Pattern projection where the image of a mask is illuminated using a large areabeam (e.g. UV radiation) and projected onto a wafer substrate through a seriesof lenses. This results in the in-situ formation of the pattern. Thus, it helps tosimplify the processing by eliminating any photolithographic steps using photo-resist and minimise contamination caused by exposure to air. The substrate canbe kept at a low temperature where the surface coverage will be high for goodsurface selectivity. The growth rate increases for lower substrate temperatures,going through a maximum around one-monolayer coverage leading to the fab-rication of a smallest feature size with sub-micron resolution.

In summary, the technical viability and custom applications of PACVD inmicroelectronics have been demonstrated and used commercially. The uniqueadvantages of PACVD such as selected area deposition and low deposition tem-perature characteristic, combine with the improvements of laser and the availabilityof a reliable laser with a higher average and peak power have prompted furtherR&D in this area to improve the quality of the deposited semiconducting materialsand explore new application areas.PACVD has also been used for R&D to deposit diamond [265] and TiN films

[266]. For example, single phase and stoichiometric TiN films have been depositedusing laser assisted CVD by scanning linear deposition in a dynamic atmosphere onAISI 52100 bearing steel using TiCl4, NH3, C2H4 and H2 as reactant gases inducedby CO2 laser. The deposited films comprised about 2 mm equiaxed particles, eachparticle consisting of about 15 nm nanocrystalline grains. The Knoop microhard-ness of the films is HK 1400 and the highest is HK1602, the wear resistance of thefilms is four times than that of the substrates.In addition to films, PACVD has been explored for the fabrication of nanosized

powders as reviewed in Ref. [2], as well as 3-D micro-scale free-standing structuressuch as micro-scale fibres and helical structures. The 3-D microstructures have beenfabricated using PACVD and a combination of a linear three-axes micropositioningsystem and a rotating goniometer which provide many degrees of freedom (e.g.translation and rotation) for the fabrication of arbitrary geometrical (3-D) shapes[267,268]. The 3-D structures have been fabricated by moving the focal point of thelaser beam relative to the growth structure by rotation and translation of the sub-strate. For example, Westberg et al. [268] have used silicon fibre fabricated using theLaser Chemical Vapour Deposition (LCVD) as a substrate in a subsequent step forthe growth of a tungsten helix coil. This resulted in the fabrication of a simplemicro-solenoid consisting of a tungsten helix coil on a silicon fibre in two LCVDsteps potentially in the same reactor chamber. Other examples include a complexmillimetre-sized structure of Al fabricated on a preform by CVD using a movablegoniometer [267]. The major limitations of LCVD for the fabrication of micromechanical components are low deposition rates typically of an order of mm/sresulted in relatively long fabrication time [268], and the applications of LCVD havebeen limited for the fabrication of micro mechanical components with complex

120 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 65: Chemical vapour deposition of coatings.pdf

geometry and/or where the technique provides a unique solution to a specific prob-lem. This is because the LCVD process is not technically and commercially viablefor batch production.

12.4. Atomic layer epitaxy process

Atomic Layer Epitaxy (ALE) can be considered as a special mode of CVD. It is asurface deposition process that can be used for the controlled growth of epitaxialfilms, and the fabrication of tailored molecular structures on the surfaces of solidsubstrates. ‘Monatomic layers’ can be grown in sequence which is a characteristicfeature of ALE. Therefore, the desired coating thickness can be produced simply bycounting the number of reaction sequences in the process. The surface reconstruc-tion of the monolayer formed in the reaction sequence will influence the saturationmechanism and the saturation density of the precursor. The ALE reaction sequencesare normally perform in an ‘effective overdosing’ condition to ensure a completesaturation of the surface reaction to form the monoatomic layer. Furthermore, such‘effective overdosing’ condition also provides good conformal coverage that allowsuniform coatings onto complex shaped substrates. The sequencing in ALE alsoeliminates the gas phase reactions, and enables a wider choice of reactants (e.g.halides, metalorganics, elemental metal, etc.). The ALE process has the potential tobe scaled up for the deposition of high quality thin films with excellent uniformityand reproducibility onto large area substrate [269,270].The ALE process can be performed at atmosphere pressure or using an inert gas

such as CVD or in a vacuum system as in molecular beam epitaxy. The use ofvacuum enables a variety of in-situ surface analysis methods as described in Section10 to be incorporated into the ALE equipment for the in-situ analysis of the growthmechanism and the deposited surface structures [271–273]. A detailed review on theALE process, reactant and the reactor used is available in reference [274]. The the-oretical evaluation of film growth rate during ALE has been investigated by Park etal. [275] using the concept of fractional coverage exchange. It was found that thequantity of adsorbate is highly related to the surface coverage of each element. Themodel can confirm that the periodic boundary condition of the surface coverageduring a cyclic deposition is satisfied after the transition period in which the initialsubstrate is still influencing the film deposition.The distinctive sequencing feature in ALE makes it an attractive method for the

precise growth of crystalline compound layers, complex layered structures [276],superlattices [277,278] and layered alloys with precise interfaces. ALE was initiallydeveloped for the growth of polycrystalline and amorphous thin films of ZnS anddielectric oxides for electro luminescent display devices [279]. Nowadays, a wide rangeof thin films have been synthesised using ALE methods. These include semiconductorIII–V (e.g. [280–283]), II–VI (e.g. [284–286]), oxides [269,287–289], nitrides (e.g.[290,291]) covalent materials [292], phosphide [293] and metallic films [294].The ALE process can produce films with good conformal coverage and it has the

ability to control film thickness accurately at the sub-nanometre level. Such dis-tinctive advantages have made it a potentially valuable tool for nanotechnology. The

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 121

Page 66: Chemical vapour deposition of coatings.pdf

capabilities and challenges of using ALE in nanotechnology have been discussed[295].Mukai et al. [296] have investigated the use ALE to control the growth and hence

the quantum confinement potentials in self-formed In0.5Ga0.5As/GaAs quantumdots. The dots were grown by an alternate supply of (InAs)/(GaAs) precursors. Asthe number of supply cycles increased from 9 to 30, the dot size was increased from20 to 32 nm in diameter and photoluminescence (PL) spectra shifted to a lowerenergy. The smaller the dots, the smaller were the diamagnetic shifts.Englemann et al. [297] have used ALE to fabricate CdSe/SrS multi-quantum well

system, which is a potentially new class of artificially engineered phosphors activatedby quantum wells (QWs) for electroluminescent displays. The quantum wells areembedded in a high band gap material, which acts as irradiative centers. VariousQW widths (3–15 nm) were studied. The reduction in QW width shifts the emissiontowards shorter wavelength and the emission spectra exhibit multiple peaks, resultedfrom the transitions from several QW levels.Nanolaminate structures consisting of 3–20 nm thick layers of two or three different

oxide materials such as Ta2O5–ZrO2, Ta2O5–Al2O3 have been produced using the ALEmethod. The leakage current of the nanolaminate structures was significantly reducedas compared to conventional dielectric films.Other examples of the application of ALE is to exploit the good conformal cov-

erage characteristics of the process to deposit coatings in micropores of porousmaterials during the fabrication of porous supports for the heterogeneous catalyst[298]. The conformal coating of using ALE on other porous material such as a siliconhost matrix have also been reported [269,299]. For example, uniform distribution ofALE deposited tin oxide and gallium oxide in a porous silicon host matrix was detectedby Rutherford backscattering spectrometry and secondary ion mass spectrometry [299].ALE has been used to fabricate a multilayer stacked electro luminescent device. It

consists of a series of double insulating-layer electro luminescent units stacked up ontop of one another, separated by transparent electrodes and alternately biased inopposite directions [300]. The design allows independent control of the drive voltageand the total phosphor thickness. The drive voltage depends only on the individualphosphor layer thickness whereas the total phosphor thickness, and thus the totalbrightness, can be increased by increasing the number of layers as demonstrated byprototype devices fabricated by ALE and predicted by equivalent circuit analysis.AlP and GaP ALE are primarily used for fabrication of X-ray multilayer mirror.

The layer-by-layer growth nature of ALE enables the exact control of reflection wave-length of multilayer mirrors. An AlP/GaP multilayer mirror with a reflectivity in excessof 10% is realized by ALE at the wavelength of the absorption edge of Al in AlP [293].

12.5. Metalorganic chemical vapour deposition

12.5.1. DefinitionMetalorganic Chemical Vapour Deposition (MOCVD) is a variant of CVD,

which has been classified according to the use of metalorganics as precursors.

122 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 67: Chemical vapour deposition of coatings.pdf

Table 7

Examples of metalorganic and organometallic precursors systems that are commonly used to grow III–V,

II–VI and IV–VI semiconducting materials, as well as metallic films

Materials Precursors Applications Refs.

III–V

GaAs/GaAs on GaP substrate TMGa, TEGa, Light emitting diode (LED)

AsH3 Injection laser

AlGaAs/GaAs/AlGaAs TMAl, TMGa, TEGa,

AsH3

Double heterojunction and

quantum well lasers

[326]

InGaAsP TMIn, TMGa, Fibre optics [327]

TEGa, AsH3, PH3 Communications

INP/INGaAs Double heterojunction bipolar

transistor

[331]

InGaAsP on GaAs substrate Al-free injection lasers

(l=�0.98 mm)[328]

AlGaInP [329]

InAlGaP on GaAs substrate TMIn, TMAl, Red and yellow LEDs [330]

TMGa, TEGa,

PH3

Injection lasers emitting

(l=�0.98 mm)

InGaAs on InP substrate TMIn, TMGa, TEGa,

AsH3

High speed optoelectronic

devices (e.g. high electron

mobilitytransistor,

heterojunction bipolar

transistors)

III–V nitride

InAlGaN TMIn, TMAl, Blue LEDs [312]

TMGa, NH3II–VI

Wide band-gap

ZnCdSe DEZn, DMCd, DTBSe Blue-green LEDs [332]

ZnCdSSe DEZn, DMCd, LEDs, laser diodes [314,315]

ZnMgSSe DES, H2S(MeCd)2Mg,

DMSe, DTBSe,

Narrow band-gap

HgCdTe DMHg, DETe, MATe,

DIPTe,

Infrared detectors [313,314,333]

HgCdZnTe DMCd, DMZn

IV–VI

PbSnTe TEPb, TESn, H2Te Infrared detectors [334]

Metals

Cu (CF3COCHCOCF3)2Cu High density [320]

Al TMAl Interconnects for Si

integrated circuit

Note: DE: diethyl; DIP: diisopropyl; DM: dimethyl; DTB: ditertiarybutyl; MA: methylallyl; TE: triethyl;

TM: trimethyl.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 123

Page 68: Chemical vapour deposition of coatings.pdf

Compounds containing metal atoms bonded to organic radicals are known as‘‘Metalorganics’’. Compounds having one or more direct metal–carbon covalentbonds are called ‘‘organometallics’’. The use of organometallic precursors have ledto the rename of the deposition process as organometallic CVD (OMCVD) to reflectmore precisely the choice of precursors used.MOCVD can be used to deposit a wide range of materials in the form of amor-

phous, epitaxial and polycrystalline films. Table 7 shows examples of metalorganicand organometallic precursors systems that are commonly used to grow III–V,II–VI and IV–VI semiconducting materials, as well as metallic films, dielectric films,and their applications. A more comprehensive compilation of metalorganic pre-cursors is available in Refs. [301,302]. A general discussion of the precursorsemployed in MOCVD has been compiled and summarised in, e.g. Refs. [303,304].The deposition of films using MOCVD has been reviewed [303,305,306]. There isalso an international conference dedicated to Metal Organic Phase Epitaxy, heldbiannually.

12.5.2. Process characteristics and advantagesThe metalorganic or organometallic precursors generally undergo decomposition

or pyrolysis reactions. In general, metalorganics and organometallic precursors havelower decomposition or pyrolysis temperatures than halides, hydrides or halohy-drides. Thus, enable MOCVD process to perform at a lower deposition temperaturethan conventional CVD, which generally uses halides or hydrides. For example:

600–800 �C (0.1–1 atm)Organometallic: (CH3)3Ga + AsH3 ! GaAs+ 3 CH4

800 �C (reduced pressure)Halide: Ga + AsCl3 + 3/2H2 ! GaAs +3HCl

At deposition temperatures below 500 �C, the reaction in the MOCVD and OMCVDprocesses is kinetically limited. Whereas at a middle temperature range between 550 �Cand 750 �C, the reaction is diffusion-rate limited. At temperatures above 800 �C, thereaction is limited by homogeneous reaction and parasitic deposition on the wall ofreactor [307]. MOCVD and OMCVD tend to involve endothermic reactions, thuscold-wall reactors with a single temperature zone can be used. The thermal envir-onment for the decomposition and/or deposition reaction of the precursors can besupplied using resistance heating, radio-frequency or infrared lamp heating.The MOCVD or OMCVD can be performed at atmospheric pressure and low-

pressure (about 2.7–26.7 kPa). For a typical MOCVD process, the deposition isentirely kinetically controlled at very low deposition pressure (<1 kPa), even thoughthe deposition temperature is relatively high. At pressures above 1 kPa, the growthrate is predominantly controlled by diffusion-rate limited mechanism [303]. Thedeposition was entirely kinetically limited in a MOCVD process that is performedunder ultrahigh vacuum (<0.01 kPa) condition, [308]. Such ultrahigh vacuumMOCVD is also known as ‘‘metalorganic molecular-beam epitaxy’’ or ‘‘chemicalbeam epitaxy’’ [309]. The common carrier gas and growth environment used during

124 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 69: Chemical vapour deposition of coatings.pdf

the deposition is hydrogen. Hydrogen is often used as the precursor carrier gas andgrowth environment for non-oxide films.

12.5.3. LimitationsMetalorganic precursors tend to be very expensive compared to halides, hydrides,

and halohydrides and they are not widely available commercially for some coatingsystems. Therefore, they often need to be synthesised specifically for certain applica-tions. Furthermore, most metalorganics are volatile liquids and thus require accuratepressure control.The organometallic precursors are normally very reactive and hence they are dif-

ficult to purify. The growth of high quality semiconductor materials requires pre-cursors with low oxygen content. It has been demonstrated that (trimethylaluminium) TMAl with low oxygen content has led to an increase in the photo-luminescence intensity of AlGaAs films by a factor of 3–10 as compared to the thosefabricated using normal grade of TMAl precursors [310]. Therefore, special highpurity metalogarnic precursor with low oxygen content are required in the growth ofsemiconducting films and they are often expensive.

12.5.4. ApplicationsDespite the high cost of precursors, MOCVD and OMCVD have been developed

especially for the growth of epitaxy of III–V [305–307,311,312] as well as II–VI [313–316] and IV–VI semiconducting material [317] for opto-electronic applications (e.g.light-emiting diode, heterojunction bipolar transistors, solar cells, photocathodes,advanced laser designs such as quantum well and double heterostructures, etc.).Therefore, this type of CVD process is also labelled as organometallic vapour phaseepitaxy, (OMPVE) or metalorganic VPE (MOVPE). Almost all the III–V semi-conductor compounds have been grown using MOCVD or OMCVD method. Inaddition, MOCVD has also been used to grow metallic films such as Al, Cu, CuAl andW as high-density metal interconnects for Si integrated circuit technology [318–320].MOCVD has also been used to grow metal oxide films such as ferroelectric (e.g.

PbTiO3, PbZrTiO3, BaTiO3) [321,322], dielectric (e.g. ZnO) [323] and superconducting(e.g. YBa2Cu3Ox) films [324,325]. However, the MOCVD of these films is still in itsinfancy and more suitable metalorganic precursors are yet to be developed for theseapplications. The influence of process parameters and the deposition mechanism in theoxidising growth environment are yet to be understood and optimised.

12.6. Pulsed injection metalorganic chemical vapour deposition

12.6.1. Problems associated with the MOCVD method, especially for the depositionof multicomponent oxides or multilayersThe synthesis of high quality films requires volatile precursors that will undergo

pyrolysis easily. However, the low thermal stability of the metalorganic precursorsdue to their polymerisation or hydrolysis changes the rate of evaporation with time,which has led to difficulties in controlling the composition of both the vapour phaseand films.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 125

Page 70: Chemical vapour deposition of coatings.pdf

In addition, the low vapour pressure of some available precursors such as Ba, andrare earth elements, as well as ‘ageing’ of the precursors have led to the loss ofvolatility and formation of residue and hence affect the chemical reaction and canresult in coating reproducibility problems. In fact, such problems are common toother CVDmethods and can not even be overcome using the metalorganic precursorssources in MOCVD.

12.6.2. Solutions to the problems using single source and special precursor injectionmethodsSingle source precursors have been used to overcome the problem of vapour phase

control and homogeneity of the unstable precursors. The single source precursor iscontained in a closed container under an inert gas at room temperature, a smallquantity of the precursor is introduced into an evaporator held at a high tempera-ture, where it is being flash volatised. This allows fast evaporation of the precursorand a shorter delivery time of the vapour precursor to the reaction zone which alsoleads to a higher growth rate (e.g. mm/s) than the conventional bubbler method.This technique also enables the use of precursors with lower volatilities than theclassical multi-source precursors and the composition of the vapour is the sameas the mixture of precursors thus allowing the synthesis of multicomponent films(including those containing Ba, and rare earth elements) with a better control ofcomposition and stoichiometry and higher reproducibility. The use of singlesource precursors also simplifies the control of process parameters of theMOCVD process for synthesising a compound containing n elements to only sixparameters compared to 2n +3 parameters in the conventional multi-sourceMOCVD [335].Various single source powder precursors have been used and reviewed [335]. These

include the use of a vibrating feeder [336,337] to control the introduction of powderprecursors into the flash evaporator and the slow introduction of a compact rodinside the evaporator. The solid single source precursor works well on laboratoryscale. However, there is difficulty in the precision handling the flow of powders forlarge scale deposition, whereas the flow rate of liquid is easier to be controlled than asolid precursor. The single source solution is prepared by dissolving the startingchemicals in solvent (e.g. tetrahydrofuran, monoglyme, and hexane). The solutioncan be introduced inside the evaporator by generating an aerosol from the solutionusing ultrasonic means [338,339]. However, this method has problems in stabilisingthe flux of aerosol if the appropriate condition for aerosol generation, delivery sys-tem and nozzle are not used. The solution can also be introduced using microsyringepump or liquid mass flow controllers [340,341]. However, the thermal gradients thatexist in these devices may cause the precipitation of the solid precursor when thesolvent has been evaporated. Injection of solution inside a sophisticated three-wayvalve has been used in industry to minimise such problems.

12.6.3. Pulsed injection MOCVD12.6.3.1. Principles. Senateur and co-workers [342] have developed a Pulsed Injec-tion Metalorganic Chemical Vapour Deposition (pulsed injection MOCVD)

126 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 71: Chemical vapour deposition of coatings.pdf

method. It uses fuel injection principles in thermal motors. The method involvessequential injection of micro amounts (i.e. few mg) of a metal organic precursorsolution into an evaporator through a high speed microelectrovalve with the aid ofpressurised inert gas (at room temperature) inside a container, where the injectedsolution is flash volatilised. The flow rate (0.05–100 g/min) is controlled by succes-sive fast opening of the valve similar to the fuel injection system. The control isrelatively simple and versatile using two computer controlled parameters [i.e. fre-quency (1–100 Hz), electrical pulsed width (2–8 ms)] which controls the number ofprecursors drops injected with fixed injection parameters (e.g. size of each drop,frequency).

12.6.3.2. Advantages. The distinct advantages of the pulsed injection MOCVD tech-nique over conventional CVD precursor delivery sources (e.g. bubbler or sublimators)are [335,343]:

the pulsed injection MOCVD method reduces the number of process para-meters from 2n+3 to 6.

The thickness of the layer, coating stoichiometry and the growth rate can becontrolled precisely (digital growth) using the pulsed injection MOCVD. Thisis especially important for the synthesis of superlattice and multilayers withcomplex stacking at nanometer scale level or the study of variation of stoi-chiometry on the properties of materials. A mean thickness as low as 0.1 nmcan be obtained at each injection by adjusting the valve opening time andsolution concentration. Complex multiplayer stacking can be achieved byusing two injection sources sequentially.

The reproducibility of the properties of the deposited layers are enhancedusing the pulsed injection MOCVD.

12.6.3.3. Applications. A wide range of films can be deposited using the pulsedinjection MOCVD method, especially the multicomponent and multilayer coatingswhich are difficult to be deposited using conventional CVD and MOCVD. Multi-component superconducting oxide thin films such as YBa2Cu3O7 have been pro-duced on LaAlO3 single crystal substrates which exhibited Tc=92.1 K, and Jc (77 K)=4–6 mA/cm2 [335].This technique has the potential to produce integrated multilayer structures with

different physical properties. For example SrTiO3/YBa2Cu3O7 double layer [343], YBa2Cu3O7-delta/PrBa2Cu3O7-delta multilayers [344], complex stackings of multilayers ofcrystallised Ta2O5/amorphous SiO2 for optical guides, antireflective layer applications[345], as well as (La,Sr)MnO3/SrTiO3 superlattices with various modulation lengthshave been deposited on single crystal substrates.The precise control of the growth behaviour enables this process to be used in the

synthesis of nanometer thin multilayer or oxide superlattice materials for the devel-opment of improved peizoelectric actuators, IR detectors and non-volatile mem-ories, etc.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 127

Page 72: Chemical vapour deposition of coatings.pdf

12.7. Aerosol assisted chemical vapour deposition

There are also variants of the CVD process based on the use of aerosol precursorsknown as aerosol assisted chemical vapour deposition (AACVD). The aerosol can begenerated by atomising the chemical precursors into finely divided sub-micrometerliquid droplets (aerosol). The droplets are distributed throughout a gas medium usingthe ultrasonic aerosol generator, electrostatic aerosol generator or electrosprayingmethod. The chemical precursor can be prepared by dissolving solid or liquid start-ing chemicals into a solvent (normally an organic solvent with a high boiling point)or a mixture of solvents to assist the vaporisation of the chemicals and provideadditional thermal energy for the dissociation or decomposition of the chemicals.The generated aerosol will be delivered into a heated zone, where the solvent israpidly evaporated or combusted, and the intimately mixed chemical precursorsundergo subsequent decomposition and/or chemical reaction near or on a heatedsubstrate to deposit the desired film.The main advantages of AACVD are

(i) it simplifies the vapour precursor generation and delivery method as com-pared to the conventional CVD method which uses a bubbler/vaporisermethod, and hence, lowers the cost of the deposition process;

(ii) it tends to use single source precursors which provide good molecular mixing ofchemical precursors which enables the synthesis of multicomponent materialswith well controlled stoichiometry;

(iii) it allows rapid formation of the deposited phases at relatively low tempera-tures due to the small diffusion distances between reactant and intermediates;and

(iv) it is a relatively low cost process as compared to conventional CVD becausethe AACVD process can be performed in an open atmosphere for thedeposition of oxide and some less oxygen sensitive non-oxide materialswithout the need of any sophisticated reactor and/or vacuum system.

The selected aerosol generation method will influence the size of the droplet andits distribution and production rate. Hence, the nature and composition of thereaction product. Different ways of aerosol generation methods have been reviewedin reference [346] and it is briefly summarised here. Ultrasonic aerosol generationmethods use a piezoelectric transducer placed underneath a liquid precursor. Theproperties of the aerosol depend on the nature of the liquid precursor and theintensity and frequency of the ultrasonic beam. The wavelength l, of the vibrationsto the excitation frequency, f, can be described using Kevin’s formula: l3=2�/�f 2,where � and � are the density and surface tension, respectively. The diameter of thedroplets can be determined using the equation d=k[2�/�f 2]1/3 established by Lang[347], where k is a constant. The diameter of the droplets is a function of l (d=k0l)and, hence, the ultrasonic frequency. This method can produce aerosol with narrowdroplet size distribution as compared to pneumatic spraying which would lead to abetter aerosol uniformity and coating quality.

128 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 73: Chemical vapour deposition of coatings.pdf

Electrostatic aerosol generator involves generating aerosol using the ultrasonicmeans followed by charging the aerosol electrostatically. Whereas the electrostaticatomisation method involves applying an electrical potential to a cylindrical spraynozzle which causes the atomisation of liquid into fine charged spray droplets(typically sub-micron) and the formation of a stable spray cone called a Taylor cone.The cone is formed when the surface tension of the liquid precursor placed under anelectric field balances with the electric force [348]. The droplet diameter and thecurrent through the liquid cone can be estimated using the following equations in (7)as given by Fernandez de la Mora and Loscertales [349]:

dd ¼ b1 "rð ÞQ"r"0K

� �1=3I ¼ b2 "rð Þ

�QK

"r

� �1=2ð7Þ

where dd is droplet diameter (m), Q is liquid flow rate (m3 s�1), K is conductivity (S

m�1), � is surface tension (N m�1), "r is relative permittivity of the liquid, "0 is per-mittivity of a vacuum (C V�1 m�1), I is current (A), b1 and b2 are functions of theliquid permittivity. These relationships are often called the scaling laws for electro-hydrodynamic atomization in the cone-jet mode and are only valid for liquid coneswith a flat radial profile of the axial liquid velocity in the jet.Equations in (7) are applicable only when the liquid has high conductivity and

viscosity. If not, the equation, proposed by Ganan-Calvo et al. [350] is moreappropriate:

if 3

ffiffiffiffiffiffiffiffiffiffiffiffi�"0�

2

K�3

s< 1; then dj ¼

Q"0ffiffiffiffiffiffiffiffiffiffiffi�� 1

p

K

� �1=3ð8Þ

Fig. 17. Influence of the temperature of the aerosol assisted deposition process.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 129

Page 74: Chemical vapour deposition of coatings.pdf

if 3

ffiffiffiffiffiffiffiffiffiffiffiffi�"0�

2

K�3

s> 1; then dj ¼

�"0Q3

�K

� �1=6ð9Þ

where � is density, � is surface tension (N m�1), � is permitivity, K is conductivity (Sm�1), � is viscosity, Q is liquid flow rate.The generated aerosol will undergo four possible deposition mechanisms depend-

ing on the deposition/substrate temperature as shown in Fig. 17 [346].

Process 1: The aerosol precursor droplets are sprayed directly onto a heatedsubstrate, followed by the removal of the solvent through evaporation anddecomposition of the precursor to the finished product. Occasionally, thedeposited film will be subjected to a further sintering step to achieve a densecrystalline film. Dense thin films (<1 mm) with an ultrafine crystalline structurecan be obtained using this deposition mechanism. In order to obtain a thickfilm, Process I has to be repeated several times in order to obtain the requiredthickness. Obviously, this is a time consuming process for the deposition of thickfilms. Moreover, as the film thickness increases, the deposited film tends tobe porous, and cracking or spalling of the film can occur because ofrepeated drying, decomposition, and/or sintering procedures.

Process II: The solvent is evaporated prior to arriving onto the substratesurface, and the precursor precipitate is subsequently deposited onto theheated substrate and decomposed and/or undergoes chemical reactions toyield the desired materials.

Process III: The solvent is evaporated while approaching the substrate sur-face, and the precursor precipitate formed subsequently undergoes volatili-sation near the vicinity of the substrate surface and adsorption of the vapouronto the heated substrate surface, followed by the decomposition and/orchemical reactions to yield the desired materials. This mechanism is similar tothe heterogeneous CVD deposition process, which tends to produce densefilms with excellent coating adhesion.

Process IV: As the deposition/substrate temperature is very high, thedecomposition and/or chemical reaction occur in the vapour phase, leadingto homogeneous nucleation (similar to the homogeneous CVD reaction),and, hence, formation of stable fine particles in the gas phase, which are thendeposited onto the heated substrate. The particles are then sintered on theheated substrate, leading to the formation of porous films, with poor adhe-sion. Alternatively, the powders can be collected in the gas phase for theproduction of ultrafine powders.

Only Processes III and IV can be classified as aerosol assisted chemical vapourdeposition. This is because according to the CVD definition, chemical precursormust be in the form of chemical vapour that undergo chemical reactions (i.e.homogeneous and/or heterogeneous chemical reactions). Therefore, these factorsnarrow down various aerosol based deposition techniques which have been reviewed

130 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 75: Chemical vapour deposition of coatings.pdf

in Ref. [346] to a few true chemical vapour deposition processes. Examples of aero-sol assisted chemical vapour deposition for the deposition of high quality films withsatisfactory reproducibility are AAMOCVD, CVD pyrosol, and ESAVD.

12.7.1. Pyrosol12.7.1.1. Process principles. Pyrosol is a patented method developed by Spiz and Vigue[351]. It is an abbreviation of pyrolysis of an aerosol. Pyrosol is based on the aerosolassisted chemical vapour deposition approach and this method has been reviewed [346].It involves the use of an ultrasonic aerosol generation method to generate an aerosol.The aerosol is conveyed by a carrier gas towards the heated substrate to be coated and itis subsequently decomposed by pyrolysis to deposit thin films (e.g. metal oxides, sulfidesandmetals). For the deposition of an oxide, air is used as the carrier gas, whereas neutralgases, such as argon or nitrogen, are used for non-oxide deposition.A typical Pyrosol equipment is shown in Fig. 18 [352]. The main components used

in the Pyrosol process are an ultrasonic atomiser, an aerosol spray nozzle, a pyr-olysis reactor, and an exhaust gas system for the gas. The main process parametersare substrate temperature, distance between the aerosol spray nozzle and substrate,aerosol generation rate, flow rate of carrier gas. The process parameters are tailoredsuch that the Pyrosol occurs through Process III to produce a dense film.

12.7.1.2. Applications. The Pyrosol process has same the advantages as outlined forthe AACVD. It has been used for the deposition of thin films, mostly oxide filmsonto glass, ceramic, or stainless steel substrates for optics, electronics, decorationand solar energy conversion applications. Uniform and high quality transparentconductive films of simple oxides such as In2O3 and SnO2 for electrical and opto-

Fig. 18. A typical pyrosol process equipment: (a) conveyor furnace; (b) and (c) rotary furnaces.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 131

Page 76: Chemical vapour deposition of coatings.pdf

electrical applications have been produced using this simple and inexpensivemethod. The transmission of light (l=0.4–0.7 mm) for an approximately 6000 Athick In2O3 (e=0.12) film is 88 and 85% for SnO2 (e=0.2). Doped oxide films suchas In2O3–SnO2 films have been synthesised using Pyrosol of metalorganic precursorswhich exhibited resistivities of approximately 2.2�10�4 ,cm. The promising resultshave resulted in this method being developed commercially for applications such aselectrodes for display systems [352].Highly transparent, low-resistance SnO2:F thin films have also been deposited

using Pyrosol process [353]. A mixture of dimethyltin dichloride, NH4F, and HFdissolved in water was used as the precursor for the deposition of SnO2:F thin filmsat 530 �C on 10�10 cm glass substrates. The growth rate was 100 A/s, with goodcoating homogeneity. A film of 5000 A thickness exhibited the lowest electrical resis-tivity, of 3.9�10�4,cm, a mobility value of 38 cm2 V�1 s�1, and a donor concentrationof 4.16�1020 cm�3. Films of 5000–6000 A thickness having an average transmittanceof nearly 85% in the visible range and a sheet resistance of around 8 ,/& were used astransparent conducting oxide film substrates to fabricate thin film CdS/CdTe solarcells. A solar energy conversion efficiency of over 14% was achieved.Multicomponent oxide films with oriented growth features have also been depos-

ited using this technique. For example, [100]-oriented Li2B4O7 thin films have beengrown onto Si (111) substrates [354,355] for acoustic wave device applicationsbecause of their high electromechanical coupling constants and their low temperaturecharacteristic.This process has also been used for the deposition of non-oxides such as sulfides

(e.g. CdS and noble metallic films such as Pt, Pd and Ru for use as catalysts forpurifying engine exhaust fumes. Catalytic films containing Pt or Pd nanoparticles(3–5 nm) dispersed onto SnO grains (10–25 nm) for CO gas sensor applications havebeen deposited using the Pyrosol method [356].Organometallic precursors have also been used in the pyrosol process and there-

fore, this process can also be known as aerosol assisted metalorganic chemical vapour

Fig. 19. A schematic diagram of the ESAVD process.

132 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 77: Chemical vapour deposition of coatings.pdf

deposition. For example, the epitaxial growth of bismuth garnet BiDyGaIG thin filmsat 500–540 �C using 0.03M gallium and iron acetylaectonates [Fe(C5H7O2)] bismuthtriphenyl [Bi(C6H5)3] and Dy(TMHD)3 dissolved in butanol. The deposition ratewas 6 nm/min and the grain size is around 350 A with a conventional annealing for 3h at 650 �C [357,358]. These films have potential applications for magneto-opticmemory applications.Although, this technique has been used to deposit thin films successfully, it seems

to have a coating thickness limitation (about 1 mm) that restricts the range ofapplications. Therefore, this technique can not be used for the deposition of thickcoatings for thermal barrier coatings or tribological applications.

12.7.2. Electrostatic assisted vapour depositionElectrostatic Spray Assisted Vapour Deposition (ESAVD) [359] is a simple and

cost-effective deposition method based on the AACVD process. A detailed overviewof this emerging novel deposition technique for the synthesis of oxide and non-oxideceramic films and powders have been presented by Choy [346,360,361].

12.7.2.1. Process principles. The deposition mechanism of the ESAVD process ispresented in Fig. 19. The ESAVD process can be considered as a variant of the CVDprocess. It involves spraying atomised precursor droplets across an electric field intoa heated environment where the charged droplets will undergo decomposition andchemical reaction in the vapour phase. The chemical reactions can be tailored tooccur at different zones as follow in order to synthesise dense films, porous coatingsor nanocrystalline powders:

(a) If the processing conditions are tailored such that the droplets undergo het-erogeneous chemical reaction near the vicinity of the heated substrate (Zone1), this produces a stable solid film with excellent adhesion onto a substrate ina single production run. [Note: Similar to Process III of the AACVD]

(b) Nanocrystalline powders can be produced by tailoring the chemical reaction tooccur in the gas phase (i.e. homogeneous gas phase reaction, Zone 2). Thepowder can be collected using either thermophoretic method or an electrostaticprecipitator. (Note: Similar to Process IV of the AACVD).

(c) Porous films can be deposited by tailoring a combination of homogeneousand heterogeneous reactions to occur.

Fig. 20 (i)–(iii) illustrate a typical example of various microstructure of materialsthat can be produced using the ESAVD process by tailoring the appropriate che-mical reactions to occur. This review article will focus on the ESAVD of films andcoatings.ESAVD is an atomistic deposition method, which can produce highly pure ma-

terials with structural control at the nanometer scale level at relatively low proces-sing temperatures. The structure, stoichiometry, crystallinity and texture of films canbe controlled by optimising the process parameters. The main process parametersfor the ESAVD of films are deposition temperature, field strength, stand-off distance

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 133

Page 78: Chemical vapour deposition of coatings.pdf

between the heated substrate and the precursor atomiser, and precursor flow rate,size of the spray droplets. The spray pattern and droplet size as a function of processparameters can be determined and monitored using a ‘High Spec’ imager anddroplet size analyser (e.g. Malvern Matersizer), respectively. For the synthesis ofnanostructured films, the deposition temperature was preferably below 550 �C, thefield strength was within the range of 4–25 kV, and the precursor flow rate variedfrom 10 to 30 ml/h.

Fig. 20. Scanning electron micrographs of various microstructures of films deposited using the ESAVD

process: (i) dense films, (ii) porous films; and (iii) multilayer coatings.

134 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 79: Chemical vapour deposition of coatings.pdf

12.7.2.2. Advantages. In addition to the advantages of the AACVD, the ESAVDmethod has the following added benefits as compared to the conventional CVDmethods:

(a) it has high deposition efficiency (>90%) because the precursor is directed tothe substrate under the electric field. Thus this minimises the loss of precursorto the surrounding;

(b) it has a low consumption of chemical precursors, a relatively low concentrationof precursor is used (e.g. 0.05 M);

(c) the ESAVD based method does not involve the use of scrubber, and effluentgas handling system to remove any unreacted precursors or toxic by-productsbecause the starting materials are relatively more environmentally friendlyand only a small quantity (e.g. 0.05 M or less) is used and the process has ahigher precursor conversion and deposition efficiency (>90%);

(d) it is a versatile technique which can produce thin or thick films in the form ofsingle layer, multilayer and compositionally graded coatings, and compositecoatings. Adherent thick films (e.g. >250 mm) can be easily deposited using theESAVD-based technique which is difficult to be achieved using the conventionalCVD and those variants that have been described earlier;

(e) highly pure materials can be produced at relatively low processing tempera-tures (a fraction of their melting point). For example, cubic YSZ can bedeposited at 550 �C;

(f) it is a one-step process without the need for further heat treatment; and(g) it is a simple and flexible technique which allows the incorporation of the

deposition techniques into on-line processing.

12.7.2.3. Applications. A wide range of thin and thick coatings with either a dense orporous microstructure for structural and functional applications have been depositedusing the ESAVD method. The ESAVD method has been used successfully to depositsimple oxides (e.g. TiO2, Al2O3, and SiO2, multicomponent oxides [e.g. PbTiO3,BaZrO3, La(Sr)MnO3, YaBa2Cu3O7-x, CaO–P2O5–SiO2], doped oxides (e.g. Y2O3–ZrO2, Eu:Y2O3), sulfides and selenides (e.g. CdS, ZnS, CdSe), metallic (e.g. Pt, Pd, Ni)as well as polymeric films (e.g. polyvinylidene fluoride) for both structural and func-tional applications [361–370]. These include reforming catalysts, solid oxide fuel cellcomponents, ceramic membranes for selective gas separation, thermal barrier coat-ings, catalytic coatings, bioactive coatings, optical films and ferroelectric films forsensors and memory devices. Both conducting and non-conducting substrates can beused (e.g. metal, ceramic and plastics). Here are a few selected case studies toillustrate the versatility of ESAVD for thin/thick film deposition.

12.7.2.3.1. Thin films. For example, TiO2 (anatase) is a promising material forphotoelectric applications, such as semiconducting electrodes in solar cells, gas sen-sors and dielectric in memory cell capacitors. TiO2 (anatase) thin films have beensynthesised using titanium diisopropoxide bis(2,4-pentanedionate) in 2-propanol.Transparent and dense nanocrystalline anatase TiO2 (crystalline size �10 nm) films

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 135

Page 80: Chemical vapour deposition of coatings.pdf

have been uniformly deposited at 450 �C using a 0.05 M precursor solution. TheESAVD deposited dense anatase films exhibited good optical transmission over 370nm wavelength.Non oxide thin films, such as ZnS have been deposited using the ESAVD method.

ZnS films have potential applications in light emitting diodes and flat panel electroluminescent displays due to its wide band gap (�3.8 eV) and excellent luminescenceproperty. Textured ZnS films are mostly produced using vacuum deposition techni-ques such as molecular beam epitaxy and pulsed laser deposition. The viability ofthe ESAVD-based method to deposit textured ZnS thin films onto amorphous sub-strates (e.g. glass) has been demonstrated using a mixture of 0.01 M of stoichio-metric ZnCl2 and (NH2)CS aqueous precursor solution and deposited at 450 and500 �C using a deposition rate of about 0.1 mm/min [369]. The ZnS films deposited at450 �C contained crystallites of very small grain size (<20 nm). The 111 pole figureanalysis on ZnS deposited at 500 �C (Fig. 21) provides clear evidence for the tex-tured nature of the ZnS films where the [111] is normal to the surface of the sample.This is further supported from a TEM study which showed that the selected areadiffraction pattern from the Moire fringes in Fig. 22 exhibited a diffraction fromcubic [111] zone axis which confirms the cubic phase and [111] textured nature of thefilm [369]. Such unique texture formation may be due to the alignment of dipolarunits induced by the electric field during the decomposition and restructuring of the

Fig. 21. 111 Pole figure of ZnS film.

136 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 81: Chemical vapour deposition of coatings.pdf

Fig. 22. Plan-view TEM image of a ZnS thin film deposited at 500 �C. The inset shows a selected area

diffraction pattern from the textured ZnS film.

Fig. 23. Cross-section scanning electron micrograph of a thick Y2O3–ZrO2 thermal barrier coating

deposited using the ESAVD process.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 137

Page 82: Chemical vapour deposition of coatings.pdf

precursors in the deposition process which resulted in the preferred orientation ortexturing in the films. Epitaxial ZnS films have also been deposited on single crystalsubstrates [370].

12.7.2.3.2. Thick films. In addition to thin films, the ESAVD method can also beused to deposit thick films for example, thermal barrier coatings onto 3-D compo-nents [e.g. turbine aerofoils] as it is a non-line-of-sight deposition technique. Fig. 23shows an example of 250 mm thick 8wt% Y2O3–ZrO2 coating on a Ni-alloy sub-strate deposited at 550 �C using a mixture of alkoxide of zirconium and yttriumprecursors. The coating exhibits the desirable stress-strain columnar-like structure.Such a thick coating can not be achieved using the conventional CVD method which

Fig. 24. Cross-section scanning electron micrograph of ESAVD of bilayer CGO/LSC coatings on an YSZ

substrate.

Table 8

The electrode/electrolyte interfacial resistance of various systems deposited using ESAVD onto YSZ

electrolyte substrates at 900 �C

System R(900 �C) , cm2

LSM/YSZa 1.48

LSC/YDC/YSZ 0.015

LSC/CGO/YSZ 0.012

YDC: (Y2O3)0.15(CeO2)0.85.a As compared to the resistivity of LSM prepared by the conventional slurry method which was 4 ,

cm2 [371].

138 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 83: Chemical vapour deposition of coatings.pdf

tends to limit the deposition of YSZ films to about 50 mm, and any thicker films willhave rather poor coating adhesion. The established commercial method for thedeposition of TBCs are plasma spraying (for stationary turbine component) and thevery expensive electron beam physical vapour deposition (for rotating turbine com-ponent, e.g turbine aerofoils). The thermal conductivity and thermal shock resis-tance performance of the ESAVD produced TBCs are superior to thosemanufactured by the established commercial methods.Other ESAVD thick film applications include those for solid oxide fuel cell (SOFC)

applications. A bilayer cathode layer consisting of dense Ce0.8Gd0.2O0.19 (CGO) andporous La0.8Sr0.2CoO3 (LSC) films for the solid oxide fuel cell applications have alsobeen deposited using the ESAVDmethod. The surface area, pore size and particle sizecan be controlled by varying the process parameters [362]. Fig. 24 shows a cross-section SEM of the CGO/LSC (�17 mm thick for each layer) onto a YSZ substrateusing a mixture of metal alkoxide precursors at 450 �C. The fracture cross-sectionexhibited uniform and adherent bilayer coatings onto the substrate with no apparentcracking or spalling of the film. A range of graded and multilayer cathode materialshave been deposited that exhibited superior conductivity performance compared tothe conventional La0.82Sr0.18MnO3 (LSM)/YSZ system and those produced usingthe conventional preparation route such as the slurry method as shown in Table 8.

12.7.2.4. EAAJD. A variant of the ESAVD called Electrostatic Assisted Aerosol JetDeposition (EAAJD) has also been developed [372]. The EAAJD method not onlymaintains the advantages of the ESAVDmethod, but also provides additional benefitssuch as a higher deposition efficiency (>95%) and deposition rate (>0.2 mm/min),and a wider choice of precursors (e.g. aqueous and non aqueous) than the ESAVDmethod. Although the EAAJD has a similar deposition mechanism as ESAVD, themain difference between the ESAVD and the EAAJD method is that the aerosolatomisation and electrostatic discharge functions in EAAJD are separated unlikethe ESAVD process. This allows a wider choice of precursors (both aqueous andnon aqueous precursor systems and also provides the above added advantages. Forexamples, the EAAJD method has been used for the synthesis of dense CdS, andporous SiO2 films [366,372].

12.7.2.5. Comparison of ESAVD-based methods with other electrospraying techni-ques. Systematic studies of the behaviour of liquids in an electric field has beenconducted by Taylor [348] in the 1960s. Electrostatic spraying has been used forpaint spraying in the car industry and insecticides spraying in the agriculturalindustry. The use of electrostatic spraying for the processing of materials began only inthe 1980s. Different groups have adopted different technical names for the electro-spraying-based processing as reviewed by Choy [346]. Each of the deposition processesis different because of the nature of the chemical precursor used, the process conditionsand the design of the equipment, which give rise to different depositionmechanisms (i.e.Process I–IV) and thereby leads to products of different quality. Some of the moresuccessful techniques are proprietary and are the subjects of patents. Most of theelectrospray based processing methods have been used to produce

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 139

Page 84: Chemical vapour deposition of coatings.pdf

(i) ultrafine powders. For examples corona spray pyrolysis [373], ElectrostaticSpray Pyrolysis [374]; or

(ii) quantum dot composites. For examples electrospray organometallic chemicalvapour deposition [375], gas-aerosol reactive electrostatic deposition[376,377].

There are limited studies on the use of eletrospray based processing methods forthe deposition of uniform and adherent thin or thick films with well controlledmicrostructures. Reported work by Schoonman et al. [378,379] employed Electro-static Spray Deposition for the deposition of LiCoO2 and LiMnO4. The SEMmicrographs revealed particulate deposition rather than atomistic deposition, whichnormally occurs in the CVD and PVD processes. This type of microstructure pro-duced may be adequate for application as a cathode on rechargeable lithium. How-ever, atomistic deposition is crucial for the deposition of nanophase materials oruniform, dense and reproducible thin films. Therefore, the chemistry of the pre-cursors and the process conditions need to be tailored to facilitate depositionthrough Process III (i.e. heterogeneous CVD reaction).At Imperial College, there was some work initiated in the late 1980s [380] to

employ the corona spray pyrolysis for the electrospraying of a metalorganic com-pound onto a heated tube to generate ionised vapour that was transported into aCVD reactor where the decomposition and chemical reaction occur to depositsemiconducting materials for the manufacture of integrated circuits. This processstill involved the use of a vapour precursor delivery system, enclosed reactor and aspecial effluent gas handling system. Subsequently, there was an effort developed byChoy and her team to employ a simpler and cost-effective ESAVD-based methods forthe synthesis of thin/thick films and nanocrystalline powders as reviewed in the above.

12.8. Flame assisted chemical vapour deposition

Flame assisted chemical vapour deposition (FACVD) is another variant of CVD.This process involves the combustion of liquid or gaseous precursors injected/deliveredinto diffused or premixed flames where the liquid precursor will decompose/vaporiseand undergo chemical reaction and/or combustion in the flame. The flame source andthe combustion process provide the required thermal environment for vaporisation,decomposition, and chemical reaction. The flame source also helps to heat the substrateto enhance the diffusion and surface mobility of the absorbed adatoms on the substratesurface during the deposition of films. Therefore, it can be differentiated from theconventional CVD in terms of the way the liquid precursor is being vapourised, and themuch shorter time taken for the vaporisation, decomposition and chemical reactions tooccur than the conventional CVD. The FACVDmethod can also be distinguished fromthe thermal spraying method and its variants such as plasma spraying which involvethe use of solid powders as starting materials, and the high energy thermal sourcesuch as hydrogen fuel or plasma to melt the solid precursor powder into a molten orsemi-molten state before being sprayed onto water cooled substrates to form the coat-ings with a splat-like structure that normally contains micropores and microcracks.

140 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 85: Chemical vapour deposition of coatings.pdf

The fuel for the FACVD process can be hydrogen or a hydrocarbon. The use of ahydrocarbon often leads to the formation of soot, whereas combustion of hydrogenis a faster process than using hydrocarbon and it does not produce condensed spe-cies. The flame temperature is usually very high, typically 1727–2727 �C, which oftencauses the homogeneous gas phase reaction to occur leading to the deposition ofpowders Therefore, the FACVD is widely used commercially for the production ofpowder. For the deposition of films, the flame temperature is required to be reducedsignificantly by varying the ratio of precursor to fuel.The main process parameters that can be optimised in order to control the crystal

structure, morphology and particle size are flame temperature and its distribution,choice of precursors and its residence time in the flame, ratio of precursor to fuel.Additives can also be introduced into the flame to alter the size, phase and shape ofthe products [381,382].The advantages of the FACVD method is that the high flame temperatures allow:

(i) the use of volatile as well as less volatile chemical precursors to form a che-mical vapour. Therefore, it is a true CVD process and possesses the non-line-of sight-capability for the deposition of coatings onto non planar substrates;

(ii) the formation of the reaction product in a single step without post-processingsuch as calcination;

(iii) the rapid mixing of reactants on a molecular scale, thus reducing the proces-sing time significantly, and enable a better control of the stoichiometry of themulticomponent films as compared to conventional CVD and PVD methods;

(iv) the vaporisation, decomposition and chemical reactions to occur rapidlyleading to a high deposition rate; and

(v) the relatively low cost compared to the conventional CVD and PVD methodsas the FACVD process can be performed in an open atmosphere for thedeposition of oxide coatings without the need for a sophisticated reactor orvacuum system.

The main drawback of the FACVD method is the large temperature fluctuation ofthe flame source during deposition due to the large temperature gradient present inthe flame. As a result of such limitations, the FACVD is not widely used for thedeposition of uniform thin films or adherent thick films. However, it is more com-monly used commercially for the production of micron or sub-micron size powdersby tailoring the homogeneous gas phase reactions.The advantages of using FACVD or also known as combustion flame synthesis

has long been recognised by the oxide powder industry as the most cost-effective andcommercial viable ways of producing large quantity powders (e.g. tens of thousandsof tons) of TiO2 and SiO2 using metal chloride precursors in hydrocarbon flames[383]. Powders produced using this method have been used as starting materials forthe fabrication of advanced engineering ceramics for both structural (e.g. rocketengines and combustors) and functional (e.g. capacitors, piezoelectric devices, ther-mistors, catalyst and solar cells) applications [384,385]. Efforts were made to mini-mise the instability of the flame temperature by developing specially design burners

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 141

Page 86: Chemical vapour deposition of coatings.pdf

such as the counterflow flame burner, to produce a very flat and uniform flame inthe horizontal plane [386,387] or a reduced-pressure flat flame burner [388]. Suchburners allow better control over the microstructure, particle size and its distribu-tion leading to the fabrication of nanocrystalline powders which are difficult to produceusing the conventional FACVD method. Such modifications have led to the develop-ment of variants of FACVD known as counterflow diffusion flame synthesis, combus-tion flame chemical vapour condensation. The use of FACVD and its variants tosynthesise ultrafine or nanocrystalline powders has been reviewed in reference [2].

12.8.1. Flame-assisted vapour depositionAlthough the FACVD method may be difficult to deposit uniform dense thin films

especially on large areas due to the large temperature fluctuation, it can be used toproduce adherent porous coatings by tailoring a combination of homogenous andheterogeneous reactions to occur. This has been demonstrated by Choy et al. [389]using the Flame-assisted Vapour Deposition (FAVD) method, a FACVD-basedprocess, for the deposition of porous La(Sr)MnO3 coatings with well controlledstoichiometry as a cathode material for solid oxide fuel cell applications, and Ni–Al2O3 based reforming catalysts. The FAVD method combines spray pyrolysis andflame synthesis techniques. The precursors solution contains a combustible solvent

Fig. 25. A schematic diagram of the FAVD apparatus.

142 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 87: Chemical vapour deposition of coatings.pdf

such as alcohol. During deposition, the precursor solution is atomised and propelledby compressed air into a naked flame from a flame source. The process uses theenergy provided by the flame source and the combustion of the solvent to oxidise theprecursor species, which are then deposited onto the substrates. Fig. 25 shows aschematic diagram of a FAVD apparatus. Ni–Al2O3 based reforming catalysts(�100 mm thick) have been produced using FAVD at a deposition rate of 10 mm/min. These catalysts were found to have sufficiently high porosity with a large sur-face area of 0.85 m2/g which is required for the internal reforming of high-methane-containing natural gas to fuel gas. Elemental mapping of Ni also showed a uniformdistribution of Ni over the surface of the catalyst, and the required chemical phase inthe coating was obtained in a single-step deposition. The catalysts were found tohave a very high activity, capable of delivering 10 kW/m2 in the compact reformer.The catalysts were sufficiently robust to withstand flaking and delamination duringprolong exposure to the simulated elevated operating temperatures of molten carbonatefuel cells at 650 �C [390].FAVD has also been used to manufacture improved cathode/electrolyte systems

for solid oxide fuel cell applications [389]. The FAVD technique has been shown tobe capable of depositing both dense and porous films of the cathode/electrolytesystems cost-effectively as compared to CVD, PVD or conventional ceramic pro-cessing routes. A bilayer consisting of porous La0.8Sr0.2CoO3 (LSC, 10 mm thick)/Ce0.8Gd0.2O0.19 (CGO, 1 mm thick) on a Y2O3–ZrO3 (YSZ) substrate has beendeposited using the FAVD technique. Table 9 summarises the electrode/electrolyteinterfacial resistance of various FAVD produced systems at different test temperatures[389]. The interfacial resistance has been improved with an interlayer of a denseelectrolyte, [e.g. (Y2O3)0.15(CeO2)0.85 (YDC) and CGO], followed by a thick porouslayer (40% porosity) of La0.82Sr0.18MnO3 (LSM) or LSC. LSC which has a higherelectronic conductivity and superior electrocatalytic activity than LSM but it is morereactive with YSZ than LSM. However, the presence of FAVD deposited interlayers,allows the use of a LSC cathode with a YSZ electrolyte, instead of the conventionalLSM, which lowered the interfacial resistance two-fold.

12.8.2. Combustion chemical vapour depositionHunt et al. [391,392] has developed a method called Combustion Chemical

Vapour Deposition (CCVD) which is a FACVD-based method that combines theformation of submicron droplets using a specially design atomiser (NanomiserTM)and flame synthesis to deposit thin films. In this process, the chemical precursors are

Table 9

The electrode/electrolyte interfacial resistance of various systems at different temperatures

System R (800 �C) , cm2 R (900 �C) , cm2

LSM/YSZ 7.61 3.43

LSM/YDC/YSZ 2.49 3.47

LSC/YDC/YSZ 0.038 0.015

LSC/CGO/YSZ 0.044 0.014

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 143

Page 88: Chemical vapour deposition of coatings.pdf

dissolved in an organic/combustible solvent. The resultant solution is atomised usingNanomiserTM into submicron droplets, which are subsequently carried using anoxidising gas into a flame where they undergo combustion and pyrolysis to deposit athin film onto a substrate.The CCVD process has some similar features to the flame synthesis of diamond

but the CCVD process has been patented for applications other than diamondcoatings. The fluctuation of flame temperature which hinder the thin film depositionhas been minimised using a constant temperature monitoring and a computer feed-back loop to adjust the flow of oxidising gas and precursor flow, etc., to stabilise thetemperature [393]. Optical emission spectroscopy and flame pyrometry [394] havebeen used to investigate the relative emitting species in the flame and hence therelative concentration of short-lived, reactive species in a hydrocarbon flame andtheir spatial distribution. UV-VIS spectroscopy has been used to study the precursorpyrolysis.The use of CCVD for the deposition of thick films has not been demonstrated.

However, the potential of CCVD in depositing thin films, mostly oxide thin films[e.g. SiO2, Ba(Sr)TiO3, YSZ, LSC, PLZT, etc.], as well as certain metallic films (e.g.Pt), which are less oxygen sensitive, have been demonstrated for applications such aselectronic [391], catalytic [392], optical and corrosion and oxidation resistant coat-ings [395]. A range of materials such as metal, ceramics and certain plastics (e.g.Teflon) can be used as substrates. This process can also be used to deposit epitaxialSrTiO3 film (e.g. 380 nm thick) on MgO single crystal. The pole figure obtained fromX-ray dffractometry analysis shows that the full-width at half-maximum (FWHM)values of the (100) and (200) planes are 2.020�0.004�, and 1.67�0.01�, respec-tively [394]. The CCVD method has also been used to deposit thin films onto non-planar substrates. For example, lanthanum phosphate has been deposited usingCCVD onto NEXTEL alumina fibres at 900 �C as a potential coating system forprotection in ceramic matrix composites. All fibres were coated, with more than50% of the fibres coated with �300–500nm thick lanthanum phosphate [391].

12.9. Electrochemical vapour deposition

Electrochemical vapour deposition (EVD) is another variant of the CVD process. Itis used to deposit dense ion or electron-conducting oxide films onto porous electrodesat elevated temperatures (e.g. 10004T<1327 �C) and reduced pressures (below 1kPa). It is was first developed by Isenberg at Westinghouse in the mid seventies forthe fabrication of gas tight components (e.g. electrolyte and interconnection mate-rials) in the Solid Oxide Fuel Cell (SOFC) technology in order to inhibit the cross-leakage of oxidant and fuel gases [396]. Such deposition is difficult to be achievedusing other vapour deposition methods, including conventional CVD and PVDmethods. This is because in the conventional methods, the vapour approaching fromone side of the substrate will infiltrate through the porous substrates, and it isextremely difficult to deposit pin-hole free and uniform films onto porous substrates.EVD is currently the key processing technique for the fabrication of seamless tubularsolid oxide fuel cell (SOFC) technology and has been reviewed in [397,398].

144 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 89: Chemical vapour deposition of coatings.pdf

12.9.1. Process principleThe formation of a dense layer in the EVD process occurs in two stages as illu-

strated in Fig. 26 (adapted from Ref. [399]). In stage 1, the closure of pores of theporous substrates is achieved by the direct reaction of metal source reactants,usually metal chloride, for example MeCl2 (where Me is the cation species) withoxygen source reactant such as water vapour, as shown in Eq. (1) in Fig. 26. Otheroxygen sources such as NiO have also been used [400]. The reactants are delivered tothe opposite side of the porous substrate and they diffuse into the substrate pores.The open porosity acts as a reaction site for the oxide deposition. The oxide depos-

Fig. 26. A schematic diagram of the EVD process.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 145

Page 90: Chemical vapour deposition of coatings.pdf

ited by the CVD will eventually close the pores, and prevent any further direct con-tact and reaction of the reactants.In Stage 2, the growth mechanism is electrochemical in nature. The growth of the

oxide films over the closed pores occurs by solid state diffusion of oxygen ions due tothe presence of a large oxygen activity gradient across the deposited film. Thereduction of H2O [Eq. (2) in Fig. 26] at the water vapour side produces oxygen ions.Subsequently, the oxygen ions diffuse through the oxide film to the metal chlorideside and react with the metal chloride to form the oxide on the growing oxide layeraccording to Eq. (3) in Fig. 26.During the EVD process, the ion-conducting or electron conducting oxide exhibits

both oxygen ion and electron conductivity. Hence, the flux of oxygen ions is balancedby the counter diffusion of electron flux and thus preserve the electro-neutrality of theoxide films during growth.

12.9.2. Kinetics and growthThere are several studies on the kinetics and growth characteristic of the EVD

process for example references [401–404]. The pore closure step is vital and it dic-tates the ultimate properties of the EVD produced films. Investigations performedon the penetration of YSZ films into the porous substrate during the pore closurestage of the EVD of stabilised ZrO2 showed that the kinetics in this stage 1 could bemodelled as Knudsen diffusion and heterogeneous reaction in a one-dimensionalpore [401]. The rate of reaction was first order in the metal chloride and zero orderin water vapour. Since the concentration and reaction rate of metal chloride arehighest at the metal chloride side, the pore closure always occurs at the metal chlorideside of the porous substrate.The pore closure can be determined using a dimensionless Thiele modulus, F, in Eq.

(10), where, L=thickness of the substrate, k=reaction rate constant, D=effective dif-fusivity of the metal chloride, and r=pore radius [397,401].

F ¼2L2k

Drð10Þ

The pore closure time can be shortened and narrowing of the pore can be pre-vented by having a high F value. This can be achieved by increasing the reactionrate constant, k, and/or by reducing the pore size by reducing the diffusivity of themetal chloride through the porous substrate (e.g. by decreasing the pore diameter).There are several possible limiting factors during the growth of the oxide films

(stage 2) in the EVD process. These include

(i) surface kinetics on either side of the oxide film(ii) gas diffusion through the pores of the substrate(iii) solid state diffusion in the growing oxide film

when the rate limited steps are surface kinetics and gas diffusion through the poresof the substrate, a linear growth characteristic is expected [402]. Whereas, a para-

146 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 91: Chemical vapour deposition of coatings.pdf

bolic growth behaviour is exhibited in growth limited by solid state diffusion ofcharge species in the growing oxide film. This is similar to the Wagner oxidation ofmetals [405], and the thickness of the film, L, can be determined using the Eq. (11):

L ¼ 2k2t ð11Þ

where k2 is the parabolic rate constant which can be derived using the Wagner oxi-dation process model, and t is the deposition time. In the growth of solid elelctrolyte(e.g. YSZ), the charged species are electrons that diffuse through the oxide. For thegrowth of interconnect materials (e.g. LaCrO3), the charged species are oxygen ionsthat diffuse through oxygen vacancies. The growth of the oxide film is self-levelling(growth is fastest where the scale is thinnest), resulting in uniform scale thickness.Sasaki et al. [406] had investigated the reaction mechanism of EVD YSZ films.

They found that the deposition rate was proportional to the film thickness, partialpressure of reactants, reaction temperature and the electronic conductivity of YSZfilm as shown in Eq. (12):

Deposition rate / L�2=3 �p1=3ZrCl4=YCl3 � T�C½ �2=3

ð12Þ

where L=film thickness, pZrCl4=YCl3=partial pressure of ZrCl4/YCl3, C=electricalconductivity, T=temperature. If the film thickness is very small, the deposition rateis thought to be controlled by the surface reaction step. On the other hand, if large,the electron transport step is rate controlling.The growth behaviour of yttria-stabilized zirconia (YSZ) thin films formed by

EVD using NiO as an oxygen source might be different to those using water vapour.According to Inaba et al. [407], the rate-determining step of the process is not the elec-trochemical transportation of the oxide ions and electrons through the growing film,but the mass transport of oxygen gas, which is dissociated from the NiO substrate,through the substrate pores to the NiO/YSZ interface.The microstructure of the EVD films is strongly influenced by the deposition

temperature. In general, smooth, dense, uniform and gas-tight films with no pre-ferred orientation can be obtained at high temperatures. Whereas low depositiontemperatures yielded films with rough and faceted surface morphology, the filmsmay not be gas-tight [398,401].

12.9.3. Comparison of CVD and EVD processesBased upon the above description of the EVD process and its characteristics, it is

obvious that the EVD process can be differentiated from the conventional CVDprocess as follows:

(i) the metal and oxygen source precursors in the EVD process are introduced toopposite sides of the porous substrate, and separated by the reaction product,whereas all reactants are introduced to the same side of the substrate in theCVD process.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 147

Page 92: Chemical vapour deposition of coatings.pdf

(ii) the deposited materials must possess some ionic and electronic conductivityin order for the growth of gas tight films to proceed. Such feature is notpresent in the CVD process. Therefore, CVD has difficulty in depositingdense and gas-tight films onto porous substrates.

EVD process can also be differentiated from Chemical Vapour Infiltration (CVI),which is a modified CVD process to manufacture carbon–carbon composites orceramic matrix composites by infiltrating the porous fibre preform with dense cera-mic matrix. In the CVI process, the gaseous reactants are introduced and infiltratedinto the same side of the fibre preform to form the dense matrix at high temperatures(above 1000 �C) (see Section 12.10). The fibre preforms do not possess the requiredionic and electronic conductivity as the gas-tight material is not required in thedeposited dense matrix.

12.9.4. AdvantagesThe advantages of EVD over other processing techniques for the fabrication of

dense and gastight materials onto porous substrates can be summarised as follows:

(i) EVD is a deposition technology that enables thinner electrolyte films to bedeposited which can reduce the ohmic resistance, and miniaturise the manu-facture of solid state electrochemical devices (e.g. devices with dense andgastight electrolyte thin film for gas separation) as compared to other tech-niques such as plasma spraying. Moreover the atomistic deposition nature ofthe EVD process enables the precise control of the microstructure which isessential to control the properties and performance of the devices.

(ii) It can be used to produce dense films onto curved surfaces, e.g. tubular con-figuration, which can not be achieved using cheaper alternatives such asscreen printing and tape casting.

(iii) Refractory and oxide materials can be deposited at a fraction of their meltingtemperatures (41200 �C), unlike screen printing and tape casting whichrequire a high sintering temperature (51400 �C) that can cause undesirableinterfacial reactions between the electrode and electrolyte, and deteriorate theproperties of the fuel cells.

(iv) Although PVD methods such as RF-sputtering has been used at the R&D, itis a line-of sight process, and is has difficulty to deposit gas-tight electrolytefilms onto tubular porous tubes.

12.9.5. Technological statusEVD is the state-of-the-art manufacturing method for the solid electrolyte and

interconnect materials in solid oxide fuel cells as a clean and efficient energy gen-eration method. The EVD process has been scaled up for automated large scalemanufacturing which can accommodate large process batches, as many as 60 cellswith each cell upto 100 cm in length [408]. This process has been successfully used tomanufacture solid oxide fuel cells for multi-kilowatts generators.

148 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 93: Chemical vapour deposition of coatings.pdf

The EVD process uses sophisticated reactor and expensive vacuum pumping sys-tem. Therefore the cost of an EVD reactor is very expensive, the above commercialreactor capable of handling the production of 60 cells would cost in the order of 20million US dollars. In order for the SOFC technology to be able to compete withother forms of energies, the cost of production need to be lowered. This might beable to be achieved through R&D that explores the feasibility of operating the EVDprocess at atmospheric pressure, simplifying the reactor and/or minimising thenumber of EVD steps used in the manufacturing process. The latter has beenadopted by Westinghouse who developed the latest generation of cells using airelectrode supported-solid oxide fuel cell (AES-SOFC) rather than the previous zir-conia porous support tube (PST) design. The number of EVD steps used in themanufacture of AES-SOFCs has been reduced from three to two in production andfrom three to one in the laboratory resulting in significant manufacturing costreductions. Moreover the AES-SOFC have been reported to show substantialimproved performance and reliability over the previous PST design [409].Without compromising the quality of the deposited materials, lowering the cost of

EVD process, will widen the scope of the applications of the EVD process, e.g. thefabrication of dense and gastight thin films of ionic conducting films onto poroussupports for gas separation (e.g. separation of oxygen from air).

12.9.6. Other variantsTang and Etsell [410] have developed a modified form of CVD and EVD called

polarized electrochemical vapour deposition (PEVD) for depositing thin ionic con-ducting films. PEVD utilises a d.c. bias potential to create an electrochemicalpotential difference inside an ionic conductor under a polarized condition. The solidstate ionic material is used to transport the reacting species, and the electrochemicalreaction of the ionic species with the vapour phase (i.e. gas electrode reactions) is uti-lised for deposition of new phases at the surface. This method has been reported to beable to provide possible close control over the entire PEVD process. The process hasbeen used to deposit a NaNO3 auxiliary phase for a potentiometric NO2 sensor.The microstructure of PEVD products have been investigated [411] and was found

to be related to the thermodynamic considerations for the electrochemical reactionin PEVD and electrical properties of the product phase. Preferred growth and afaceted structure oriented along the porous Pt electrode surface were found. Such amicrostructure is due to the availability of the reacting species for the electro-chemical reactions, and the preferred crystallographic direction for ionic conduction inthe product phase during both crystal nucleation and growth stages. The micro-structural studies indicate that PEVD has the potential to improve the solid electrolyte/electrode contact in solid state ionics devices, such as sensors and fuel cells.

12.10. Chemical vapour infiltration (CVI)

CVI is a variant of the CVD process, which is used to manufacture matrix mate-rial of fibre reinforced ceramic composites. During the CVI process, the gaseousreactants have to diffuse and infiltrate through the porous structures where the gas-

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 149

Page 94: Chemical vapour deposition of coatings.pdf

eous reactants undergo decomposition and chemical reactions to deposit the matrixmaterial on the surface of the fibres in the preform. The by-products and theunreacted reactants have to be diffused out of the fibre preform unlike in the CVDprocess. Therefore the kinetics of the CVI process are different from that of CVDdespite the fact that they have the same thermodynamics and chemistry. DuringCVI, the process conditions are tailored such that the deposition process occurs in thekinetically limited low temperature regime in order to obtain maximum infiltrationand densification of the composites [412].

12.10.1. ApplicationsThe CVI process was first developed in 1962 to densify porous graphite preforms

by infiltration within a carbon matrix [413]. Since then, the method has been devel-oped and used commercially for the manufacture of about 50% of the carbon–car-bon composites. The rest are manufactured by curing fibre preforms impregnatedwith polymer. The CVI method has also been used to manufacture ceramic fibrereinforced ceramic matrix composites (CMCs) e.g. SiCfibre (e.g.Nicalon)/SiC matrix,carbon/SiCmatrix and Nicalon/Si3N4matrix to provide high strength (�400 MPa),fracture toughness (>10 MPa m1/2), corrosion and erosion resistance, high tem-perature structural material for reusable space vehicle, re-entry nose cones, heatexchangers and aircraft brake applications. As a result of the limited availability andhigh fabrication cost, most of the applications of CVI are currently limited to high-value products in aerospace where technology can out weight the production cost.However, as the CVI technology matures with lower cost of fabrication and fibres,together with a greater choice of fibre, matrix and geometry of fibre preforms, thiswill open the market for CVI products.

Fig. 27. A schematic diagram of the isothermal CVI process.

150 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 95: Chemical vapour deposition of coatings.pdf

The continuous fibre reinforced ceramic matrix composites are of great commer-cial interest because high strength fibres can be aligned in the high stress directions[414]. The key advantage of CVI in fabricating carbon–carbon composites andCMCs over other competing sintering and densification (e.g. hot pressing, hot iso-static pressing) processes is relatively low processing temperatures (i.e. well belowthe melting point or sintering temperature of the matrix material) and does notinvolve high pressure. The CVI process is normally carried out at atmospheric or lowpressure. Therefore, there are very little thermal, chemical or mechanical damages tothe fragile reinforcing fibres as compared to the conventional densification and hotpressing methods. There are several review articles on CVI [415,416].

12.10.2. MethodologyThe main CVI systems can be classified as follows

(i) isothermal(ii) temperature gradients, and/or(iii) temperature and pressure gradients

12.10.2.1. Isothermal. During the isothermal CVI process, the fibre preform isradiantly heated by an inductively heated susceptor and the deposition environmenthas uniform temperature distribution. The reactant gases are diffused into thefibrous preform as shown in Fig. 27. The CVI process is carried out under isobariccondition either at atmospheric or reduced pressure.During the isothermal CVI process, the deposition temperature and reactant

concentration are kept low such that the deposition rate is restricted in order toprevent the sealing of the exterior surface before the interior of the fibre preform has

Fig. 28. A schematic diagram of the CVI process using the temperature gradient approach.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 151

Page 96: Chemical vapour deposition of coatings.pdf

been densified. However, the concentration of gaseous reactants still tends to behigher towards the exterior surface of the preform, so as to form a layer of depositwhich can inhibit further infiltration. Therefore, the process often needs to be inter-rupted periodically to remove the outer layer of deposit by machining in order toopen diffusion passages for precursor to diffuse into the interior preform for densi-fication. In general, the densification of this process is rather slow (several weeks)and difficult to form thick wall composites.Despite the above limitation, CVI is a commercially viable method for the fabri-

cation of thin wall composites and can accommodate a large number of parts to beinfiltrated in a large furnace. It is simpler and more economical compared to CVIprocesses that employ temperature gradients, and/or temperature and pressure gra-dients. The isothermal CVI process is being employed commercially by companiessuch as SEP (France) and DuPont (USA) to manufacture SiC matrix with carbonfibre or Nicalon fibre reinforcements. Methyltrichlorosilane CH3SiCl3 is normallyused as the precursor for SiC infiltration because the Si:C=1:1 ratio in the precursorpromotes the deposition of stoichiometric SiC. The deposition process is performedat high temperature (typically 1000–1200 �C) and at reduced pressure in a vacuumchamber (typically 1–10 kPa) containing preforms that are positioned on graphiteshelving.

12.10.2.2. Temperature gradients. The fibre preform to be infiltrated is supported byan inductively heated mandrel. The matrix is first deposited on the surface that isdirectly in contact with the mandrel. The deposition process progresses radiallythrough the fibre preform as the densified preform itself becomes more conductive

Fig. 29. A schematic diagram of the CVI process using both temperature and pressure gradients.

152 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 97: Chemical vapour deposition of coatings.pdf

and inductively heated as shown in Fig. 28. Therefore, it can avoid sealing of theentrance surface and prevent surface crusting and any machining because thedeposition rate is higher near the mandrel (hottest region) and the outer surface ofthe fibre preform (coolest region) received little or no deposit. Thus, this helps toreduce the infiltration and improve the processing efficiency. The infiltration processis normally performed at atmospheric pressure with the mandrel heated to�1100 �C.

12.10.2.3. Temperature and pressure gradients. This method has been developed atthe Oak Ridge National Lab, USA and it is at the R&D stage [416,417]. A schematicdiagram of this process is shown in Fig. 29 (adapted from [416]). This process can besummarised as follows:

(i) the fibre preforms are contained within a graphite holder that is in contactwith a water cooled metal gas distributor to allow cooling of the gas inlet andside surfaces of the preform. The opposite end of the preform is exposed tothe hot zone of the furnace. A steep temperature gradient is thus createdacross the fibre preform.

(ii) the reactant gases are delivered under pressure (�2MPa) into the cooled side ofthe preform, and continue to the hot portion of the preform in the reactor,where the reactants undergo decomposition and chemical reaction to depositthe matrix material. Such deposition increases the density of the hot region ofthe preform and hence its thermal conductivity. This facilitates the depositionzone to move progressively from the hotter regions towards the cooler regions.

This approach reduced the infiltration time significantly from weeks to less than24 h, and it is suited for the fabrication of thick walled composites of relativelysimple shapes. It also allows a higher fibre loading of the composites because mod-erate pressure can be applied to the graphite holder to compress the preform. Thefibrous materias can be held in place by a graphite holder without the use of bindersthat need to be removed later.

12.10.3. Various CVI processesCVI can be classified further according to the method of transport of gaseous

precursors into the fibre preforms (via diffusion or forced flow), and whether thethermal gradient is used in the deposition as shown in Fig. 30 (adapted from Ref.[418]). Most of the commercial CVI systems are based on the more economical iso-thermal (Type A) approach. The details of the commercial systems remain proprie-tary. The thermal gradient and/or thermal and pressure gradient systems are still atthe R&D stage.Generally, most of the fibres are pre-coated with a thin pyrolytic carbon prior to

infiltration with matrix material to control the fibre–matrix interface in order toprevent strong interfacial bonding and low flexure strength and brittle fracture [419].The CVD of carbon deposition conditions have been selected to favour the deposi-

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 153

Page 98: Chemical vapour deposition of coatings.pdf

tion of graphitic coating with a lamella structure that lies parallel to the fibres [420].Such coatings have shown to improve the handlebility of fibre, prevent chemicaland mechanical damage to the fibres during CVI processing, enhance fibredebonding and slip, and hence the toughness and strength of the composite materi-als [421].

Fig. 30. Classification of various CVI processes.

154 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 99: Chemical vapour deposition of coatings.pdf

Table 10

Comparison of different variants of CVD

Variants of CVD Special features Distinctive advantages

Plasma enhanced CVD Uses plasma to ionise and

dissociate gases as well as to

provide a substrate heating source

Lower deposition temperature and

enhanced deposition rate

Requires vacuum and more

complex reactor for the generation

of plasma

Enables the deposition of a wider

choice of films (e.g. diamond, cubic

BN, etc.) that are difficult to be

synthesised using conventional

CVD or PVD techniques.

Photo-assisted CVD Uses light as heating source (e.g.

arc lamp, CO2 lasers, Nd-YAG

Lower deposition temperature and

enhanced deposition rate

lasers, excimer lasers and argon

ion lasers)

Enables localised deposition or

selected area deposition

Avoids film damage because of

low excitation energies

(typically<5 eV)

Atomic layer epitaxy Uses thermal/light source Controlled growth of epitaxial films

Monoatomic layer deposition

Electrochemical vapour

deposition (EVD)

Involves a two-stage process:

1. pore closure by CVD,

Enables the deposition of dense

ionic or electronic conducting

2. oxide scale growth by EVD, where oxide films onto porous substrates

that cannot be achieved using

conventional CVD and other� Metal and oxygen source precursors

are introduced to opposite sides of the

porous substrate and separated by the

reaction product

vapour processing techniques

� deposited materials must possess

some ionic and electronic conductivity

in order for the growth of gas tight

film to proceed

Metalorganic CVD (MOCVD) Uses metalorganic as precursor source Lower deposition temperature

Pulsed Injection MOCVD Uses single metalorganic liquid

precursor source and special injector/

evaporator for the generation of vapour

precursor

Fast evaporation of the precursor

and a shorter delivery time of the

vapour precursor to the reaction

zone, and higher growth rate (mm/s) Precise control of the coating

thickness, stoichiometry and

growth rate for the synthesis of

superlattice and multilayer thin

films with complex stacking at

the nm scale level

Flame assisted CVD Uses flame as heating source Low cost

(e.g. FAVD and CCVD) Performs in an open atmosphere

for oxide deposition

Fast evaporation of the precursor

and a shorter delivery time of the

vapour precursor to the reaction

zone, and higher growth rate (mm/s)

(continued on next page)

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 155

Page 100: Chemical vapour deposition of coatings.pdf

A subsequent CVD of protective coatings is often required on the CVI fabricatedcarbon–carbon composites (see Section 12.1) in order to improve the oxidation andthermo-mechanical properties of the composites, especially carbon–carbon composites.

13. Comparison of various CVD methods

The conventional CVD process based on thermally activated CVD uses inorganicprecursor sources. The deposition process is initiated by thermal energy and occursat atmospheric pressure, low pressure or ultrahigh vacuum. The deposition oftenrequires relatively high temperatures (typically 500–1400 �C) depending on the typeof inorganic precursor (e.g. halides, hydrides, etc.) used. Other variants of CVD (e.g.plasma enhanced CVD, photo-assisted CVD, atomic layer epitaxy, electrochemicalvapour deposition, metalorganic CVD, pulsed injection MOCVD) have been devel-oped. In addition, emerging low cost, non vacuum CVD-based techniques (e.g.aerosol assisted CVD and flame assisted CVD) have the potential to be scaled up forlarge area or mass production. Most of these variants can also be performed atatmospheric pressure or reduced pressure. However, plasma enhanced CVD needsto be performed at low pressure (typically 1.3–1333 Pa) to generate the plasma.Table 10 provides a summary of these different variants of CVD for the depositionof films, their special features which is different to the thermally activated CVD, andtheir distinctive advantages which provide the motivation for their development.

Table 10 (continued)

Variants of CVD Special features Distinctive advantages

Aerosol assisted CVD e.g. Performs in an open atmosphere

for oxide deposition

Generates aerosol precursor using

Fast evaporation of the precursor

and relatively a shorter delivery

time of the vapour precursor to the

reaction zone, and higher

deposition rate� low cost

Pyrosol � Ultrasonic generator � thin or thick films

ESAVD/EAAJD � Electrostatic spraying or electrostatic

aerosol generator

� cost-effective

� High deposition efficiency

Chemical vapour

infiltration

Involves the diffusion and infiltration

of gaseous reactants through the porous

fibre preform where the gaseous reactants

undergo decomposition and chemical

reaction to depositthe ceramic matrix

material on the surface of the fibres in

the preform

Enables the densification of

porous ceramic preform with

little thermal, chemical or

mechanical damage to the

fragile reinforcing fibres as

compared to the conventional

densification and hot pressing

methods

A commercial method for the

manufacturing of ceramic matrix

material of ceramic fibre reinforced

composites

156 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 101: Chemical vapour deposition of coatings.pdf

Although there are variants of CVD processes, the criteria for the selection of anappropriate CVD coating process for a specific engineering application of the surfacecoating method should:

(a) not affect or impair the properties of the bulk materials;(b) be capable of depositing the required type of coating and thickness;(c) be capable of coating the engineering components uniformly with respect to

both size and shape; and(d) be cost-effective in terms of costs of the substrate, coating material, coating

technique, and minimised equipment down time and improved the quality ofthe coated end-product.

14. Comparison of CVD with other coating techniques

Various CVD techniques have been reviewed and examined, their advantages andcoating applications. It is worthwhile to briefly compare CVD with other vapourdeposition techniques such as physical vapour deposition (PVD), as well as othernon vapour deposition routes, in order to realise the significance of CVD and theirscientific and technological niche markets.There are various ways of producing coatings and films. These include wet/semi-

molten and vapour processing routes. Vapour processing routes seem to be the onlyprocessing route which is capable of producing highly pure coatings with structuralcontrol at the atomic level or nanometer level and at low processing temperatures,which is important, especially in the microelectronics and opto-electronic industries.Furthermore, semi-molten processing methods such as plasma spraying and thermalspraying tend to produce porous and splat-like thick coatings, which limit the scopeof applications. Wet chemical routes such as slip casting, tape casting, calendering andscreen printing may be appropriate for thick coating and small area configurationapplications, because the large shrinkage associated with the removal of polymeric bin-ders and plasticisers in subsequent sintering steps reduces the film quality for large areafabrication. Sol-gel coated films tend to crack and there is a thickness limitation for eachlayer (about 1 mm). The process has to be repeated to obtain the required thickness.There are two well established vapour processing routes, namely Chemical

Vapour Deposition (CVD) and Physical Vapour Deposition (PVD) (e.g. evapora-tion, sputtering and ion plating). Table 11 gives a general comparison between thesetwo methods. PVD techniques have been widely used by physicists as they do notinvolve complex chemistry and chemical reactions as in the CVD-based techniques.The general limitations of PVD are

(a) difficulty in depositing coatings onto complex shaped components because itis a line-of-sight process;

(b) difficulty for large area deposition and study varying in stoichiometrybecause it uses solid metal or oxide sources which are tedious and time con-suming to be manufactured and installed;

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 157

Page 102: Chemical vapour deposition of coatings.pdf

(c) difficulty in controlling the stoichiometry of films (except laser ablation),which has significant effect on the properties of the films; and

(d) relatively expensive because it needs sophisticated reactor and vacuum sys-tems to facilitate the generation and transportation of vapour species.

There are hybrid processes such as reactive sputtering and reactive ion platingwhich introduce O2, nitrogen or hydrocarbon for the deposition of oxides, nitridesand carbides (or DLC), respectively. However, they still generally have the abovelimitations.All the above are not major issues with CVD as shown in Table 11. However,

CVD-based methods generally involve complex chemistry and chemical processwhich include the nature of chemical precursors, chemical reactions in the gas phase

Table 11

Comparison between CVD and PVD coating techniques

CVD PVD

Sophisticated reactor and/or vacuum system Sophisticated reactor and vacuum system

Simpler deposition rigs with no vacuum

system has been adopted in variants of CVD

such as pyrosol, ESAVD, FAVD and CCVD

Vacuum system is definitely required to

facilitate the generation of vapour species

and increase the mean free path of the

vapour species to arrive at the substrate

Expensive techniques for LPCVD, plasma

assisted CVD, photo assisted CVD, MOCVD,

ALEP, EVD

Expensive techniques

Relatively low cost techniques for AACVD

and FACVD

Non-line-of-sight process. Therefore, it can Line-of-sight process. Therefore, it has

coat complex shaped components

deposit coating with good conformal coverage

difficulty in coating complex shaped

components

conformal coverage problem

Tend to use volatile/toxic chemical precursors Tend to use expensive sintered solid targets/

sources which have difficulties in:

Less volatile/more environmentally friendly

precursors have been adopted in variants of

CVD such as ESAVD and CCVD

large area deposition

varying the composition or stoichiometry of

the deposits

Multi-source precursors tend to produce

non stoichiometric films

Single source precursors have overcome such problems

Multi targets or single targets have difficulty in

controlling the stoichiometry of the films

because different elements will evaporate or

sputter at different rates, except the laser

ablation method

High deposition temperatures in conventional CVD Low to medium deposition temperatures

Low to medium deposition temperatures can be achieved

using variants of CVD such as plasma assisted CVD,

photo assisted CVD, MOCVD, ESAVD

158 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 103: Chemical vapour deposition of coatings.pdf

and heterogeneous reaction. These chemical issues are very often not simple even tochemists. However, with the use of on-line monitoring and diagnostic tools (asdescribed in Section 10) together with the process modelling of the thermodynamics,kinetics and mass transport of the CVD process, these have helped to unveil thechemistry and fluid dynamics in the CVD process and provide a better under-standing of the chemical reactions and rate controlling steps. Moreover, the use ofsingle chemical precursor source has minimised the CVD process parameters thatneeded to be controlled. The development and emergence of simpler and cost effec-tive CVD-based techniques ESAVD and CCVD have also contributed to a wideruse of CVD in coating technology, and has opened up new application areas thatmight have previously limited by the high cost/limitation of conventional CVD andPVD.

15. Conclusions and outlook

This article has presented a review of the current and potential development ofCVD processes and their applications. It has revealed that CVD is an importanttechnique for the deposition of highly pure films and coatings with good conformalcoverage. In addition, variants of CVD processes have been developed to cater forspecific applications. These include plasma enhanced CVD, photo assisted CVD,and metalorganic CVD for the low temperature deposition which are useful insemiconductors; atomic layer expitaxy for the controlled growth of epitaxial filmsand monoatomic layer deposition; pulsed injection MOCVD enables the depositionsuperlattice and multilayer thin films with complex stacking at the nm scale level;electrochemical vapour deposition for the deposition of dense ionic or electronicconducting oxide films onto porous substrates; chemical vapour infiltration for thedensification of porous ceramic preform during the manufacturing of ceramicmatrix material for ceramic fibre reinforced composites.These various CVD processes offer the widest range of thin film and coating

applications than any other deposition or coating techniques. Examples of estab-lished application areas of CVD include semiconducting thin films, protective coat-ings for wear, corrosion, oxidation, chemical reaction and thermal shock resistance,ceramic fibres and CMCs. The capability of CVD processes to use any precursorsources and processing conditions have led to the development of new and advancedmaterials. These include synthetic diamond films, (which is difficult to be depositedusing PVD or other techniques), superlattice and multilayer thin films, nanostructuredmaterials, free standing shapes and rapid prototyping,The development of emerging low cost, non vacuum CVD-based techniques (e.g.

aerosol assisted CVD and flame assisted CVD), offers the potential to be scaled upfor large area or mass production. This will widen the scope of engineering appli-cations of CVD that might have previously limited by the high cost/limitation ofconventional CVD.Although CVD-based methods generally involve complex chemistry and chemical

process, the use of on-line monitoring and diagnostic tools, together with the

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 159

Page 104: Chemical vapour deposition of coatings.pdf

improved understanding of the thermodynamics, kinetics and mass transport of theCVD process would help to unveil the chemistry, rate controlling steps and fluiddynamics in the CVD process. The use of single chemical precursor sources hasminimised the CVD process parameters that need to be control. Such improvementsin the fundamental understanding of CVD processes, deposition control and envir-onmental safety, together with the versatility of variants of CVD, and the develop-ment of emerging low cost, non vacuum CVD-based techniques will make CVD animportant thin film and coating technology for the 21st century, to improve theperformance of films for both functional and structural applications and to developnew advanced materials to meet the industrial and social requirements.

Acknowledgements

The author would like to thank Dr. Ming Wei for kind assistance in preparing themanuscript.

References

[1] De Lodyguine JS. Illuminant for incandecent lamps. US patent 575002 1893.

[2] Choy KL. In: Nalwa HS, editor. Handbook of nanostructured materials and nanotechnology, vol.

1: synthesis and processing. San Diego (CA): Academic Press; 2000. p. 533.

[3] Xie S, Li W, Pan Z, Chang B, Sun L. Mater Sci Eng 2000;A286:11.

[4] Dai H, Franklin N, Han J. Appl Phys Lett 1998;73:1508.

[5] Li WZ, Xie SS, Qian LX, Chang BH, Zou BS, Zhou WY, et al. Science 1996;274:1701.

[6] Kong J, Franklin NR, Zhou CW, Chapline MG, Peng S, Cho KJ, et al. Science 2000;287:622.

[7] Nagy G, Levy M, Scarmozzino R, Osgood RM, Dai H, Smalley RE, et al. Appl Phys Lett 1998;

73:529.

[8] Dai H, Hafner JH, Rinzler AG, Colbert DT, Smalley RE. Nature 1996;384:147.

[9] Kong J, Soh HT, Cassell A, Quate CF, Dai H. Nature 1998;395:878.

[10] Hayman C. B Ceram Proc 1984;34:175.

[11] Hartmann P, Haubner R, Lux B. Int J Refract Met Hard Mater 1998;16:207.

[12] Trava-Airoldi VJ, Corat EJ, Baranauskas V. Advanced Ceramic Tools for Machining Applica-

tion—III 1998;138(1):195.

[13] Partridge PG, Meaden G, Nicholson ED, Nicholson JA, Ashfold MNR. Mater Sci Tech 1997;

13:551.

[14] Lehman O, Stuke M. J Phys (Paris) Colloq 1991; 1(Suppl. 7), C 2–337.

[15] Westberg H, Boman M, Johansson S, Schweitz JA. J Appl Phys 1993;73:7864.

[16] Wallenberger FT. Science 1995;267:1274.

[17] Heschel M, Muellenborn M, Bouwstra S. J Microelectromech Sys 1997;6:41.

[18] Lehmann O, Stuke M. J de physique IV 1995;5:452.

[19] Duty CE, Jean DL, Lackey WJ. Ceram Eng Sci Proc 1999;20:347.

[20] Hocking MG, Vasantasree V, Sidky PS. Metallic and ceramic coatings: production, high tem-

perature properties and applications. Longman: Essex (UK) and John Wiley & Sons: New York;

1989.

[21] Pierson HO. Handbook of chemical vapor deposition. Park Ridge (NJ): Noyes; 1992.

[22] Hitchman ML, Jensen KF, editors. CVD principles and applications. San Diego: Academic Press;

1993.

[23] Ismat Shah DA, editor. Handbook of thin film process technology. Bristol (UK): Institute of Phy-

sics Publishing, 1977, B1.0–5.

160 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 105: Chemical vapour deposition of coatings.pdf

[24] Morosanu CE. Thin films by chemical vapor deposition. Amsterdam: Elsevier; 1990.

[25] Sherman A. Chemical vapor deposition for microelectronics. Park Ridge (NJ): Noyes; 1987.

[26] Blocher JM. J Vac Sci Technol 1974;11:680.

[27] Bryant WA. J Electrochem Soc 1978;125:1534.

[28] Buckley JD. Am Ceram Soc Bull 1988;67:364.

[29] Strife JS, Sheehan JS. Am Ceram Soc Bull 1988;67:369.

[30] Rossi RC. In: Schuergraf KK, editor. Handbook of thin film deposition processes and techniques.

Park Ridge (NJ): Noyes; 1988. p. 80.

[31] Toy DA. Semiconductor International 1990;6:64.

[32] Kern W, Schuergraf KK. In: Schuergraf KK, editor. Handbook of thin film deposition processes

and techniques. Park Ridge (NJ): Noyes; 1988. p. 1.

[33] Lili Vescan C, In: Handbook of thin film process technology. Bristol (UK): Institute of Physics,

1995. p. B1.0:12.

[34] Hunt LP, In: Cullen GW, editor. 10th Int. Conf. on chemical vapour deposition. Princeton (NJ):

Electrochemical Society; 1987. p. 112.

[35] JANAF Thermochemical tables, 3rd ed. J Phys Chem Ref Data 14 (Suppl. 1.), 1986.

[36] CRC. Handbook of chemical data. CRC; 1987.

[37] Bernard C. In: Blocher JM, Vuillard GE, editor. Proc. 8th Int. Conf. on CVD 1981. p. 3.

[38] Kubachewski O, Alcock CB, Raynor GV, editors. Metallurgical thermochemistry. Oxford: Perga-

mon Press; 1979.

[39] Vandelbucke L, In: Blocher JM, editor. Proc 8th Int. Conf. on chemical vapour deposition.

Princeton (NJ): Electrochemical Society, 1981. p. 32.

[40] Lever RF. IBM J Res Develop 1964;9:470.

[41] Spear KE, Wang MS. Solid State Technol 1980;23:63.

[42] Besmann TM, Spear KE. J Electrochem Soc 1977;124:786.

[43] Carlsson JO, Boman M. J Vac Sci Technol A 1985;3:2298.

[44] Ekvicalc EKV, IBASE. Svensk Energi Data, S-74022 Balinge, Sweden: Agersta.

[45] Rouch H, Pons M, Benezech A, Bernard C, Madar RJ. Physique 1993;3:17.

[46] Ho P, Coltrin ME, Binkley JS, Melius CF. J Phys Chem 1986;90:3399.

[47] Ho P, Melius CF. J Phys Chem 1990;95:1410.

[48] Cavallotti C, Masi M, Carra S. J de physique IV 1999;9:355.

[49] Piekarczyk W. Cryst Res Technol 1999;34:553.

[50] Angelopoulos GN, Kinkel S, Voudouris N. Surf Coat Technol 1996;78:72.

[51] Thyssandier F, Allendorf MD. J Electrochem Soc 19981452167.

[52] Rebenne H, Pollard R. J Electrochem Soc 19851321932.

[53] Jensen KF. Chem Eng Sci 1987;42:923.

[54] Jasinski JM, Meyerson BS, Scott BA. Ann Rev Phys Chem 1987;38:109.

[55] Gates SM. Surf Sci 1988;37:307.

[56] Comfort JH, Reif R. J Electrochem Soc 19891362386.

[57] Lee PW, Omstead TR, McKenna DR, Jensen KF. J Crystal Growth 1987;85:165.

[58] Larsen CA, Buhan NI, Li SH, Stringfellow GB. Appl Phys Lett 1988;52:480.

[59] Somorjai GA. Chemistry in two dimensions. Ithaca (NY): Cornell University Press; 1984.

[60] Buss RF, Ho P, Breiland WG, Coltrin ME. J Appl Phys 1988;63:2808.

[61] Aspnes DE. Proc Mat Res Soc 1990;198:341.

[62] Choy KL, Derby B, J de Physique IV, Colloque C2 suppl. J de Physique II 1991;1:697.

[63] Bloem J, Giling L. J Curr Topics Mater Sci 1978;1:147.

[64] Van Der Put PJ, Ammerlaan JAM, Dekker JP, Schoonman J. Adv Mater 1999;11:211.

[65] Molodyk AA, Korsakov IE, Novojilov MA, Graboy IE, Kaul AR, Wahl G. Adv Mater 2000;

12:133.

[66] Hu ZJ, Huettinger KJ. Adv Mater 2000;12:77.

[67] Roth A. Vacuum technology. Amsterdam: North-Holland; 1976. p. 60.

[68] Jensen KF, In: Hitchman ML, Jensen KF, editors. CVD principles and applications. London:

Academic Press; 1993. p. 50.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 161

Page 106: Chemical vapour deposition of coatings.pdf

[69] Schlichting H. Boundary layer theory. New York: McGraw-Hill; 1960.

[70] Grove AS. Physics and technology of semiconductor device. New York: Wiley; 1960.

[71] Kleijn CR, Kuijlaars KJ, Okkerse M, VanSanten H, Van Den Akker HEA. J de physique IV 1999;

9:655.

[72] Park KW, Pak HY. Numerical Heat Transfer, Part A, Applications 2000;37:407.

[73] Yoon S, Moon Y, Lee TW, Hwang H, Yoon E, Kim YD, et al. J Electron Mater 2000;29:535.

[74] Rouch H, Pons M, Benezech A, Bernard C, Madar R. Thin Solid Films 1996;281/282:64.

[75] Cranmer DC. Ceram Bull 1989;68:415.

[76] Breiland WG, Ho P. In: Hitchman ML, Jensen KF, editors. CVD principles and applications. San

Diego: Academic Press; 1993. p. 91 [Chapter 3].

[77] Aspnes DE. Proc Mat Res Soc 1990;198:341.

[78] Bradley D, Matthews KJ. J Mech Eng Sci 1968;10:299.

[79] Ban VS. J Electrochem Soc 1978;125:317.

[80] Smith Jr J, Sedwick E. Lett Heat Mass Transfer 1975;2:329.

[81] Benet S, Berge R, Brunet S, Charar S, Armas B, Combescure C. Rev Int Hautes Temper Refract Fr

1982;19:77.

[82] Vest CM. Holographic interferometry. New York: Wiley; 1979.

[83] Noles GT, Lieberman MLJ. Chromatogr 1975;114:211.

[84] Harris SJ, Weiner AM. J Appl Phys 1990;67:6520.

[85] Heinrich J, Hemeltjen S, Marx G. Mikrochimica Acta 2000;133:209.

[86] Ban VS. J Cryst Growth 1972;17:19.

[87] Harris SJ, Weiner AM. Appl Phys Lett 1989;55:2179.

[88] Slifirski J, Huchet G, Reynes A, Marty A, Teyssandier F. Chem Mater 1995;7:622.

[89] Inoue Y, Sugimura H, Takai O. Thin Solid Films 1999;345:90.

[90] Smith Jr J, Sedwick E. Thin Solid Films 1977;40:1.

[91] Bouix J, Berthet MP, Boubehira M, Dazord J, Vincent H. J Electrochem Soc 19821292338.

[92] Koppitz M, Vestavik O, Pletchen W, Mircea A, Heyen M, Richter W. J Cryst Growth 1984;

68:136.

[93] Hu R, Tin CC, Feng ZC, Liu J, Vohra Y. Silicon Carbide Related Mat 1995;142:345.

[94] Nishida K, Morisawa K, Hiraki A, Muraishi S, Katoda T. Appl Surf Sci 2000;159:143.

[95] Kajiyama K, Saito K, Usuda K, Kano SS, Maeda S. Appl Phys B 1985;38:139.

[96] Devonshi R. Chemtronics 1987;2:183.

[97] Luckerath R, Tommack P, Hertling A, Kob H. J Cryst Growth 1988;93:151.[98] Leys MR, Veenvliet H. J Cryst Growth 1981;55:145.

[99] Nishizawa J. J Cryst Growth 1982;56:273.

[100] Butler JE, Bottka N, Sillmon RS, Gaskill DK. J Cryst Growth 1986;77:163.

[101] Wormhoudt J, Stanton AC, Richards AD, Sawin HH. J Appl Phys 1987;61:142.

[102] Karlicek Jr RF, Bloemeke A. J Cryst Growth 1985;73:364.

[103] Tong WG, Shaw RW. Appl Spectrosc 1986;40:494.

[104] Segwick T, Arbach GV. In: Proceeding of the 10th Materials Research Symposium on Character-

ization of High Temperature Vapours and Gases, Special Publication 561. Gaithersburg (MD):

National Bureau of Standards; 1979. p. 885.

[105] Donnelly VM, Karlicek RF. J Appl Phys 1982;53:6399.

[106] Takubo Y, Takasuki Y, Yamamoto M. J Appl Phys 1988;64:1050.

[107] Moller A, Kall R, Till V, Wortberg G, Adomeit G. J Cryst Growth 1997;174:837.

[108] Vanier PE, Kampas FJ, Corderman RR, Rajeswaran G. J Appl Phys 1984;56:1812.

[109] Fuyuki T, Allain B, Perrin J. J Appl Phys 1990;68:3322.

[110] Liao Y, Li CH, Ye ZY, Chang C, Wang GZ, Fang RC. Diamond Rel Mat 200091716.

[111] Nakahata K, Ro K, Suemasu A, Kamiya T, Fortmann CM. Shimizu, Jpn J Appl Phys Pt1 2000;

39:3294.

[112] Ban VS. J Electrochem Soc 1978;125:317.

[113] Brieland WG, Evans GH. J Electrochem Soc 19911381806.

[114] Visser EP, Govers CAM, Giling LJ. J Cryst Growth 1990;102:529.

162 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 107: Chemical vapour deposition of coatings.pdf

[115] Gilling LJ. J Electrochem Soc 1982;129:634.

[116] Williams JE, Peterson RW. J Cryst Growth 1986;77:128.

[117] Koppitz M, Vestavik O, Pletchen W, Mircea A, Heyen M, Richter W. J Cryst Growth 1984;

68:136.

[118] Chiu KC, Ouazzani J, Rosenberger F. Int J Heat Mass Transfer 1987;30:1655.

[119] Johnson EJ, Hyer PV, Culotta PW, Clark IO. J Cryst Growth 1998;187:463.

[120] Movchan BA, Demchishin AW. Fis Metall Metallowed 1969;28:653.

[121] Thornton JA. Ann Rev Mater Sci 1977;7:239.

[122] Meyerson BS. Appl Phys Lett 1986;48:797.

[123] Van de Brekel CHJ, Bollen LJM. J Cryst Growth 1981;54:310.

[124] Hitchman ML, Kane J, Widmer AE. Thin Solid Films 1979;59:231.

[125] Jasinki JM, Gates SM. Acc Chem Res 1991;24:9.

[126] Venkatesan M, Beinglass I. Solid State Technol 1993;3:49.

[127] Hendriks M, Werkhoven CJ, Huussen F, Granneman E. Int. Conf. Electron. Mat. (EMRS 1992

meeting, 1992.

[128] Meyerson BS, In: Hitchman ML, Jensen KF, editors. Chemical vapour deposition: principles and

application. San Diego: Academic Press; 1993. p. 220.

[129] Liaw HM, Rose JW. In: Baliga BJ, editor. Epitaxial silicon deposition. Orlando (FL): Academic

Press; 1986. p. 1.

[130] Nishizawa J. J Jpn Ass Cryst Growth 1978;5:17.

[131] Bloem J, Claassen WAP. J Cryst Growth 1980;49:435.

[132] Hitchman ML. Prog Cryst Growth Charact 1981;4:249.

[133] Meyerson BS, Uram KJ, LeGoues FK. Appl Phys Lett 1988;53:2555.

[134] Ogirima M, Saida H, Suzuki M, Maki M. J Electrochem Soc 1977;124:903.

[135] Sherman A. Chemcial vapour deposition for microelectronics. Park Ridge (NJ): Noyes; 1987.

[136] Rossi RC. In: Schuegraf KK, editor. Handbook of thin film deposition processes and techniques.

Park Ridge (NJ): Noyes; 1988, p. 80.

[137] Kwakman LFT, Londow EJ, Granneman EHA, Martin F, Veler JC, Joly JP. Appl Surf Sci 1992;

70/71:629.

[138] Rosler RS. Solid State Technol 1977;20:63.

[139] Galasso FS. Chemical vapour deposited materials. Boca Raton (FL): Chemical Rubber Company;

1991.

[140] Isobe Y, Shirakawa H, Son P, Miyake M. J Less Common Metals 1989;152:251.

[141] Kaplan LH, d’Heurle FM. J Electrochem Soc 1970;117:693.

[142] Bryant WA. J Electrochem Soc Solid State Sci Technol 1973;120:561.

[143] Ichikawa Y, Sakai H, Uchida Y. In: Cullen G, editor. Proc 10th Conf on CVD. Pennington (NJ):

Electrochem. Soc.; 1987. p. 967.

[144] Manasevit HM, Gergis IS, Jones AB. J Electron Mater 1983;12:637.

[145] Robbins DJ, Cullis AG, Pidduck AJ. J Vac Sci Technol B 1991;9:2048.

[146] Dutartre D, Warren P, Berbezier I, Perret P. Thin Solid Films 1992;222:52.

[147] Caymax MR, Poortmans J, Van, Ammel A, Vandervorst W, Vanhellemont J, Nijs J. Mat Res Soc

Symp Proc 1992;259:461.

[148] Jurgensen H, Wachs BP, Heyen M. Proc. Electron. Mater. (Proc. 1st Int. Conf., Santa Barbara).

New York: American Inst. Chem. Eng.; 1987. p. 25.

[149] Bernard C, Madar R. Mat Res Soc Symp Proc 1992;250:3.

[150] Vescan L. In: Glocker DA, Shah SI, editors. Handbook of thin film process technology. Bristol

(UK): Institute of Physics Publishing; 1997. p. B1.4:33.

[151] Schintlmeister W. Metalloberflache 1986;40:97.

[152] Bernex, (n.d), Report no. 756002 Bernex, CH-Olten, 1983.

[153] Hintermann HWE. Thin Solid Films 1981;84:215.

[154] Merrigan M, Dunwoody W, Lundberg L. J Heat Recovery Syst 1982;2(2):125.

[155] Campbell I, Sherwood E. High temperature materials and technology. New York: John Wiley &

Sons; 1967.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 163

Page 108: Chemical vapour deposition of coatings.pdf

[156] West GA, Beeson KW. In: Cullen G, editor. Proc 10th Int. Conf. on CVD. Pennington (NJ):

Electrochem. Soc.; 1987. p. 720.

[157] Gaczi PJ, Reynolds GJ. J Electrochem Soc 198913692661.

[158] Bennett MJ, Houlton MR, Hawes RW. Corros Sci 1982;22(2):111.

[159] Roos JR, Celis JP. Metalloberflache 1982;11:557.

[160] Wahl G. Thin Solid Films 1983;107:417.

[161] Hirai T, Goto T. Mat Sci Res 1986;20:165.

[162] Langley PA, Prevender TS. Thin Solid Film 1977;63:227.

[163] Mattox DM. Thin Solid Films 1979;63:213.

[164] Mullendore AW, Whitley JB, Pierson HO, Mattox DM. J Vac Sci Technol 1981181049.

[165] Hua B, Li C. Mater Chem Phys 1999;59:130.

[166] Awasthi S, Wood J. Ceram Eng Sci Proc 1988;9:553.

[167] Pochet LF, Howard P, Safaie S. Surface Coatings Technol 1997;94–95:70.

[168] Rickerby DS, Winstone MR. Mat Manufacturing Proc 1992;7:495.

[169] Stueber PJ, Klach SJ. US patent 4070507, 1978.

[170] Alperine S, Steiunmetz P, Friant-Constantini A, Josso P. Surf Coat Technol 1997;43/44:347.

[171] Melnik DJ. Technologija diffusionnych pokrytija. Kiev; Technika: 1978.

[172] Ketron LA. Ceram Bull 1987;87:571.

[173] Simpkinds PG, MacChesney JB, Greenberg-Kosinsky S. J Appl Phys 1979;50:5676.

[174] Mah T, Mendiratta M, Katz A, Mazodiyasni K. Ceram Bull 1987;66:304.

[175] Kieschke RR, Clyne TW. Mater Sci Eng 1991;A135:145.

[176] Das G. Metallurg Trans A 1993;21A:1571.

[177] Staniaszek N. Mat World 1993;1:3.

[178] Gundel DB, Wawner FE. Scripta Metallurg Material 1991;25:437.

[179] Warwick CM, Smith JE. 12thRiso SympMater Sci. Roskilde (Denmark); Riso Nat. Lab.; 1992. p. 735.

[180] Wawner FE, Nutt SR. Ceram Eng Sci Proc 1980;1:709.

[181] Choy KL. J Microscopy 1993;169(Pt2):289.

[182] Nathan M, Ahearn JS. Mater Sci Eng 1990;A126:225.

[183] Bilba K, Manaud JP, Le, Petitcorps Y, Quenisset JM. Mater Sci Eng A 1991;135:141.

[184] Kieschke RR, Somekh RE, Clyne TW. Acta Metall Mater 1991;39:427.

[185] Choy KL, Derby B. J de Physique IV Colloque C2 1991;1:697.

[186] Choy KL, Durodola J, Derby B, Ruiz C. Composites 1995;26:91.

[187] Choy KL, Derby B. J Mater Sci 1994;29:3774.

[188] Choy KL, Derby B. J Microscopy 1993;169:289.

[189] Choy KL, Derby B. Electrochem Soc Symposium Proc 1993;93(2):408.

[190] Choy KL. Scripta Metallurg et Material 1996;34:1753.

[191] Choy KL. Scripta Metallurg et Material 1995;32:219.

[192] Johnson DW, Curry DM. 27th Structures, Structural Design and Materials Conference, San

Antonio (TX), May. AIAA paper No. 86–0949-CP; 1986.

[193] Oosterhout JC. Protective coating of carbon-carbon composites. Neth. Appl. NL 8402171; Feb 3 1986.

[194] Kawai C, Wakamatsu S, Sakagami S, Igarashi T. In: Yamanouchi M, Koizumi M, Hirai T, Shiota

I, editors. Proc 1st Int. Symp. Functionally Graded Materials; 1990. p. 77.

[195] Sasaki M, Hiratani T, Hitai T. Proc 108th Meeting of Japan Inst Met; 1991. p. 396.

[196] Kawai C, Igarashi T. Proc. 104th Meeting of Japan Inst Met; 1989. p. 295.

[197] Sasaki M, Wang Y, Hirano T, Hirai T. J Ceram Soc Jpn 1989;97:530.

[198] Unemura S, Sohda Y, Kude Y, Hirai T, Sasaki M. J Jpn Soc Powder and Powder Met 1990;37:275.

[199] Sasaki M, Hirai T. In: Yamanouchi M, Koizumi M, Hirai T, Shiota I, editors. Proc 1st Int Symp

Functionally Graded Materials; 1990. p. 83.

[200] Sasaki M, Hirai T, Hashida T, Takahashi T. J Jpn Soc Powder and Powder Met 1990;37:966.

[201] Hess DW, Graves DB. In: Hitchman ML, Jensen KF, editors. CVD principles and applications.

San Diego: Academic Press; 1993. p. 387 [Chapter 7].

[202] Scheib M, Schroder B, Oechsner HY. J Non-Cryst Solids 1996;200:895.

[203] Dehbi-Alaoui A. Vacuum 1995;46:1305.

164 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 109: Chemical vapour deposition of coatings.pdf

[204] Bell AT. Solid State Technol 1978;21:89.

[205] MsDavid EW, Cermak V, Dalgarno A, Ferguson EE, Friedman L. Ion molecule reactions. New

York: Wiley; 1970.

[206] Catherine Y. In: Mathad GS, Schwartz GC, Smolinsky G, editors. Plasma processing. Pennington:

Electrical Society, 1985, p. 317.

[207] Smith DL, Alimonda AS, Chen CC, Ready SE, Wacker B. J Electrochem Soc 1990;137:614.

[208] Chiang JN, Hess DW. J Electrochem Soc 19901372222.

[209] Knolle WR, Osenbach JW. J Appl Phys 1985;58:1248.

[210] Livengood RE, Petrich MA, Hess DW, Reimer JA. J Appl Phys 1988;63:2651.

[211] Flamm DL, Chang CP, Ibbotson DE, Mucha JA. Solid State Technol 1987;30:43.

[212] Claassen WAP, Valkenburg WGJN, Willemsen MFC, Wijgert WMVD. J Electrochem Soc 1985;

132:893.

[213] Rie KT, Gebauer A, Woehle J. Surface Coatings Technol 1996;86/87:498.

[214] Rie KT, Gebauer A, Prohl C. Journal de Physique IV 1995;5:50.

[215] Rosler RS. Solid State Technol 1991:67.

[216] Jansen F. In: Glocker DA, Shah SI, editors. Handbook of thin film process technology. Bristol

(UK): IOP; 1995.

[217] Hopwood J. In: Goldstein AN, editor. Handbook of nanophase materials. Marcel Dekker, New

York, 1997.

[218] Lucovsky G, Tsu DV. In: Vossen JL, Kern W, editors. Thin film processes I. I. Boston: Academic

Press; 1991. p. 565.

[219] Reif R, Kern W. In: Vossen JL, Kern W, editors. Thin film processes I. I. Boston: Academic Press;

1991. p. 525.

[220] Spear KE, Dismukes JP. Synthetic diamond: emerging CVD science and technology. Chichester

(UK): Wiley; 1994.

[221] Matsui Y, Yabe H, Sugimoto T, Hirose Y. Diamond Relat Mat 1991;1:19.

[222] Shah SI, Waite MM. Appl Phys Lett 1992;61:26.

[223] Ismat Shah S, Waite MH. In: Glocker DA, Shah SI, editors. Handbook of thin film process tech-

nology, X1.8. Bristol (UK): Institute of Physics; 1995.

[224] Erdemir A, Bindal C, Fenske GR, Zuiker C, Krauss, Gruen DM. Diamond Relat Mat 1997;

5:923.

[225] Bhusari DM, Yang JR, Wang TY, Lin ST, Chen KH, Chen LC. Solid State Comm 1998;107:301.

[226] Erdemir A, Halter M, Fenske GR, Krauss A, Gruen DM, Pimenov SM. Surf Coat Technol 1997;

94–95:537.

[227] Asmann M, Heberlein J, Pfender E. Diamond Rel Mat 1999;8:1.

[228] Wang WL, Liao KJ, Zhang RQ. Mater Lett 2000;44:336.

[229] Huh JM, Yoon DY. Diamond Rel Mat 2000;9:1475.

[230] Plano LS, Stevenson DA, Carruthers JR, In: Purdues AJ, Meyerson BM, Angus JC, Spear KE,

Davis RF, Yoder MN, editors. Diamond materials, vol. 91-8. Pennington (NJ): Electrochemical

Society; 1991. p. 290.

[231] Park KJ, Chin EY. Polym Degrad Stab 2000;68(1):93.

[232] Lee KR, Eun KE, Kim KM, Choi KC. Surface Coatings Technol 1995;76–77:786.

[233] Sugino T, Tanioka K, Kawasaki S, Shirafuji J. Diamond Rel Mat 1998;7:632.

[234] Szmidt J, Werbowy A, Jakubowski A, Sokolowska A, Olszyna A. SPIE Proc. Series 1998;3316:102.

[235] Werbowy A, Szmidt J, Sokolowska A. Adv Sci Technol 1999.

[236] Olszyna A, Siwiec J, Dwilinski R, Kaminska M, Hrabowska J, Sokolowska A. Mater Sci Eng B

1997;50:170.

[237] Asmann M, Heberlein J, Pfender E. Diamond Rel Mat 1999;8:1.

[238] Veprek S, Haussmann M, Reiprich S, Shizhi L, Dian J. Surf Coat Technol 1996;87–88:394.

[239] Wu Y, Lee YM, Lucovsky G. Electron Device Lett 2000;21(3):116.

[240] Adams AC. Solid State Technol 1983;26:135.

[241] Stuke J. Ann Rev Mat Sci 1985;15:79.

[242] Sah RE, Dishler B, Bubenzer A, Koidl P. Appl Phys Lett 1985;46:739.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 165

Page 110: Chemical vapour deposition of coatings.pdf

[243] Pouch JJ, Alterovitz SA, Warner JD. In: Coburn JE, Gottscho RA, Hess DW, editors. Plama

processing, vol. 68. Pittsburgh (PA): Matertials Research Society Proceedings; 1986. p. 211.

[244] Irvine SJC. In: Glocker DA, Shah SI, editors. Handbook of thin film process technology, B1.3.

Bristol (UK): Institute of Physics; 1995.

[245] Deutsch TF, Ehrlich DJ, Osgood RM. Appl Phys Lett 1979;35:175.

[246] Osgood RM, Deutsch TF. Science 1985;227:709.

[247] Herman IP. Chem Rev 1989;89:1323.

[248] McCrary VR, Donnelly VM, In: Hitchman ML, Jensen KR, editors. Chemical vapour deposition.

London: Academic Press; 1993.

[249] Abraham E, Halley JM. Appl Phys A 1987;42:279.

[250] Rothschild M. In: Ehrlich DJ, Tsao JY, editors. Laser microfabrication: thin film processes and

lithography. New York: Academic Press; 1992.

[251] Donnelly VM, McCrary VR, Appelbaum A, Brasen D, Lowe WP. J Appl Phys 1987611410.

[252] Tarui Y, Aota K, Sugiura T, Saitoh T. Mater Res Soc Symp Proc 1984;29:109.

[253] Solanki R, Moore CA, Collins GJ. Solid State Technol 1985;5:220.

[254] Nishida S, Tasaki H, Konagai M, Takahashi K. J Appl Phys 1985;58:1427.

[255] Bernhardt AF, McWilliams BM, Mitlitsky F, Whitehead JC. Mat Res Soc Symp Proc 1987;75:633.

[256] Roth W, Krautle H, Krings A, Beneking H. Mat Res Soc Symp Proc 1983;17:193.

[257] Donnelly VM, Tu CW, Beggy JC, McCrary VR, Lamont MG, Harris TD, et al. Appl Phys Lett

1988;52:1065.

[258] Irvine SJC, Mullin JB, Tunnicliffe J. J Crystal Growth 1984;68:188.

[259] Hwang S, Harper RL, Harris KA, Giles NC, Bicknell RN, Cook JW, et al. J Vac Sci Technol A

1988;6:2821.

[260] Ehrlich DJ, Deutsch TF, Osgood RM, In: White CW, Peercy PS, editors. Laser and electron beam

processing of materials. London; Academic Press; 1980.

[261] Houle FA. Appl Phys A 1986;41:315.

[262] Tonneau D, Pierrisnard R, Dallaporta H, Marine W. Journal de physique IV 1995;5:566.

[263] Tamagawa K, Hayashi T, Komiyama S. Jpn J Appl Phys 1986;25:L728.

[264] Jasinki JM, Meyerson BS, Nguyen TN. J Appl Phys 1987;61:431.

[265] Kawai T, Choda T, Kawai S. Mat Res Soc Sym Proc 1987;75:289.

[266] Cao LX, Feng ZC, Liang Y, Hou WL, Zhang BC, Wang YQLIL. Thin Solid Films 1995;257:7.

[267] Lehman O, Stuke M. J Phys (Paris) Colloq 1991;1(Suppl. 7):C2–337.

[268] Westberg H, Boman M, Johansson S, Schweitz JA. J Appl Phys 1993;73:7864.

[269] Niinisto L, Ritala M, Leskela M. Mat. Sci. Enging. B. Solid-State Mat Adv Technol 1996;41:23.

[270] Lau JE, Barth KW, Peterson GG, Endisch D, Topol A, Kaloyeros AE, et al. J Electrochem Soc

1998;145:4271.

[271] Bachmann KJ, Hoepfner C, Sukidi N, Miller AE, Harris C, Aspnes DE, et al. Appl Surf Sci 1997;

112:38.

[272] Koukitu A, Taki T. Appl Surf Sci 1997;112:63.

[273] Herman MA, Sadowski JT. Crystal Res Technol 1999;34:153.

[274] Suntola T. In: Glocker DA, Shah SI, editors. Handbook of thin film process technology, B1:5.1.

Bristol (UK): Institute of Phyiscs; 1995.

[275] Park HS, Min JS, Lim JW, Kang SW. Appl Surf Sci 2000;158:81.

[276] Charleux M, Rouviere JL, Hartmann JM, Bourret A. J Appl Phys 1998;84:756.

[277] Torimoto T, Obayashi A, Kuwabata S, Yoneyama H. Electrochem Comm 2000;2:359.

[278] Hartmann JM, Kany F, Charleux M, Samson Y, Rouviere JL, Mariette H. J Appl Phys 1998;

84:4300.

[279] Suntola T, Anston J. Soc Inform Display Dig 1980:108.

[280] Goto S, Higuchi K, Hasegawa H. Inst Phys Conf Ser 1991;120:547.

[281] Goto S, Ishizaki J, Fukui T, Hasegawa H. Jpn J Appl Phys 1994;33:734.

[282] Gupta JA, Woicik JC, Watkins SP, Miyano KE, Pellegrino JG, Crozier ED. J Cryst Growth 1998;

195:34.

[283] Isshiki H, Aoyagi Y, Sugano T. Microelectron Eng 1998;43–44:301.

166 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 111: Chemical vapour deposition of coatings.pdf

[284] Faschinger W, Sitter H. J Cryst Growth 1990;99:566.

[285] Rautiainen A, Koskinen Y, Skarp J. Mat Res Soc Symp Proc 1991;222:263.

[286] Hsu CT. Thin Solid Films 1998;335:284.

[287] Utriainn M, Kovacs C, Campbell JM, Niinistoe L, Reti F. J Electrochem Soc 1999;146:189.

[288] Kukli K, Aarik J, Aidla A, Simon H, Ritala M, Leskelae M. Appl Surf Sci 1997;112:236.

[289] Lujala V, Skarp J, Tammenmaa M, Suntola T. Appl Surf Sci 1994;82–83:34.

[290] Jokinen J, Haussalo P, Keinonen J, Ritala M, Riihela D, Leskela M. Thin Solid Films 1996;

289:159.

[291] Martensson P, Juppo M, Ritala M, Leskela M, Carlsson JO. J Vac Sci Technol B 1999;17:2122.

[292] Suntola T. Mat Sci Rep 1989;4:261.

[293] Ishii M, Iwai S, Kawata H, Ueki T, Aoyagi Y. J Cryst growth 1997;180:15.

[294] Utriainen M, Kroeger, Laukkanen M, Johansson LS, Niinistoe L. Appl Surf Sci 2000;157:151.

[295] Ritala M, Leskelae M. Nanotechnology 1999;10:19.

[296] Mukai K, Ohtsuka N, Sugawara M. Jpn J Appl Phys 1996;35:2.

[297] Engelmann R, Ferguson J, Solanki R. Appl Phys Lett 1997;70:411.

[298] Lakomaa EL. Appl Surf Sci 1994;75:185.

[299] Utriainen M, Lehto S, Niinisto L, Ducso C, Khanh NQ, Horvath ZE, et al. Thin Solid Films 1997;

297:39.

[300] Park W, Jones TC, Wagner BK, Summers CJ. Appl Physics Lett 1999;74:2860.

[301] CVD metalorganics for vapour phase epitaxy, product guide and literature review, Danvers (MA):

Morton International, Advanced Materials; 1993.

[302] Ghandhi SK, Bhat IB. MRS Bulletin 1988;11:37.

[303] Dupuis RD. In: Glocker DA, Shah SI, editors. Handbook of thin film process technology, B1.1:1.

Bristol (UK): Institute of Physics; 1995.

[304] Jones AC. J Cryst Growth 1993;129:728.

[305] Duchemin JP. J Vac Sci Technol 1981;18:753.

[306] Ludowise MJ. J Appl Phys 1985;58:R31.

[307] Stringfellow GB. Organometallic vapour phase epitaxy, theory and practice. San Diego (CA):

Academic Press; 1989.

[308] Putz N, Heinecke H, Heyen M, Balk P, Wayers M, Luth H. J Crystal Growth 1986;74:292.

[309] Tsang WT. In: Einspruch NG, Cohen SS, Singh RN, editors. VLSI electronics microstructure

science, vol. 21: beam processing technologies. New York: Academic Press; 1989. p. 255.

[310] Islam MR, Chelakara RV, Neff JG, Fertitta KG, Grudowski PA, Holmes AL, et al. J Electron

Mater 1995;24:181.

[311] Horikoshi Y, Minggawa S. J Cryst Growth 1994;145:1.

[312] Egawa T, Ishikawa H, Jimbo T, Unemo M. Bull Mater Sci 2000;22:363.

[313] Anderson PL, Erbil A, Nelson CR, Tompa GS, Moy K. J Cryst Growth 1994;135:383.

[314] Sugiura L, Shigendka K, Nakata F, Hirahara K. J Cryst Growth 1994;145:547.

[315] Fujita S, Fujita S. J Cryst Growth 1994;145:552.

[316] Pal P, Bhatt M, Narng V, Singh RA, Dube GC, Kumar V. SPIE Proc Series 1998;3316:810.

[317] Manasevit HM, Simpson W. J Electrochem Soc 1975;122:444.

[318] Tompa GS, Wolak E, Stall RA, George MA, Lippitt M, Norman JAT. Mat Res Soc Symp Proc

1992;282:323.

[319] Jones AC, Alud J, Rushworth SA, Critchow GW. J Cryst Growth 1994;135:285.

[320] Stall RA, Wolak E, Zawadski P. Mat Res Soc Symp Proc 1992;282:115.

[321] Erbil A, Braun W, Zwak BS, Wilkens BJ, Boatner LA, Budai JD. J Cryst Growth 1992;24:84.

[322] Tominaga K, Sakashita Y, Nakashima H, Ada M. J Cryst Growth 1994;145:219.

[323] Kumar ND, Kamalasanan MN, Chandra S. Appl Phys Lett 1994;65:1373.

[324] Sugimoto T, Yoshida M, Yamaguchi K, Yamada Y, Sugawara K, Shirohara Y, et al. J Crystal

Growth 1991;107:692.

[325] Sant C, Gibart P, Genou P, Verie C. J Cryst Growth 1992;124:690.

[326] Holonyak N, Kolbas RM, Dupuis RD, Dapkus PD. IEEE J Quant Electron 1980;QE-16:170.

[327] Duchemin JP, Bonnet M, Koelsch F, Huyghe D. J Cryst Growth 1978;45:181.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 167

Page 112: Chemical vapour deposition of coatings.pdf

[328] Ohkubo M, Ijichi T, Iketani A, Kikuta T. IEEE J Quantum Electron. 1998;30:408.

[329] Motoda T, Kato M, Kadoiwa K, Shima A, Tsugami M, Sonoda T, et al. J Cryst Growth 1994;

145:650.

[330] Bour DP, Geels RS, Treat DW, Paoli TL, Ponce F, Thornton RL, Kunsor BS, Bringans RD,

Welch DF. IEEE J Quantum Electron 1994;QE-30:593.

[331] Kurishima K, Nakajima H, Yamahata S, Kobayashi T, Matsuoka Y. Appl Phys Lett 1994;

64:1111.

[332] Toda A, Kawasaki T, Zmaniski D, Ishibashi A. Electron Lett 1995;31:235.

[333] Pautrat JL, Monterrat E, Ulmer L, Magnea N, Mariette H, Bleuse J, et al. J Cryst Growth 1992;

117:454.

[334] Manasevit HM, Simpson WI. J Electrochem Soc 1975;122:444.

[335] Senateur JP, Dubourdieu C, Galindo V, Weiss F. Application of pulsed injection MOCVD to the

deposition of oxide single layers and superlattices. In: Choy KL, editor. Innovative/cost-effective

processing of films and powders. London: Imperial College Press; 2002.

[336] Molodyk AA, Gorbenko OY, Kaul AR. J Alloys Comp 1997;951:303.

[337] Gorbenko OY, Bosak AA. J Cryt Growth 1998;186:181.

[338] Leskela M, Mosla H, Ninistro L. Supercond Sci Tech 1993;6:627.

[339] Gorbenko OY, Kaul AR, Wahl G. Chem Vapour Deposit 1997;3:4.

[340] Studebaker DB, Zhang J, Marks YJ, Wang YY, David VP, Schindler JL. Appl Phys Lett 1998;

72:1253.

[341] Matsuno S, Unemura T, Uchikawa F, Ikeda B. Jpn J Appl Phys 1995;34:2293.

[342] Senateur JP, Madar R, Thomas O, Weiss F, Abrutis A. Patent no. 93/08838.

[343] Senateur JP, Abrutis A, Felten F, Thomas O, Weiss F, Madar R. In: Vincenzini P, editor. Advances

in inorganic films and coatings. Italy: Techna srl.; 1995. p. 161.

[344] Galindo V, Senateur JP, Abrutis A, Teiserskis A, Weiss F. J Cryst Growth 2000;208:357.

[345] Weiss F, Senateur JP, Lindner J, Galindo V, Dubourdieu C, Abrutis A. J Phys IV 1999;9:283.

[346] Choy KL. Vapour processing of nanostructured materials. In: Nalwa HS, editor. Handbook of

nanostructured materials and nanotechnology. San Diego (CA): Academic Press; 2000. p. 533.

[347] Rayleigh JWS. The theory of sound, vol. 2. New York: Dover; 1945.

[348] Taylor GI. Proc R Soc London Ser A 1964;280:383.

[349] Fernandez de la Mora J, Loscertales IG. J Fluid Mech 1994;260:155.

[350] Ganan-Calvo AM, Davila J, Barrero A. J Aerosol Sci 1997;28:249.

[351] Spiz J, Viguie JC. Fr. Patent 2,110. 622 1972.

[352] Blandenet G, Lagrade Y. Thin Solid Films 1981;77:81.

[353] Omura K, Veluchamy P, Tsuji M, Nishio T, Murozono M. J Electrochem Soc 19991462113.

[354] Bornand V, ElBouchikhi A, Papet P, Philippot E. J Phys III 1997;7:853.

[355] ElBouchikhi A, Papet P, Haidoux A, Philippot E. Thin Solid Films 1995;268:13.

[356] Gautheron B, Labeau M, Delabouglise G, Schmatz U. J Solid State Chem 1993;102:434.

[357] Deschanvres JL, Joubert JC. J Magn Mater 1991;101:224.

[358] Deschanvres JL, et al. IEEE Trans Mag 1990;26:187.

[359] Choy KL, Bai W. British Patent 95 2550551995.

[360] Choy KL, editor. Innovative and cost-effective materials processing method. London: Imperial

College Press; 2002.

[361] Choy KL. Electrostatic assisted aerosol based deposition methods. International Symposium on

Metastable, Mechanically Alloyed and Nanocrystalline Materials, ISMANAM-99, 1999, and the

Euro Conference on Gas Phase Synthesis of Nanocrystalline Materials, Dresden, Germany; 1999.

[362] Choy KL, Bai W, Charojrochkul S, Steele BCH. J Power Source 1997;71:361.

[363] Choy KL, Feist JP, Heyes AL, Su B. J Mater Res 1999;14:3111.

[364] Choy KL, Bai W. Preparation of oriented poly(vinylidene fluoride)thin films by a cost-effective

ESAVD method. Thin Film Solid 2000;6:372.

[365] Choy KL, Su B. J Mater Sci Lett 1999;18:943.

[366] Su B, Choy KL. J Mater Chem 1999;9:1629.

[367] Su B, Choy KL. Thin Solid Films 2000;359:160.

168 K.L. Choy / Progress in Materials Science 48 (2003) 57–170

Page 113: Chemical vapour deposition of coatings.pdf

[368] Su B, Choy KL. J Mater Sci Lett 1998;18:1705.

[369] Wei M, Choy KL. Inst Phys Conf Ser 2001;169:225.

[370] Wei M, Choy KL. Advanced Materials—CVD Section 2002;8:15.

[371] Eguchi K, Inoue T, Ueda M, Kaminae J, Arai H. In: Gross F, Zegers P, Singhal SC, Yamamoto O.

editors. Proc. 2nd Int. Symp. SOFCs, Athens (Greece). Luxembourg: Commission of the European

Communities; 1991. p. 697.

[372] Choy KL, Su B. British patent 9900955.7, 1999.

[373] Rulison AJ, Flagan RC. J Am Ceram Soc 1994;77:3244.

[374] Park DG, Burlitch JM, Chem. Mater. 1992;4: 500.

[375] Danek M, Jensen KF, Murray CB, Bawendi MG. Appl Phys Lett 1994;65:2795.

[376] Dobson PJ, Salata OV, Hull PJ. Br. patent 9,323. 5987 1993.

[377] Salata OV, Dobson PJ, Hull PJ, Hutchision JL. Thin Solid Films 1994;251:1.

[378] Chen C, Kelder EM, Van der Put PJJM, Schoonman J. J Mater Chem 1996;6:765.

[379] Van Zomeran AA, Kelder EM, Marijnissen JCM, Schoonman J. J Aerosol Sci 1994251229.

[380] Unvala BA. Br. patent 2,192. 901 1988.

[381] Hayness, BS, Hander, H, Wagner HGG. In: 17th International Symposium on Combustion, Pitts-

burgh (PA). Combustion Institute; 1979. p. 1365.

[382] Akhtar MK, Pratsinis SE, Mastrangelo SVR. J Am Ceram Soc 1992;75:3408.

[383] Ulrich GD. Chem. Eng News 1984;62:22.

[384] Pratsinis SE, Kodas TT. In: Willeke K, Baron P, editors. Aerosol measurement. New York: Van

Nostrand-Reinhold; 1992 [chapter 33].

[385] Sanders HJ. Chem. Eng News 1984;62:26.

[386] Hung CH, Katz JL. J Mater Res 1992;7:1861.

[387] Miquel PF, Katz JL. J Mater Res 1994;9:746.

[388] Glumac NG, Chen YJ, Sjandanand B, Kear B. Mater Lett 1998;34:148.

[389] Choy KL, Bai W, Charojrochkul S, Steele BCH. J Power Source 1998;71:361.

[390] Choy KL, She HK. Mater Sci Eng A 2000;281:253.

[391] Hunt AT. In: Kumar A, Chung YW, Moore JJ, Smugeresky JE, editors. Surface engineering:

science and technology I. The Minerals, Metals & Materials Society; 1999.

[392] Hwang TJ, Shao H, Richards N, Schmitt J, Hunt A, Lin WY. Mat Res Soc Symp Proc 2000;

575:239.

[393] Hunt AT. Private communication 2000.

[394] Hunt AT. CCVD: low-cost vapour deposition of thin films in an open atmosphere. In: Choy KL,

editor. Innovative processing of films and nanocrystalline powders. London: Imperial College Press;

2002. p. 147.

[395] Hendrick MR, Shanmugham S, Hunt AT. Elevated temperature oxidation protection for carbon

steel by combustion chemical vapour deposition. In: Hampikian J, Dahotre NB, editors. Elevated

temperature coatings: science and technology III. The Minerals, Metals & Materials Society; 1999.

[396] Isenberg AO. In: McIntyre JDE, Srinivasan S, Will FG, editors. Proceedings of the symposium on

electrode materials and processes for energy conversion and storage. Pennington (NJ): Electro-

chemical Society; 1977. p. 572.

[397] Minh NQ, Takahashi T. Science and technology of ceramic fuel cells. The Netherlands: Elsevier

Science; 1995.

[398] Van, Dieten V. Electrochemical vapour deposition of SOFC interconnection materials. PhD thesis.

The Netherlands: Delft University of Technology; 1994.

[399] Isenberg AO. Solid State Ionics 1984;3–4:431.

[400] Inaba M, Mineshige A, Nakanishi S, Nishimura I, Tasaka A, Kikuchi K, et al. Thin Solid Films

1998;323:18.

[401] Carolan MF, Michaels JN. Solid State Ionics 1990;37:189.

[402] Lin YS, De, Haart LGD, De, Vries KJ, Burggraaf AJ. J Electrochem Soc 1990;137:3960.

[403] Schoonman J, Dekker JP, Broers JW. Solid State Ionics 1991;46:299.

[404] Han JH, Lin YS. Solid State Ionics 1994;73:256.

[405] Wagner C. Physik Z Chem 1936;32:447.

K.L. Choy / Progress in Materials Science 48 (2003) 57–170 169

Page 114: Chemical vapour deposition of coatings.pdf

[406] Sasaki H, Yakawa C, Otoshi S, Suzuki M, Ippommastu M. J Appl Phys 1993;74:4608.

[407] Inaba M, Mineshige A, Maeda T, Nakanishi S, Takahashi T, Tasaka A, et al. Solid State Ionics

1997;93:187.

[408] Draper R. Ind Heat 1991;12:44.

[409] Bessette NF, George RA. Denki Kagaku 1996;64:602.

[410] Tang EZ, Etsell TH. Solid State Ionics 1996;91:213.

[411] Tang EZ, Ivey DG, Etsell TH. Micron 1998;29:251.

[412] Starr TL. Ceram Eng Sci Proc 1988;9:803.

[413] Bickerdike RL, Brown ARG, Hughes G, Ranson H. Proc. 5th Conf. on Carbon. New York: Per-

gamon Press; 1962. p. 575.

[414] Haug T, Knabe H, Ehrmann U. In: Auner N, Weiss J, editors. Organosilicon chemistry. Weinheim

(Germany): VCH; 1994. p. 303.

[415] Lackey WJ. Ceram Eng Sci Proc 1989;10:577.

[416] Stinton DP, Besmann TM, Lowden RA. Am Ceram Soc Bull 1988;67:350.

[417] Besmann TM, Stinton DP, Lowden RA. MRS Bulletin 1988;13:45.

[418] Besmann TM, Lowden RA, Sheldon BW, Stinton DP. In: Seattle GW, Cullen Spear KE, editors.

Proc. 11th Int. Conf. on CVD. Pennington (NJ): Electrochemical Society; 1990. p. 482.

[419] Besmann TM, Sheldon BW, Lowden RA, Stinton DP. Science 1991;253:1104.

[420] Pierson HO, Lieberman ML. Carbon 1975;13:159.

[421] Lowden RA, More KL. In: Pantano CG, Chen EJH, editors. MRS symposium proceedings on

interfaces in composites. Pittsburgh: Materials Research Society; 1989. p. 205.

170 K.L. Choy / Progress in Materials Science 48 (2003) 57–170