complexity reduction techniques for advanced mems

318

Upload: others

Post on 01-Mar-2022

9 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Complexity Reduction Techniques for Advanced MEMS
Page 2: Complexity Reduction Techniques for Advanced MEMS

ii

Page 3: Complexity Reduction Techniques for Advanced MEMS

COMPLEXITY REDUCTIONTECHNIQUES FOR ADVANCEDMEMS ACTUATORS SIMULATION

Page 4: Complexity Reduction Techniques for Advanced MEMS

iv

Page 5: Complexity Reduction Techniques for Advanced MEMS

COMPLEXITY REDUCTIONTECHNIQUES FOR ADVANCEDMEMS ACTUATORS SIMULATION

Vorgelegt von

Jan Lienemann

Lehrstuhl für SimulationInstitut für Mikrosystemtechnik (IMTEK)Albert-Ludwigs-Universität Freiburg

Dissertation zur Erlangung des Doktorgradsder Fakultät für Angewandte Wissenschaftender Albert-Ludwigs-Universität Freiburg im Breisgau

Page 6: Complexity Reduction Techniques for Advanced MEMS

Adresse Lehrstuhl für SimulationInstitut für Mikrosystemtechnik (IMTEK)Albert-Ludwigs-Universität FreiburgGeorges-Köhler-Allee 10379110 Freiburg

Dekan Prof. Dr. Bernhard Nebel

Autor Jan Lienemann

Tag der Prüfung 21. 12. 2006

Gutachter Prof. Dr. Jan G. KorvinkProf. Dr. Ulrike Wallrabe

Vorsitz Prof. Dr. Jürgen WildeBeisitz Prof. Dr. Gerald Urban

Page 7: Complexity Reduction Techniques for Advanced MEMS

To my parents

Page 8: Complexity Reduction Techniques for Advanced MEMS

Erklärung nach S5(2) der Promotionsordnung

Ich erkläre hiermit, dass ich die vorliegende Arbeit oh-ne unzulässige Hilfe Dritter und ohne Benutzung an-derer als der angegebenen Hilfsmittel angefertigt habe.Die aus anderen Quellen direkt oder indirekt übernom-menen Daten und Konzepte sind unter Angabe derQuelle gekennzeichnet. Insbesondere habe ich hierfürnicht die entgeltliche Hilfe von Vermittlungs- oder Be-ratungsdiensten (Promotionsberaterinnen oder Pro-motionsberater oder anderer Personen) in Anspruchgenommen. Niemand hat von mir unmittelbar odermittelbar geldwerte Leistungen für Arbeiten erhalten,die im Zusammenhang mit dem Inhalt der vorgelegtenDissertation stehen. Die Arbeit wurde bisher weder imIn- noch im Ausland in gleicher oder ähnlicher Formeiner anderen Prüfungsbehörde vorgelegt.

Freiburg, den 17. Januar 2007

Jan Lienemann

Page 9: Complexity Reduction Techniques for Advanced MEMS

Contents

I. Introduction 5

1. Overview 7

1.1. Electrowetting . . . . . . . . . . . . . . . . . . . . . . . . . . . 71.1.1. Electrowetting Arrays . . . . . . . . . . . . . . . . . . . 101.1.2. Electrowetting Devices . . . . . . . . . . . . . . . . . . . 111.1.3. Device Design . . . . . . . . . . . . . . . . . . . . . . . . 121.1.4. Computer Simulation Aided Design . . . . . . . . . . . 14

1.2. Model Order Reduction . . . . . . . . . . . . . . . . . . . . . . 171.2.1. Model Order Reduction Versus Compact Modelling? . . 18

1.3. Major Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231.3.1. Modelling and Simulation of EWOD . . . . . . . . . . . 231.3.2. Model Order Reduction With Weak Nonlinearities . . . 24

1.4. Thesis Overview . . . . . . . . . . . . . . . . . . . . . . . . . . 24

2. MEMS Actuators 27

2.1. MST and Properties of MEMS . . . . . . . . . . . . . . . . . . 282.1.1. MEMS as Transducers . . . . . . . . . . . . . . . . . . . 28

2.2. Scaling Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . 302.3. Computational Issues . . . . . . . . . . . . . . . . . . . . . . . 32

2.3.1. Complexity in Numerical Modelling . . . . . . . . . . . 342.3.2. PDEs, Material and Geometry . . . . . . . . . . . . . . 352.3.3. Coupling . . . . . . . . . . . . . . . . . . . . . . . . . . 36

2.4. Application Examples . . . . . . . . . . . . . . . . . . . . . . . 372.4.1. IBM Scanning-Probe Data Storage Device . . . . . . . . 382.4.2. Imego Butterfly Gyro . . . . . . . . . . . . . . . . . . . 422.4.3. IRST RF Switch . . . . . . . . . . . . . . . . . . . . . . 442.4.4. Bondwire Model . . . . . . . . . . . . . . . . . . . . . . 462.4.5. Heat Transfer Model . . . . . . . . . . . . . . . . . . . . 46

ix

Page 10: Complexity Reduction Techniques for Advanced MEMS

Contents

II. Theory 49

3. Modelling and Simulation of MEMS 51

3.1. Notation and Basic Theory . . . . . . . . . . . . . . . . . . . . 513.1.1. Symbols and Notation . . . . . . . . . . . . . . . . . . . 513.1.2. Linear Algebra . . . . . . . . . . . . . . . . . . . . . . . 53

3.2. Structure of Equations . . . . . . . . . . . . . . . . . . . . . . . 543.2.1. Newton Raphson Procedure . . . . . . . . . . . . . . . . 573.2.2. Homotopy Methods . . . . . . . . . . . . . . . . . . . . 583.2.3. Path Following Schemes . . . . . . . . . . . . . . . . . . 59

3.3. Numerical Time Integration . . . . . . . . . . . . . . . . . . . . 603.3.1. First Order Systems . . . . . . . . . . . . . . . . . . . . 603.3.2. Second Order Systems . . . . . . . . . . . . . . . . . . . 613.3.3. Conversion to First Order . . . . . . . . . . . . . . . . . 633.3.4. Nonlinear Systems . . . . . . . . . . . . . . . . . . . . . 64

3.4. Harmonic and Modal Analysis . . . . . . . . . . . . . . . . . . 643.5. Lagrangian Mechanics . . . . . . . . . . . . . . . . . . . . . . . 653.6. Structural Continuum Mechanics . . . . . . . . . . . . . . . . . 67

3.6.1. Stress and Strain . . . . . . . . . . . . . . . . . . . . . . 673.6.2. Nonlinearities . . . . . . . . . . . . . . . . . . . . . . . . 703.6.3. Beams . . . . . . . . . . . . . . . . . . . . . . . . . . . . 713.6.4. Contact . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

3.7. Electrostatics . . . . . . . . . . . . . . . . . . . . . . . . . . . . 733.7.1. Transducer Elements . . . . . . . . . . . . . . . . . . . . 763.7.2. Example: Capacitor with Movable Plate . . . . . . . . . 793.7.3. The ANSYS TRANS126 Element . . . . . . . . . . . . . 85

3.8. Spatial Discretisation Methods . . . . . . . . . . . . . . . . . . 863.8.1. The Finite Element Method (FEM) . . . . . . . . . . . 873.8.2. FEM for a Coupled Analysis . . . . . . . . . . . . . . . 923.8.3. Geometric Nonlinearities . . . . . . . . . . . . . . . . . . 101

3.9. Fluidics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1043.9.1. Fluid Dynamics . . . . . . . . . . . . . . . . . . . . . . . 1053.9.2. Wetting on Surfaces . . . . . . . . . . . . . . . . . . . . 1073.9.3. Free Surfaces in the Navier-Stokes Equations . . . . . . 111

3.10. Electrowetting . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

x

Page 11: Complexity Reduction Techniques for Advanced MEMS

Contents

4. Model Order Reduction 117

4.1. System Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . 1174.1.1. Transfer functions . . . . . . . . . . . . . . . . . . . . . 1184.1.2. Observability, Controllability & Minimal Representation 1204.1.3. Passivity and Stability . . . . . . . . . . . . . . . . . . . 120

4.2. MOR for Linear Systems . . . . . . . . . . . . . . . . . . . . . . 1224.2.1. Guyan Method . . . . . . . . . . . . . . . . . . . . . . . 1234.2.2. SVD Based Approximation Methods . . . . . . . . . . . 1254.2.3. Krylov Subspace Methods and Padé Approximants . . . 1284.2.4. Krylov Subspace Methods for Second Order Systems . . 1364.2.5. Other MOR Methods for Linear Systems . . . . . . . . 141

4.3. MOR for Nonlinear Systems . . . . . . . . . . . . . . . . . . . . 1424.3.1. Proper Orthogonal Decomposition (POD) . . . . . . . . 1454.3.2. System Matrix Optimisation . . . . . . . . . . . . . . . 1474.3.3. Balancing and Optimisation . . . . . . . . . . . . . . . . 1494.3.4. Polynomial Projection . . . . . . . . . . . . . . . . . . . 1504.3.5. Other MOR Methods for Nonlinear Systems . . . . . . . 152

III. Implementation 155

5. EDEW–Tool for Simulation and Optimisation of Electrowetting 157

5.1. Surface Evolver Model . . . . . . . . . . . . . . . . . . . . . . . 1575.1.1. Numerical Representation . . . . . . . . . . . . . . . . . 1585.1.2. Substrate-Liquid Interfaces . . . . . . . . . . . . . . . . 1585.1.3. Electrowetting Model . . . . . . . . . . . . . . . . . . . 159

5.2. EDEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1605.3. Limits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

6. Polynomial Model Order Reduction Framework 169

6.1. Scanning-Probe Data Storage Device Model . . . . . . . . . . . 1716.2. Representation of Polynomial Systems . . . . . . . . . . . . . . 1736.3. ANSYS Interface . . . . . . . . . . . . . . . . . . . . . . . . . . 1766.4. Series Expansion of Nonlinearities . . . . . . . . . . . . . . . . 1786.5. Model Order Reduction and Time Integration . . . . . . . . . . 1796.6. Verilog-A Export . . . . . . . . . . . . . . . . . . . . . . . . . . 1816.7. Limits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181

xi

Page 12: Complexity Reduction Techniques for Advanced MEMS

Contents

IV. Results 183

7. EDEW 185

7.1. Droplet Motion . . . . . . . . . . . . . . . . . . . . . . . . . . . 1857.2. Droplet Splitting . . . . . . . . . . . . . . . . . . . . . . . . . . 1877.3. Rising Fluid in Tube . . . . . . . . . . . . . . . . . . . . . . . . 1887.4. Pinch-Off in Confined Setup . . . . . . . . . . . . . . . . . . . . 1887.5. Channels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1917.6. Optimisation of Electrode Fine Structure . . . . . . . . . . . . 192

7.6.1. Influence of the Spike Shape . . . . . . . . . . . . . . . . 1947.6.2. Influence of the Spike Length . . . . . . . . . . . . . . . 1957.6.3. Comparison with Geometric Model . . . . . . . . . . . . 195

7.7. Results Summary . . . . . . . . . . . . . . . . . . . . . . . . . . 197

8. Model Order Reduction 199

8.1. Arnoldi vs. Guyan – Second Order Case . . . . . . . . . . . . . 1998.2. Polynomial Approximation . . . . . . . . . . . . . . . . . . . . 2058.3. Polynomial Reduction . . . . . . . . . . . . . . . . . . . . . . . 2068.4. Results with Other Reduction Approaches . . . . . . . . . . . . 2108.5. Combined Approaches . . . . . . . . . . . . . . . . . . . . . . . 2148.6. Results Summary . . . . . . . . . . . . . . . . . . . . . . . . . . 215

9. Conclusions and Outlook 217

9.1. Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2199.2. Outlook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219

Appendices 221

A. Model Data of Numerical Examples for MEMS 223

B. Theory 225

B.1. Lagrangian Mechanics . . . . . . . . . . . . . . . . . . . . . . . 225B.1.1. Coordinates . . . . . . . . . . . . . . . . . . . . . . . . . 225B.1.2. Virtual Displacements . . . . . . . . . . . . . . . . . . . 226B.1.3. Equations of Motion . . . . . . . . . . . . . . . . . . . . 226

B.2. Structural Continuum Mechanics . . . . . . . . . . . . . . . . . 228B.3. Arnoldi method . . . . . . . . . . . . . . . . . . . . . . . . . . . 230

xii

Page 13: Complexity Reduction Techniques for Advanced MEMS

Contents

C. DSI Format 233C.1. General . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233C.2. File Header . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235C.3. System matrices and vectors . . . . . . . . . . . . . . . . . . . . 236C.4. Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237

D. ANSYS Interface 241D.1. ANSYS Binary File Fields . . . . . . . . . . . . . . . . . . . . . 241D.2. Binary File Write-Out Script . . . . . . . . . . . . . . . . . . . 244D.3. TRANS126 Export Script . . . . . . . . . . . . . . . . . . . . . 244D.4. Verilog-A Export Example . . . . . . . . . . . . . . . . . . . . . 245

Bibliography 247

Acknowledgements 279

Curriculum Vitae 281

Nomenclature 283

Index 291

xiii

Page 14: Complexity Reduction Techniques for Advanced MEMS

xiv

Page 15: Complexity Reduction Techniques for Advanced MEMS

List of Figures

1.1. Typical setup of an electrowetting device. . . . . . . . . . . . . 91.2. The main operations of a microfluidic electrowetting array. . . 101.3. Different actuation setups for electrowetting . . . . . . . . . . . 121.4. Different modelling approaches for a p-n-p transistor. . . . . . . 20

2.1. The Y chart. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332.2. The three contributions to complexity. . . . . . . . . . . . . . . 342.3. A MEMS RF switch (illustration). . . . . . . . . . . . . . . . . 362.4. A clamped-clamped beam’s force-displacement curve. . . . . . . 372.5. Setup of the storage device. . . . . . . . . . . . . . . . . . . . . 392.6. Dimensions of the probe. . . . . . . . . . . . . . . . . . . . . . . 392.7. SEM image of a single probe of the storage device. . . . . . . . 402.8. 3D Visualisation of the Imego butterfly gyro. . . . . . . . . . . 422.9. The Imego butterfly gyro. . . . . . . . . . . . . . . . . . . . . . 422.10. Schematic layout of the butterfly design. . . . . . . . . . . . . . 432.11. The RF switch model. . . . . . . . . . . . . . . . . . . . . . . . 452.12. First eigenmodes of the RF switch model. . . . . . . . . . . . . 452.13. The bond wire model. . . . . . . . . . . . . . . . . . . . . . . . 472.14. The modelled beam with heat flux inputs and heat sink. . . . . 47

3.1. Comparison of different time integration algorithms . . . . . . . 633.2. Surface stresses on a unit volume. . . . . . . . . . . . . . . . . . 673.3. Strain in a beam element. . . . . . . . . . . . . . . . . . . . . . 723.4. Soft and hard contact. . . . . . . . . . . . . . . . . . . . . . . . 733.5. A mass-capacitor-spring system. . . . . . . . . . . . . . . . . . 793.6. Forces on the upper plate versus voltage and distance. . . . . . 803.7. Equilibrium voltage and forces at constant voltage. . . . . . . . 803.8. The behaviour of an undamped system near pull-in. . . . . . . 823.9. The behaviour of a damped system near pull-in. . . . . . . . . 823.10. A mechanical system with snap-through behaviour. . . . . . . . 833.11. Force on the mass versus the position and equilibrium. . . . . . 84

xv

Page 16: Complexity Reduction Techniques for Advanced MEMS

List of Figures

3.12. The ANSYS TRANS126 element. . . . . . . . . . . . . . . . . . 853.13. Force vs. gap for a parallel plate transducer element. . . . . . . 863.14. Extended version of the capacitor transducer element . . . . . . 873.15. Heat capacity and heat conductivity of various materials. . . . 903.16. Linear shape functions for FEM discretisation . . . . . . . . . . 903.17. Conducting beam with counter electrode below. . . . . . . . . . 933.18. Hermite shape functions for one-dimensional finite elements. . . 963.19. Viscosity of a fluid leading to to a finite velocity gradient. . . . 1053.20. Cohesive forces inside and on the surface. . . . . . . . . . . . . 1083.21. Contact angle for a droplet sitting on a plane surface. . . . . . 1103.22. Virtual displacement of the contact line. . . . . . . . . . . . . . 1103.23. Droplet changing its contact angle due to electrowetting. . . . . 1123.24. Experimental results for electrowetting. . . . . . . . . . . . . . 114

5.1. Droplet on square and jagged electrode. . . . . . . . . . . . . . 1605.2. EDEW user interface. . . . . . . . . . . . . . . . . . . . . . . . 1615.3. 1DPath model. . . . . . . . . . . . . . . . . . . . . . . . . . . . 1625.4. Variation of γ(x) at pad edge. . . . . . . . . . . . . . . . . . . . 1625.5. FEM solution of the electrostatic energy near the contact line. 166

6.1. Flow chart for reduction of nonlinear cantilever model. . . . . . 1706.2. The scanning-probe data storage device ANSYS model. . . . . 1726.3. Position of the four monitor nodes (marked with circles). . . . 1736.4. Polynomial approximation of the capacitance. . . . . . . . . . . 179

7.1. Simulation results for moving droplet. . . . . . . . . . . . . . . 1857.2. Fluid flow in a moving droplet. . . . . . . . . . . . . . . . . . . 1867.3. Splitting of a droplet by electrowetting. . . . . . . . . . . . . . 1877.4. Height of a liquid column subject to electrowetting. . . . . . . . 1897.5. Minimal transportable volume in a sandwich structure. . . . . . 1897.6. Droplet morphologies with same volume in confined setup. . . . 1907.7. Liquid meniscus in a curved channel for increasing voltage. . . 1917.8. Meniscus in a rectangular channel. . . . . . . . . . . . . . . . . 1927.9. Schematic drawing of the geometric model. . . . . . . . . . . . 1937.10. Potential energy for a spike length of 100 µm. . . . . . . . . . . 1947.11. Potential energy for a spike length of 400 µm. . . . . . . . . . . 1957.12. Potential energy for different spike lengths. . . . . . . . . . . . 1967.13. Potential energy calculated with the geometric model. . . . . . 196

8.1. Comparison of full and reduced model for butterfly. . . . . . . . 200

xvi

Page 17: Complexity Reduction Techniques for Advanced MEMS

List of Figures

8.2. Comparison of bondwire model, transient simulation. . . . . . . 2018.3. Comparison of bondwire model, harmonic simulation. . . . . . 2028.4. Step response of the RF switch. . . . . . . . . . . . . . . . . . . 2048.5. Harmonic response of the RF switch. . . . . . . . . . . . . . . . 2058.6. Comparison of nonlinear model and polynomial approximation. 2078.7. Comparison of reduced system and polynomial system. . . . . . 2088.8. Distribution of matrix entries in W(2). . . . . . . . . . . . . . . 2098.9. Comparison of reduced, simplified solution and red. solution. . 2108.10. Reduced, simplified solution versus full solution. . . . . . . . . 2118.11. Results from the simulation of the full model. . . . . . . . . . . 2128.12. Transient simulation for an input of 5 · 104W/m2. . . . . . . . . 2128.13. Error between reduced models of order 8 and original model. . 2138.14. Response of the electrostatically actuated beam. . . . . . . . . 215

xvii

Page 18: Complexity Reduction Techniques for Advanced MEMS

xviii

Page 19: Complexity Reduction Techniques for Advanced MEMS

List of Tables

1.1. Comparison between full CFD and QS approach . . . . . . . . 16

2.1. Effects for signal conversion (after [17]) . . . . . . . . . . . . . 312.2. Properties of the simulated cantilever . . . . . . . . . . . . . . 39

3.1. Symbols and notation . . . . . . . . . . . . . . . . . . . . . . . 52

4.5. Methods for model order reduction of linear systems. . . . . . . 136

7.1. Parameters for the simulation in figure 7.1 on page 185. . . . . 1867.2. Parameters for the simulation in figure 7.3 on page 187. . . . . 1877.3. Parameters for the simulation in figure 7.5 on page 189. . . . . 1907.4. Parameters for the simulation in figure 7.8 on page 192. . . . . 1917.5. Parameters for the electrode fine structure optimisation. . . . . 192

A.1. Properties of the Imego butterfly gyro model. . . . . . . . . . . 223A.2. Properties of the IRST RF switch model. . . . . . . . . . . . . 223A.3. Properties of the bondwire model. . . . . . . . . . . . . . . . . 224A.4. Geometry and material properties for heat transfer model. . . . 224

C.1. Recommended mathematical functions for the DSI format . . . 234C.3. Macros for entering matrices in a DSIF file. . . . . . . . . . . . 236C.2. Matrices to describe a system of 1st and 2nd order. . . . . . . . 238

D.1. Contents of the binary header. . . . . . . . . . . . . . . . . . . 241D.2. Contents of the .full file which the interface can process. . . . 241D.3. Contents of the .emat file which the interface can process. . . . 242

xix

Page 20: Complexity Reduction Techniques for Advanced MEMS

xx

Page 21: Complexity Reduction Techniques for Advanced MEMS

0

Abstract

Modelling and simulation has always been a very important issue for researchand development in the field of microsystems. The small size of the deviceand the often complicated, expensive and time-consuming production processfor prototypes along with the lack of experience that other industry has madeit necessary to try to a priori compute as much of the behaviour of the deviceas possible. However, scaling effects give rise to exciting effects which are waybeyond our everyday life’s experience. This can be a parasitic effect interferingwith the main purpose of the device – or the same effect being used as maintransducer effect for a sensor or actuator. And it is the transducer capabilitywhich constitutes the success of microsystems. Coupling between all possibleenergy domains can be important, be it the coupling between electrostaticsand fluidics or between temperature and shape.

From a modelling and simulation viewpoint, this poses some interestingquestions. The coupling effects in combination with often very filigree butwide-stretched structures as seen for example in comb drives need specialtreatment like, e.g, beam elements, but after numerical discretisation one canstill end up with enormous systems of equations with up to millions of degreesof freedoms where one simulation needs to run for days on the fastest CPUsavailable. It is thus very beneficial to find ways to reduce this complexity. Thisoffers new possibilities, e.g., a system simulation with a complexity reducedmodel of a micro-electromechanical (MEMS) device.

In this thesis, we present two complexity reducing approaches on the exam-ple of a model for electrowetting and the model order reduction of nonlinearsystems of equations.

Electrowetting is an elegant way to process liquids. Droplets can be trans-ported without any moving parts except for the liquid. This increases thereliability of the system, because no fault-prone mechanical parts need tobe assembled and moved. A full simulation with a computational fluid dy-namics (CFD) code can be very time consuming. We have created a surfacebased electrowetting simulation model and implemented the EDEW applica-tion. EDEW consists of a library of script files for the well-known SurfaceEvolver program which model the electrowetting effect, along with a graphi-

1

Page 22: Complexity Reduction Techniques for Advanced MEMS

cal user interface (GUI) for easier operation. The complexity reduction hereis the simplification of some fluidic effects which allows to simulate only thesurface of a droplet, reducing the problem dimension from fully 3D to a 2Dsurface embedded in the 3D space.

Model order reduction, on the other hand, works on the already discretisedequations. Starting from a possibly large system (e.g., 100 000 equations), itreturns a much smaller system (e.g., 20 equations) which exhibits the samebehaviour for a certain operating regime. This small system can then be easilyused in circuit simulators allowing for fast simulation. We apply this technique,among other examples, to the IBM MEMS-based scanning-probe data storagedevice (also known under its working title “millipede”). The results can alsobe applied to a wide range of other micro-electromechanical devices. We alsodiscuss how to preserve material and setup parameters like the damping onthe example of the Imego butterfly gyroscope and other devices.

2

Page 23: Complexity Reduction Techniques for Advanced MEMS

0

Zusammenfassung

Modellierung und Simulation war schon immer ein sehr wichtiges Thema fürdie Forschung und Entwicklung im Bereich der Mikrosysteme. Die geringeGröße der Baugruppen und der oft komplizierte, teure und zeitaufwändigeProduktionsprozess für Prototypen sowie der Mangel an der Erfahrung, dieandere Industriezweige besitzen, erfordern es, das Verhalten eines Bausteinssoweit wie möglich im Vorhinein zu berechnen. Skalierungseffekte jedoch habenerstaunliche Auswirkungen, die weit über unsere Alltagserfahrungen hinaus-gehen. Dies kann ein parasitärer Effekt sein, der den Hauptzweck des Gerätsstört – oder genau der Effekt, der als der wesentliche Signalumwandler füreinen Sensor oder Aktuator dient. Und genau diese Fähigkeit, als Signalum-setzer zwischen verschiedenen Energiedomänen zu dienen, begründet den Er-folg von Mikrosystemen. Die Kopplung zwischen allen möglichen Energieartenkann wichtig sein, sei es der Kopplung zwischen der Elektrostatik und Fluidikoder zwischen der Temperatur und der Form.

Aus Sicht der Modellierung und Simulation kommen damit einige interessan-te Fragen auf. Kopplungen in Kombination mit oft sehr filigranen, aber auchweit ausgedehnten Strukturen wie zum Beispiel bei einem Kamm-Aktuatorerfordern besondere Verfahren wie z.B. Balkenelemente; trotzdem kann mannach der numerischen Diskretisierung immer noch ein enorm großes Glei-chungssystem mit Millionen von Freiheitsgraden erhalten, dessen Lösung auchauf den schnellsten verfügbaren Prozessoren noch Tage dauert. Es ist deshalbsehr nützlich, Wege zu finden, diese Komplexität zu reduzieren. Dies eröffnetneue Möglichkeiten wie zum Beispiel die Systemsimulation mit einem Modellreduzierter Komplexität eines mikroelektromechanischen (MEMS) Geräts.

In dieser Arbeit zeigen wir zwei Möglichkeiten zur Komplexitätsreduzierungam Beispiel der elektrisch gesteuerten Benetzung und der Modellordnungsre-duktion nichtlinearer Gleichungssysteme auf.

Electrowetting, die elektrisch gesteuerte Benetzung, eröffnet einen sehr ele-ganten Weg, Flüssigkeiten zu prozessieren. Flüssigkeitströpfchen können trans-portiert werden, ohne dass bewegliche Teile außer der Flüssigkeit gebrauchtwerden. Dies erhöht die Zuverlässigkeit des Systems, da keine fehleranfälligenmechanischen Teile montiert oder bewegt werden müssen. Eine vollständi-

3

Page 24: Complexity Reduction Techniques for Advanced MEMS

ge strömungsmechanische Simulation kann sehr zeitaufwändig sein. Wir ha-ben deshalb ein oberflächenbasiertes Simulationsmodell für Electrowetting er-stellt und in der Software EDEW implementiert. EDEW besteht aus einerBibliothek von Skripten für das bekannten Surface Evolver-Programm, dieden Electrowetting-Effekt modellieren; dazu gehörig eine graphische Benut-zerschnittstelle für eine einfache Bedienung. Die Komplexitätreduktion bestehthier aus der Vereinfachung einiger fluidischer Effekte, die es erlaubt, bloß dieOberfläche des Tropfens zu simulieren und damit die Dimension des Problemsvon vollen drei Dimensionen auf eine zweidimensionale Oberfläche, die im 3D-Raum eingebettet ist, zu reduzieren.

Modellordnungsreduktion hingegen geht von schon diskretisierten Gleichun-gen aus. Von diesem womöglich sehr großen System (z.B. 100 000 Gleichun-gen) ausgehend gibt diese Methode ein wesentlich kleineres System (z.B. 20Gleichungen) zurück, das für einen bestimmten Einsatzbereich die gleichenWerte zurückliefert. Dieses kleine System kann dann leicht in Schaltkreis-Simulatoren eingesetzt werden, was eine schnelle Simulation ermöglicht. Wirwenden diese Technik unter anderem auf den auf MEMS basierenden Raster-Sonden-Datenspeicher von IBM an (auch unter dem Arbeitstitel “millipede”.Die Ergebnisse können auch für eine große Zahl von anderen Mikrosyste-men verwendet werden. Wir zeigen außerdem unter anderem am Beispiel desButterfly-Drehratensensors von Imego, wie Material- und Betriebsparameter(beispielsweise die Dämpfung) erhalten werden können.

4

Page 25: Complexity Reduction Techniques for Advanced MEMS

0

Part I.

Introduction

5

Page 26: Complexity Reduction Techniques for Advanced MEMS
Page 27: Complexity Reduction Techniques for Advanced MEMS

1

1. Overview

There is a theory which states that if ever anybody discovers ex-actly what the Universe is for and why it is here, it will instantlydisappear and be replaced by something even more bizarre and inex-plicable. There is another theory which states that this has alreadyhappened.

Douglas Adams (1952–2001), The Hitchhiker’s Guide to theGalaxy

Dealing with complexity is an inefficient and unnecessary waste oftime, attention and mental energy. There is never any justificationfor things being complex when they could be simple.

Edward de Bono (born 1933)

This thesis presents two different approaches for the modelling and simula-tion of microfluidic and microelectromechanical systems (MEMS) which

lead to a lower numerical complexity compared to the usual methods. Theseideas tackle complexity reduction from two different sides: the modelling partby taking only effects into account which are really necessary for the questionsposed, and the simulation part, where a given system of equations is orderreduced to a much smaller one with a lower number of degrees of freedom(DOFs). We will therefore alternate between two topics: The first topic willbe about a model for the electrowetting effect which is based on the equilib-rium of a soap-bubble model of a droplet, i.e., a model of its surface. Thesecond topic will be model order reduction (MOR).

1.1. Electrowetting

Microfluidics is currently one of the fields of microsystem engineering with thelargest market opportunities, and is a very active field of research as the pro-ceedings of recent MEMS conferences prove [18]. Reproducible parallel batch

7

Page 28: Complexity Reduction Techniques for Advanced MEMS

1. Overview

fabrication of large numbers of low cost devices is ideal for the varied dis-posable devices dictated by contamination concerns in biology and medicine.Design of such devices will need to focus on exploiting device scaling whileoptimising for reliability, cost and lifetime.

The displacement of fluid volume is a fundamental design issue in microflu-idic devices. A variety of micropumps [19] has been proposed that use mov-able mechanical parts like membranes for displacing fluid volumes or spottingdroplets. They mostly operate with a continuous stream of fluid after beingprimed at the start. This thesis is about an alternative fluid displacementmechanism: electrowetting.

Electrowetting is an elegant method to realise the motion, dispensing, split-ting and mixing of single droplets in a microfluidic system without the needfor any mechanical – and fault-prone – components. It is technologically mucheasier as well: The manufacturing process requires only one step to patterna metallic layer, whereas other micropumps require a number of lithographicsteps and complex etching procedures. Moving droplets by an applied electricpotential (without additional energy transducers like piezos or electrostaticactuators) can be achieved by three main effects: dielectrophoresis/electroos-mosis [20], electrocapillarity [21, 22], and electrothermal effects [23, 24], andcan be described under the framework of electrohydrodynamic forces [25, 26].The setup we will consider in this article is an electrocapillarity approach called“E lectrowetting on d ielectrics” (EWOD), which is a method to modulate theinterfacial energy of a fluid/solid interface [27–31]. This changes the wettingproperties and thus leads to a motion of the droplet’s contact line [32–35]; ifthe substrate is only partially wetting, the liquid seeks to cover this part tominimise its energy, and a fluid motion [36–38] can be observed. Spatial con-trol of wetting is accomplished by applying the voltage only on certain partsof the substrate – it is, e.g., partitioned into an array of controllable spots byan assembly of electrodes.

There are also a number of other means to locally control wettability [39], forexample using photocapillarity, thermocapillarity [40–42], or nanofibres [43],or combinations like opto-electrowetting [44]. It however turns out that elec-trowetting allows for the fastest droplet velocity, which is in the range of100 mm/s whereas the next best technology, thermocapillarity, achieves upto 15 mm/s [45]. Also, the electrodes need not necessarily be fixed on thesubstrate, for example they may also themselves consist of a liquid [46].

The change of wetting properties leads to a change of contact angle [47] andcurvature of the droplet surface, which can be interpreted as Laplace pressuredifference [48].

8

Page 29: Complexity Reduction Techniques for Advanced MEMS

1

1.1. Electrowetting

Subsequent application of this process allows to transport the droplet overa larger distance. By moving two droplets to the same spot, mixing canbe achieved. Splitting [49, 50] requires more complicated actuation schemes,which can benefit from proper design tools.

lp

InterdigitalFine Structure

Electrodes

Liquid

WireV

Dielectric Layer

Figure 1.1: Typical setup of an electrowetting device. The contact angle θ is loweredif a voltage V is applied.

The typical setup of an electrowetting device is shown in figure 1.1. Thesystem consists of a dielectric layer of thickness d with metal electrodes below,while a droplet of conducting liquid (electrolyte) is situated on the upperexposed surface. It is essential that the dielectric layer is a good insulatorwith no pinholes, and that ions cannot easily be trapped inside the layer; thiswould inhibit the correct functioning of the device. Further, it must be planeand chemically homogeneous; otherwise, the wetting behaviour is difficult topredict. In this particular setup, the droplet is contacted with a wire as shownin figure 1.1, further possibilities are discussed in section 1.1.2 on page 11.

By applying a voltage V between the electrode and the droplet, charge isaccumulated as in a capacitor. This decreases the interfacial tension betweenthe droplet and the dielectric layer due to the stored electrostatic energy [51].

Since the droplet shape is usually heavily distorted (for a treatment ofdroplets in an angular region, as is the case in microchannels, see [52]), itis difficult to estimate the droplet shape during the process. Further, it is of-ten necessary to know if a process, e.g., droplet splitting on a given geometry,is possible at all, and what can be done to increase the system’s reliability.

9

Page 30: Complexity Reduction Techniques for Advanced MEMS

1. Overview

Computer simulations help to gain understanding about the behaviour of adroplet for a given electrode geometry and voltage curve.

1.1.1. Electrowetting Arrays

One possible application of electrowetting to biochips is the switching betweenflow channels. Channel based biochips [53, 54] are typically configured at de-sign time. In contrast, the use of an electrode array that controls wettabilityoffers the possibility for reconfiguration of the “virtual” fluid channel at run-time [55–59]. The device is the fluidic analogue to field programmable gatearrays (FPGA) used in microelectronics. Here, fluidic gates allow the fluidmeniscus to traverse a certain spot in the channel, inhibit the motion, or alterthe fluid path [60]. It is even possible to omit preprocessed channels at all andform virtual channels by a suitable actuation of an assembly of electrodes. Thiscan also be seen as a micropump with discretised pumping volumes [30, 61–63].Since the effect mostly acts at phase boundaries, these devices usually operatewith a quantised flow of single droplets instead of a continuous flow; there are,on the other hand, other setups which use the moving droplet as a piston forpumping another fluid and thus achieve almost-continuous flows [64].

Figure 1.2: The four main operations of a microfluidic electrowetting array: Dropletcreation (1), droplet motion (2), droplet splitting (3) and droplet merg-ing (4).

Figure 1.2 shows an illustration of a possible electrowetting electrode arraywith the four main operations on the droplets:

Creation: to take a certain amount of liquid from a reservoir to form dropletsof a given size.

Transport/pumping: to move the droplet along a path to or from other func-

10

Page 31: Complexity Reduction Techniques for Advanced MEMS

1

1.1. Electrowetting

tional components like detectors, catalytic converters, supplies and wasteoutlets.

Splitting: to split a droplet into smaller parts for parallel processing.

Merging/Mixing: to merge droplets and mix their contents. This can beachieved by diffusion aided by periodic actuation or droplet motion [65].

Virtual reaction vials can be formed at a single spot of the array. Possi-ble applications are arrayed bioassays [66] and custom combinatorial synthesisof, e.g., deoxyribonucleic-acid (DNA) probes. But there are also other ap-plications beyond the scope of biochips, e.g., the change of wettability canbe used to exert a force on a mechanical structure to construct optical ele-ments, where the droplet can be part of the optical path [67]; the dropletscan serve as light valves in microfluidic computer displays [45, 68] or adaptivelenses [69]; other setups than the merely planar setups considered here[70, 71],e.g., three-dimensional surfaces, were demonstrated.

1.1.2. Electrowetting Devices

The setup described above requires the tracking of the droplet and moving thewire accordingly. Further, the wire also distorts the droplet shape, impedinguse in optical applications. Therefore, some more advanced setups are used,as demonstrated in figure 1.3 on the following page.

Figure 1.3a shows the classical setup with one wire to provide an ohmiccontact and the capacitive coupling on the substrate. It is also possible tooperate the droplet with two capacitive contacts (figure 1.3b); the contactline must overlap with two electrodes, between which the voltage is applied.The droplet then wets both electrodes. In this case, only half of the appliedvoltage is available for each pad, since the electric field passes the dielectriclayer twice. It was observed that – in contrast to the theoretical results – thewetting depends on the polarity of the applied voltage [72].

Another solution is to use a conductive plate instead of the wire, such thatthe droplet is confined between two substrates (figure 1.3c). This also facil-itates the splitting of droplets [49, 50, 59], since the Laplace pressure of thedroplet surface is lower. Using a transparent conductor like indium tin oxide(ITO), optical monitoring is still possible.

Especially for optical purposes, it is useful to invert the setup (figure 1.3d):A non-conducting, immiscible and transparent liquid (or air [73]) with a re-fractive index different than the surrounding medium is immersed into an

11

Page 32: Complexity Reduction Techniques for Advanced MEMS

1. Overview

a)

b)

c)

d)

e)

nonconductingliquid

Figure 1.3: Different actuation setups for electrowetting. a) Droplet contacted bywire; b) two capacitive contacts; c) confined droplet (upper substrateas counter electrode); d) inverted setup; e) liquid in a channel.

electrolyte; the voltage is applied between the surrounding medium and thesubstrate. The main advantage is that the droplet shape is not distorted byan electrode, and setups with radial symmetry are easy to build, so that goodadaptive lenses can be created. The density of the two liquids should be equalto avoid gravity or acceleration effects which would distort the lense shape.The viscosity allows to further tune the dynamic response. By electrode de-sign, the degree of radial symmetry can be adjusted.

Finally, electrowetting can also be used to pump liquid in a channel; besidesmoving droplets in capillaries, one possible use is the priming of a microfluidicdevice to avoid bubbles of air clogging the system.

1.1.3. Device Design

Computer simulations give insight in the driving forces leading to motions ofa droplet. Calculated energy curves give hints to help the designer understandwhat happens energetically, and show optimisation potential to increase thespeed or reliability of the motion. They can also show if a process, like split-ting, is possible at all for a given configuration, and which parameters need to

12

Page 33: Complexity Reduction Techniques for Advanced MEMS

1

1.1. Electrowetting

be tuned to allow for a reliable operation; further, how the geometry influenceselectrical fields and droplet motion.

This enables the designer to experiment without the need to wait for possi-bly expensive prototypes; simulations hence speed up development cycles andallow for a lower time to market which is crucial in such innovative fields asbio-microelectromechanical systems (BioMEMS).

Some design goals which a simulation could help to achieve are the following:

Fluid processing algorithms. An actuation scheme must be found to achieveeach of the four operations listed above, and its parameters must betuned. It is important to estimate the droplet geometry to design thesize of electrodes and the voltage curves V (t) (the voltage should be min-imised [74] to avoid break-through of the dielectric layer and minimisepower consumption).

Fluid process flow. Many single operations must be combined to form thecomplete process sequence. The start and end of one sequence must fit,and if parallel processing is wanted, the operations must not interfere.

Reliability. It is of utmost importance to determine the reliability and thelimits of a certain design, and under which circumstances the success ofan operation is not sensitive to parameters which are difficult to con-trol. The design should be made such that independence from those isachieved. Further, the influence of tolerances should be quantified.

External constraints. Since the device will be connected to external equip-ment, other constraints might have to be considered, such as power con-sumption, processing time, external forces, etc.

For example, for design of the droplet transport some questions that will beasked are:

• Which droplet volumes can be transported with a given electrode setup?

• How should the electrodes be shaped to allow transport with maximalspeed at minimal actuation voltages for a large range of droplet volumes?

• How accurate are the processes?

• Is there a voltage limit where, e.g., complete wetting of a surface occurs?

• If the liquid is transported in channels, how would it be possible to filla larger chamber? Are there optimal “flange” shapes?

13

Page 34: Complexity Reduction Techniques for Advanced MEMS

1. Overview

• To understand the motion and be able to optimise, it is important toknow how the potential energy distribution that a droplet sees duringthe process is influenced by the setup.

For droplet splitting, both droplet shapes and actuation parameters are ofinterest; an optical engineer would be interested to extract the geometry ofa droplet to determine the focal length of a droplet lens [69]; if fluorescentmarkers are to be detected inside the droplet, one would be interested inknowing the thickness variation of the droplet to calibrate the light output.

1.1.4. Computer Simulation Aided Design

Experiments can answer many of those questions, and for the given setupsare fairly easy to perform and hence quite satisfying. But there are somelimitations involved. Due to the small size of some features (dielectric layerthickness, electrode fine structure), facilities for production and measurementof prototypes must be available. Especially if cost is an issue, experimentsshould be prepared using estimates of the results. Optimisations with a largenumber of evaluations might be easier narrowed down by computer simula-tion, since it is fairly costly to make quantitative and qualitative experimentalcomparisons when it comes to, e.g., finding optimal electrode shapes. Further,effects of changes can be estimated without interferences and contaminationin a simulation. Often, quantities are not accessible to a measurement, or theexperiment influences the operation of the device. Finally, one can also esti-mate the response of the system to inputs which are difficult to reliably applyin a laboratory setup, giving potential to perform thought experiments. Thismotivated the development of a modelling tool with which we could quicklyperform what-if calculations.

However, also simulations have their limits. First, they are always based ona model. The amount of detail, the number of physical effects involved, thevalidity of assumptions and simplifications determine the accuracy of such amodel. Material and geometrical data need to be obtained, and solver anddiscretisation parameters must be chosen correctly. The possible resolution ofdetails depends on the speed of the implementation. In conclusion, simulationsshould not replace experiments but complement and accompany them.

Another method of verification are analytical, verified models for specialcases, which have proven their correctness in many experiments. This is themethod we used for the verification of the electrowetting model in the tubeexample.

14

Page 35: Complexity Reduction Techniques for Advanced MEMS

1

1.1. Electrowetting

In this thesis, we present a tool, EDEW (Evolve droplets by electrowetting),which is very effective in helping to understand the process of electrowetting.A number of approaches are possible for such a simulation tool. One couldimplement a computational fluid dynamics (CFD) simulation with, e.g., thefinite element method (FEM) or the finite volume method, coupling at leastthe electrostatic and fluidic domain and providing treatment of the dropletshape by, e.g., a levelset [42, 75] or volume-of-fluid method. Since this methodincludes dynamic effects (however, at the cost of interface quality), this is theway to go if a design should be characterised just before the production ofprototypes.

Another possibility is to view the droplet motion in its quasi-static limit,which is the approach we have used in our model. The goals of the simu-lation tool are to provide a fast methodology to compute the change of theshape of a droplet subject to electrowetting and to investigate the effect ofthis change; further, to investigate the potential energy landscape at differentstages of a process. It is not meant as a full computational fluid dynamicstool as described in, e.g., [26]; the questions asked to a CFD tool are differ-ent from the ones we want to answer here. Our simulation is based on theenergy equilibrium of surface tensions. Dynamic effects and the fluidic trans-port process within the moving droplet are excluded from the simulation, thusyielding results in the quasi-static limit of very slow motion and long time.This was motivated by a) the complete overshadowing of inertial forces byelectrostatic forces (Reynolds number Re ≈ 1) and b) the conservative natureof quasi-static computations. In short, we clearly see whether a droplet canget “stuck” in a local minimum and hence block a fluidic path. While thiskind of simulation makes no statement about the exact time response as CFD,it provides more general physical insight as shown in the electrode fine struc-ture optimisation later in this thesis. There, the potential energy curves ofcertain device variations give strong hints on the performance, and the effectof modifications is much more visible and detectable than in other simulationand experimental approaches. This potential energy is the main quantity inour simulation tool and thus easily accessible, allowing us to obtain a fairlyaccurate picture of, e.g., the energy saddle point that gives rise to dropletsplitting. Recent publications have also adopted this approach for derivingdynamic models [76] als proposed in [1].

A further design decision is the representation of the model in a computerprogram. We base our simulation on the Surface Evolver program [77, 78],a software for the simulation of optimal surfaces. It explicitly represents thefluid surface by a Lagrangian triangle mesh. The spatial constraints that the

15

Page 36: Complexity Reduction Techniques for Advanced MEMS

1. Overview

Surface Evolver makes available for use with nodes, edges and faces are auseful modelling tool with which it is possible to simplify and hence speedup the computation of droplet motion. Due to the exclusion of internal fluidtransport, the number of equations is strongly reduced; there is also no needfor a boundary element treatment of the droplet interior. This simplifiedmodel allows for a fast integration with a much lower CPU time comparedto full CFD simulations. For example, the droplet splitting (section 7.2 onpage 187) needed only a few minutes for solving. Further, there is no need tostore a three-dimensional (3D) grid but only a two-dimensional (2D) surface.The modification of the geometry of, e.g., an electrode is a matter of a fewseconds work, and allows to quickly perform parameter variation studies, theresults of which aid in developing compact models of the droplet operations.This makes this approach well suited for optimisation loops. However, thesedecisions also have some disadvantages which will be discussed in section 5.3on page 163. Table 1.1 gives a short comparison of the two options.

Table 1.1: Comparison between full computational fluid dynamics simulation(CFD) and our quasi-static approach (QS). A “+” in this table meansthat the method is appropriate or good, a “–” means that there aredifficulties, and a “+/-” means a limited suitability.

Property CFD QS

CPU time and memory – +Potential energy landscape – +Compact models +/– +Optimisation +/– +Interaction with solver +/– +Design for “worst case” +/– +Surface representation implicit explicitMesh Eulerian (fixed) Lagrangian (moving)Surface recovery +/– +Topological changes + –Inertia and damping + –Fluidic transport + –Overshooting + –Transient behaviour + –

16

Page 37: Complexity Reduction Techniques for Advanced MEMS

1

1.2. Model Order Reduction

1.2. Model Order Reduction

The goal of model order reduction (MOR) is, given a large system of equationswith possibly millions of degrees of freedom, to find a approximate systemwith a much lower number of equations (e.g., 10-200) which exhibits a similarbehaviour, thus yielding a good estimate with a much lower complexity. This ispossible since in the usual discretisation methods as the finite element method,there is a lot of redundancy in the resulting system, since the FEM basis is notoptimal for many applications [79]. One reason is that there is few distinctionbetween high frequency modes and low frequency modes, so that much of thesolution space is occupied by system states which are not important for realapplications, or, even worse, artificially introduced by the discretisation. Thisis one of the fields where model order reduction can score. Another approach isto limit the range of possible excitations of a system, for example by specifyinga limited set of input and output terminals, so that the reduction may neglectsolutions which are outside of this space. This is where the gather and scattermatrices (see equation (3.2) on page 57) and observability/controllability comeinto play.

Model reduction can be seen as the complement of adaptive grid refinement,where, starting from a coarse grid, local refinement is employed to improve thesolution where large errors are expected. Of course, a combination of thosetwo approaches is possible, however, for model reduction, the major benefitof an originally small original system is not in the size or solution time ofthe reduced model, but in the time for generating the reduced model. Theaccuracy is affected in so far as a refined grid may represent the true solutionbetter than a uniform grid with the same number of nodes.

The reduced model should show a similar behaviour for the main simu-lation tasks for MEMS, namely transient simulation (system response overtime), harmonic simulation (response to a harmonic load), stationary simula-tion (system state in the equilibrium) and modal simulation (free vibrationsof a system).

One measure of the similarity is the norm of the error in the response toa test input. Let the input be u(t) and the output of the original be y(t),and let the output of the reduced system be yr(t) (we will use the index r forthe reduced system throughout this thesis), then we compute the error normby ‖yr(t) − y(t)‖. The same can be done in the frequency domain for theharmonic responses: ‖Yr(s) − Y (s)‖.

Often, the L2 norm∫ t2t1

| · |dt is used for this purpose, giving an estimateof the error energy. In our view, another measure is more useful: the infinity

17

Page 38: Complexity Reduction Techniques for Advanced MEMS

1. Overview

norm or supremum norm ‖ · ‖∞, which is the supremum of the absolute valueof the error on the considered time interval, or, in more casual words, themaximum distance between the response curves. We can measure the erroreither for all nodes, or, what is often more interesting, at a single degree offreedom which corresponds to a very exposed point of the device, e.g., wherethe measurement takes place. Yet, the optimal similarity measure would be ana priori error estimator which gives general results for all inputs and degreesof freedom and not a single test input.

One is certainly also interested to preserve system properties of the originalmodel like stability and passivity of a system [80]. An ideal MOR algorithmshould fulfil four main demands [81]:

1. Small approximation error and existence of global error bound.

2. Preservation of essential system properties like stability and passivity.

3. Computational efficiency of the reduction procedure.

4. Automatic procedure, i.e., with minor expert knowledge required.

This approach is one possible way to generate compact models, howeverit would be good to give a tighter definition of what we mean by compactmodelling and by model order reduction and how they are related. We willbegin this discussion with a small excursus about electrical circuits.

1.2.1. Model Order Reduction Versus Compact Modelling?

The operation of an electrical circuit can be effectively described by a systemof ordinary differential equations (ODEs) when we enforce the Kirchhoffianlaws (the conservation of energy and conservation of charge). In electrical en-gineering, such a system is produced automatically by circuit simulators suchas SPICE or SABER from a so-called netlist [82]. The latter lists compo-nents of the circuit, that is, resistors, capacitors, inductors and so on, and theconnectivity between the discrete connection nodes of the elements. The be-haviour of each component is described by some relationship between voltageand current or charge and current.

This equivalent network approach is not limited to electrical circuits: Almostany dynamic system can be represented as an equivalent electrical circuit [83],which means that the ODEs are written in terms of effective resistors, capaci-tors, and so on. As a rather unusual example, in [84] an equivalent circuit wassuggested for the Schrödinger equation, and in [85] it was solved with an ACnetwork analyser that played the role of an analog computer at that time.

18

Page 39: Complexity Reduction Techniques for Advanced MEMS

1

1.2. Model Order Reduction

Electrical engineers were among the first researchers to make MEMS devices.So, it should be no surprise that equivalent circuit modelling is very popularin the microsystem technology community. This has become, in a way, anultimate goal for MEMS engineers, that is, to find a simple equivalent circuitthat can accurately describe the dynamics of the device in question, and thisis what is understood by compact modelling.

Compact Modelling

Mathematically speaking, the goal of compact modelling is to convert partialdifferential equations (PDEs) to low-dimensional ODEs, which later on arerepresented by a network of effective resistors, capacitors, inductors and so onand included as an additional subpart of a network simulation. Note that thelow-dimensionality of ODEs is very important because otherwise the requiredtime for a joint system simulation is outside of acceptable norms for designengineers.

Whereas there is almost no problem to write down a relationship for simplecircuits elements such as resistors, capacitors and their combinations as, e.g.,transmission lines [86], the modelling of semiconductor elements like transis-tors was a challenge right from the start. In principle, to accurately describetransistor operation one should solve the transport PDEs for electrical carrierscoupled with a Poisson-Boltzmann equation, or, for high frequency operation,the Maxwell equations.

A practical solution for compact modelling was to solve the transport PDEsfor electrical carriers in closed form (see [82] for an overview and [87, 88]as examples of recent research papers) for some simple one-dimensional cases.These results can be used to build a semi-empirical equation to model transis-tor behaviour. In the simplest case a transistor can be considered as a combi-nation of two intimately coupled diodes, that is, a one-dimensional structureof three attached semiconductor blocks with different doping. With voltagesand currents as in figure 1.4 on the next page, this results in the followingsystem of equations [89]:

IE = IF0

(eqVEB/kT − 1

)− αRIR0

(eqVCB/kT − 1

)

IC = αF IF0

(eqVEB/kT − 1

)− IR0

(eqVCB/kT − 1

)(1.1)

where IE is the electric current flowing into the transistor’s emitter, IC is thecurrent flowing out of the collector, VEB is the voltage between emitter andthe transistor’s basis, VCB is the voltage between collector and basis, q is the

19

Page 40: Complexity Reduction Techniques for Advanced MEMS

1. Overview

electronic charge, k the Boltzmann constant and T the temperature. IF0,αR, IR0 and αR are parameters depending on geometry, doping and materialproperties. We call this equation semi-empirical because it does not describe

IC

VCB

VEB

IE

IB

E

C

B

E

E E

C

C

B

B

IE

VEB VCB

IC

IB

IRIF

IFα FIRα R

a)

b)

c)

d)

Figure 1.4: Different modelling approaches for a p-n-p transistor. a) Transistorrepresentation in a circuit diagram. b) Ebers-Moll compact model ofa transistor. c) Compact model for small signal dynamic behaviouranalysis. d) Mesh for numerical discretisation of PDEs. b) and c) areadapted from [89].

the transistor behaviour exactly but nevertheless it has some physical back-ground. The equation contains parameters IF0, αR, IR0, αF that originallyhave physical sense. When equation 1.1 is used for a real 3D geometry, it ispossible to say that the estimated response is still physically meaningful butthe parameters should be treated as effective. This means that one cannot de-termine them from geometry and real materials properties but rather shoulduse a fitting procedure to measured or simulated curves. In addition, to renderthe equation able to describe a real transistor quantitatively, more parametersmust be added. Thus, the physical sense of the final set of unknown param-eters is difficult to define. This constitutes the first and the most importantstep of compact modelling.

The second step is so-called parameter extraction based on experimentally

20

Page 41: Complexity Reduction Techniques for Advanced MEMS

1

1.2. Model Order Reduction

measured volt-ampere characteristics. After that, the model can be appliedto describe particular transistor models. But as technology evolves, the oldtransistor model cannot be applied any more to a newly developed device andnewer models are developed. After repeating parameter extraction, they areagain used by electrical engineers for circuit design.

This compact modelling approach can be successful provided that there isa big lag time between the invention of a technology and its industrial ap-plication. Yet, at the moment, this requirement represents a bottleneck fornew technologies to reach the production stage and industry searches alterna-tive ways to obtain reduced models. This especially hampers the MEMS areawhere the number of different devices is too big to hope that one can applythe above empirical approach due to lack of resources.

The current industry response is to try to standardise compact models bothfor transistors [90] and MEMS [91] with the hope that joint expert effortsallow it to speed up the process of creating compact models. However, in ourview a restriction to a standard set of compact models contradicts with thevery nature of technological development. An alternative option is to switch tomodel reduction, which can be considered as “compact modelling on demand”.The key issue is here to make it completely automatic and robust.

Model reduction can require large computational efforts. In the case con-sidered this might well be acceptable. Compact modelling as described aboverequires a long involvement time of highly educated personnel. As a result,industry is interested in an automatic computational procedure that producesthe same result even for the case of long computational time. An upper boundfor the allowable computational time comes from the approach when the de-vice PDEs are solved numerically by brute force and this is combined withcircuit simulation in real time [92]. In this case, the clear advantage of modelreduction is the reusability of the results and thus considerable saving of com-putational efforts after completion of model reduction.

MEMS Model Reduction

In parallel with compact modelling, engineers use model reduction approaches[79, 93–98], even though the number of publications in this area is much lessthan in compact modelling. The pioneers are again electrical engineers. Eventhough they directly form a circuit ODE model from lumped, i.e., already com-pacted, abstract elements, the system dimension becomes quite high becausethe element integration on a chip is rapidly increasing. Another example wherehigh dimensional systems can occur is a so-called interconnect problem [98],

21

Page 42: Complexity Reduction Techniques for Advanced MEMS

1. Overview

when a long transmission line manifests parasitic capacitance and inductanceat high frequencies. For the last ten years or so, the community of electricalengineers has invested much effort on how to apply model reduction of linearODE systems.

The most advanced results here are established by control theory, which al-lows us to make the strong statement that model reduction of a linear dynamicsystem is solved in principle. This means that there are methods (for examplethe balanced truncation approximation, the singular perturbation approxima-tion, and the Hankel-norm approximation) with guaranteed error bounds forthe difference between the transfer function of the original high-dimensionaland reduced low-dimensional systems. Model reduction based on these meth-ods can be made fully automatic. A user merely has to set an error bound,and then the algorithm will find the smallest possible dimension of the reducedsystem which satisfies that bound. Alternatively, a user specifies the requireddimension of the reduced system and then the algorithm estimates the errorbound for the reduced system. Unfortunately, the computational complexityof current implementations is of order O(n3), with n the order of the largesystem of ODEs. Hence, if the system order doubles, the time required tosolve the problem will increase about eight fold. In other words, even thoughthe theory is valid for all linear dynamic systems, practically we can use it for“small” systems only.

Recently, there have been considerable efforts to find computationally ef-fective strategies in order to apply methods based on Hankel singular valuesto large-scale systems, the so-called SVD-Krylov methods based on low-rankgramian approximants [99–102]. Another alternative is the matrix sign func-tion method [103]. However, they are currently under development and engi-neers will have to wait for the experience of mathematicians to grow in thisfield.

Most of the practical work in model reduction of large linear dynamic sys-tems has been tied to Padé approximants (so-called moment matching) of thetransfer function via Krylov subspaces [80, 104] by means of either the Arnoldior the Lanczos process.

In the literature, there are some spectacular examples where, using thistechnique, the dimension of a system of ordinary differential equations wasreduced by several orders of magnitude, almost without sacrificing accuracy.The disadvantage is that Padé approximants do not have a global error es-timate, and hence it is necessary to select the order of the reduced systemmanually [105].

This knowledge transfers gradually to other engineering communities. The

22

Page 43: Complexity Reduction Techniques for Advanced MEMS

1

1.3. Major Results

current status of research in the engineering community can be seen fromrecent publications [106–117], where one also observes a clear trend to try tofind methods for the model reduction of nonlinear systems.

Another important issue is how to preserve geometrical and material param-eters during model reduction in the symbolic form. One would like to changegeometry or other properties used during discretisation without having to re-peat model reduction. This limits the application of model reduction methodsin many engineering design problems such as geometry and topology optimi-sation. In other words, it would be good if model reduction can produce notonly a numerical reduced model but rather a functional form analogous to thefirst part of compact modelling. After all, the so-called process of parameterextraction can be made more or less formal as there is a large body of researchin mathematical statistics, the results of which can be applied here [118, 119].

1.3. Major Results

The major results presented in this thesis are the following:

1.3.1. Modelling and Simulation of EWOD and Its Application toDroplet Manipulation

We developed a modelling approach for electrowetting-on-dielectrics which wasimplemented in EDEW, a Java program based on the Surface Evolver simula-tor. The software provides a script template library which offers a variety ofdifferent simulation setups with focus onmicroscale fluid handling by the elec-trowetting effect. A graphical user in-terface (GUI) allows for a user-friendlyspecification of simulation parametersand interaction with the running sim-ulation. The simulation results provideinsight in the energy configuration of liq-uid droplets and capillary forces which isuseful for deriving compact models andthe design and optimisation of geomet-rical and operating parameters.

EDEW was then used to simulate the transport and splitting of droplets, tostudy the effect of evaporation and detachment in a confined setup, to analyseelectrowetting in channels and for the optimisation of electrode edge shapes.

23

Page 44: Complexity Reduction Techniques for Advanced MEMS

1. Overview

1.3.2. Model Order Reduction With Weak Nonlinearities

We present a methodology and implementation for model order reduction fornonlinear models with a special focus on MEMS transducers and the typicalchallenges they present from a modelling perspective. A polynomial approxi-mation to the electrostatic (1/x2) force/displacement dependency in a parallelplate setup allows to apply theArnoldi method to a model of theIBM scanning-probe data storagedevice. The reduced model alsofeatures a contact element for thetip. We further investigate how topreserve Rayleigh damping as a pa-rameter of the reduced model. Thefinal result is a Verilog-A modelwhich is used in a system simulatorto optimise the circuitry and oper-ation of the device.

Another emphasis is on the mod-elling of devices with nonlinearities. To successfully apply model order reduc-tion, it is helpful to write the discretised nonlinear equations in a closed form.We show different examples of this modelling process.

1.4. Thesis Overview

The thesis is split in four main parts, and each part is again split into twochapters. In the first introductory part, chapter 1 gives an overview overthe thesis, introduces the basic concepts of electrowetting and model orderreduction and discusses some of the challenges we have to deal with whendoing computer simulations. Chapter 2 describes the special properties ofMEMS, in particular the transducer properties, coupling of energy domainsand scaling effects, presents current manufacturing techniques and applicationexamples, and the computational issues which often make MEMS simulationspecial. Some numerical case studies are given, which will be used later todemonstrate model order reduction techniques.

Part II shows the relevant theoretical background and presents theoreticalresults. Chapter 3 starts with the description of some basic properties of dif-ferential equations and shows algorithms for their solution. We give an outlineof the theory of fluidics including wetting and electrowetting, elasticity and

24

Page 45: Complexity Reduction Techniques for Advanced MEMS

1

1.4. Thesis Overview

electrostatics. Transducer elements as a way to tackle coupling are presented;on the example of a capacitor with variable plate distance, nonlinear effects arediscussed. Further, numerical methods to discretise partial differential equa-tions are described. Chapter 4 is devoted to model order reduction. Startingfrom a description of basic properties of systems of differential equations, wefirst present the most relevant algorithms for linear systems along with ourresults on the treatment of Rayleigh damping in second-order (i.e., vibrating)systems. Then, we discuss which approaches can be undertaken when systemsare not linear any more.

Part III describes the software implementation of these concepts. Chapter 5presents the electrowetting model and its implementation EDEW, a tool forsimulation and optimisation of electrowetting; we discuss the underlying con-cepts, give a short usage guide and finally discuss applications and also limitsof the chosen approach. Chapter 6 gives details about the framework we de-veloped for the reduction of polynomial models. Since this development wasguided by the requirements of a compact model for the IBM scanning-probedata storage device, we revisit the description of this model, now with a focuson the numerical model. After presenting our treatment of polynomial sys-tems, we discuss how we obtained a polynomial system from the model in thecommercial finite elements package ANSYS. After the description of the MORand time integration implementation, we show how the model can be exportedto the hardware description language Verilog-A. The chapter concludes witha discussion of the limits and strengths of the chosen approach.

The last part finally presents numerical results. Chapter 7 shows the resultsof several numerical experiments which demonstrate the motion, splitting andevaporation of droplets in various setups, fluid in tubes and channels and theresults of the optimisation of the droplet transport setup. The results of ourmodel order reduction framework are given in chapter 8. First, we focus onlinear systems, compare the performance of the Arnoldi method to the Guyanmethod; the treatment of Rayleigh damping is also illustrated with resultson the reduction of the Imego butterfly. Then, the path from a nonlinearsystem (coming from the discretisation of the IBM scanning-probe data storagedevice) to the representation as weakly nonlinear polynomial system and itsreduction is followed by giving results and discussions on the error for each ofthe steps.

The thesis closes with conclusions and an outlook in chapter 9.The corresponding journal publications [1–4], conference papers [5–11], bench-

mark models [12–14] and manuals [15, 16] are given in the bibliography. Ad-

25

Page 46: Complexity Reduction Techniques for Advanced MEMS

1. Overview

ditional journal publications on the model order reduction part are in prepa-ration.

Chapter Summary

• Electrowetting is an effective and flexible approach to process liquids.

• Wetting properties are modified by electric voltage.

• Device optimisation requires computer simulation.

• Two different approaches with different objectives: full CFD and quasi-static surface energy minimisation.

• Model order reduction allows for the fast solution of large dynamic ODEsystems.

• Fully automatic generation of compact models.

• Control theory methods provide good error estimates; Padé approxi-mants/moment matching is currently much faster for large systems.

• Nonlinear model order reduction is still a significant challenge.

26

Page 47: Complexity Reduction Techniques for Advanced MEMS

2

2. MEMS Actuators

Many a small thing has been made large by the right kind of ad-vertising.

Mark Twain (1835 - 1910), A Connecticut Yankee in KingArthur’s Court

In this chapter, we want to outline the special properties of MEMS in con-trast to macroscopic devices and discuss the consequences for modelling and

simulation.During the last years, the MEMS market showed a rapid growth. From

2003 to 2007 an annual growth rate of about 17% is expected depending onthe field of application [120]. After this report, the main device markets are in-ertial MEMS devices, pressure sensors, inkjet heads and optical MEMS, whileMEMS microphone, RF MEMS and microbolometer markets are consideredas emerging now. With the market speeding up also the development speedincreases. It took Texas Instruments about 15 years to bring their digitalmicro-mirror devices (DMD [121, 122]) to the market. Now, experience hasgrown, and standard tools and procedures exits. Thus, it takes often only 3to 6 years from R&D to market.

The development process of microsystems presents some fundamental chal-lenges compared to the macro world. MEMS are small in size, and are usuallyfabricated by mass production processes with a high initial cost and a low costper part. For example, the printheads used for old Hewlett-Packard printersare included in the ink cartridges so they can be produced so cheap that theyare disposable after the tank is empty.

The consequence is that prototypes are often expensive to build, and thatit takes some time until a prototype is produced. And in most cases it is notpossible to repair or change microparts, and therefore the complete productioncycle must be repeated. Also the examination of the prototype requires goodmicroscopes and high speed cameras, if measurements are possible at all. Someof these issues can be circumvented by sophisticated test structures on and inthe vicinity of the device.

27

Page 48: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

The consequences of a wrong design can be disastrous. One famous exampleis the Pentium FDIV bug in 1994. An error in the floating point unit of theearly Intel Pentium processor caused small errors in the return values of thedivision command, requiring Intel to exchange the buggy processors – leadingto a monetary damage of between 300 and 475 million USD and a vast imageproblem [123].

This stresses the importance of modelling and simulation for the completedesign flow, starting from the manufacturing [124]. Then, the behaviour of thefinal device must be accessible to simulation, be it the transient response to acertain input or the spectrum of the harmonic response, resonant frequenciesor nonlinear effects like buckling. Another very important field is the relia-bility, to estimate the lifetime of a device and to identify failure mechanisms.Optimisation can help the designer to find the best parameters for a system,or, in the case of topology optimisation [125], a completely new design whichis hardly imaginable in classical design processes.

As the importance of MEMS increases, also commercial software vendorssee the benefit of providing integrated tools for applications in MEMS. Forexample, the finite element simulator ANSYS [126] has started to includespecial element types which are useful for, e.g., electrostatically actuated de-vices. While this is still a function set on top of a very general code, thereare also packages with special focus of MEMS, for example the IntelliCAD(now IntelliSuite) system [127], the SOLIDIS [128] tool which is integratedinto the ISE-TCAD system (now Synopsis) [129], and the ConventorWare andMEMCAD packages [130].

2.1. MST and Properties of MEMS

Microsystem technology (MST) is an engineering discipline which is engaged inthe production and characterisation of multiphysics devices with feature sizesin the micrometre to millimetre range [115, 131–134]. These devices are oftenreferred to as micro-electromechanical systems although many feature fluidicor optical (“MOEMS”, “BioMEMS”, etc.) components. In the following,MEMS will be used generally for a device produced with MST.

2.1.1. MEMS as Transducers

In the majority of applications, microsystems are used as transducers, i.e.,they convert one physical input quantity to another. Often these quantities arelocated in different energy domains. MEMS devices can cover a large area of

28

Page 49: Complexity Reduction Techniques for Advanced MEMS

2

2.1. MST and Properties of MEMS

energy domains, beginning from structural mechanics coupled to electrostatics,handling of fluids and processing of light up to the measurement of heat. Inpractise, we distinguish between six energy or signal domains [17]:

• Radiant signal domain (electromagnetic waves)

• Mechanical signal domain (displacement, rotation, elasticity, gravita-tional energy); fluidics may also be included here

• Electrical signal domain

• Magnetic signal domain

• Chemical signal domain (molecular and atomic energy)

• Thermal signal domain (kinetic energy of atoms and molecules)

Nuclear energy is not (yet) considered in this scheme. These energy domainscan further be classified into conservative and dissipative energy domains [124].Examples for dissipative energy domains are friction, internal loss in fluids anddeformed solids, and electric resistance.

With their transducer property, it seems that coupling is something that isinherent to MEMS and constitutes their nature, and indeed much of the atten-tion to coupling is related to MEMS. One example is a sensor which convertsa temperature, chemical concentration, pressure, etc. to an electric voltage, oran actuator, which performs the reverse process. Many microsystems have acommon structure: An input transducer (the sensor) which converts the inputquantity to an intermediate quantity (for example, a temperature to a volt-age), a modifier (for example, an electric circuit), and an output transducer(the actuator). This feature separates MEMS from purely electronic devices,such as very large scale integrated (VLSI) transistors and other circuit ele-ments, which remain in the electromagnetic domain. A systematic frameworkfor the treatment of coupling effects in terms of irreversible thermodynamicsis given in [135–137].

An essential component of many microsystems are moving structures, e.g.,as fluidic parts like pumps and electrically controllable valves [138], sensingcantilevers [139, 140] and optical structures (DLP [121]). Several actuationprinciples can be employed on microscopic length scales, the most frequent arethe electrostatic forces [131–134].

As example, consider an radio frequency (RF) switch: A mathematicalmodel should include at least the coupling between the electromagnetic andstructural mechanics domains, in other words, the model should couple theMaxwell and mechanical PDEs.

29

Page 50: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

Table 2.1 shows the names of some well-known effects which can be usedby a transducer. Those effects can be unidirectional (for example a solarcell which does not influence the light source) or bidirectional (for exampleif the frictional heat leads to a change in the mechanic properties, so that acycle mechanical→thermal→mechanical emerges). The coupling effects con-sidered in this thesis are different variants of electrostatic forces, in particularelectrostatic-structural interaction and electrostatic-fluidic interaction.

A further classification of coupling effects is between the place where thecoupling takes place. For example. the Joule effect which heats a conductorthrough which a current passes, couples the electric and thermal energy do-main in the same volume, whereas frictional heating, fluid-structure couplingor the electrostatic actuation of a cantilever is a boundary effect.

2.2. Scaling Effects

Most of the special properties of MEMS can be explained by the scaling oflength scales [141]. Assume that one scales a system by a factor s. Lengthswill change with the factor s, areas with a factor of s2, volumes with a factorof s3. This means that surface effects show an increase in their importancecompared to mass effects. A “drop” of water with a volume of 1 litre which isput on a table under the influence of terrestrial gravity will become flat andspread all over the surface. On the other hand, if this drop is 1 nanolitre (whichmeans scaling lengths by a factor 1000), it will almost form a perfect cappedsphere, the surface shape is completely determined by the surface tension andinterfacial energies with the substrate. A measure for the ratio of gravitationaleffects to surface tension effects is the Bond number Bo = ρgL2/γ, where ρis the density, g is the gravitational acceleration, γ is the surface tension andL is a representative length (e.g, the droplet diameter). For our examples,Bo ≈ 0.001 − 0.1, whereas for the macroscopic regime, Bo > 10.

This scaling effect also means that the smaller the device, the smaller thepart which can be considered as “bulk”, i.e., the volume part where the surfacedoes not influence the behaviour any more. One can say the microsystems aremainly surface.

Along with the scaling of lengths, time scales exhibit the same change. Forthe example of eigenmodes, [142] shows that time and acceleration scale withlength, whereas frequencies scale with the inverse of s. Resonance frequenciesthus become larger. Both kinetic and elastic energy scale by a factor of s3,which for the kinetic (co)energy is obvious by its definition T ∗ = 1

2ρv|x |2,

30

Page 51: Complexity Reduction Techniques for Advanced MEMS

2

2.2. Scaling Effects

Table 2.1: Effects for signal conversion (after [17])

in/out rad. mech. therm. electr. magn. chem.

rad. photo-lumin.

rad.pressure

rad.heating

photo-cond.

photo-magn.

photo-chem.

mech. photo-elasticeffect

conserv.moment.

frictionalheat

piezo-electr.

magneto-strict

pressure-inducedexplos.

therm. blackbodyrad.

thermalexpans.

heatcond.

Seebeckeffect

CurieWeisslaw

endo-therm.reaction

electr. inject.lumin.

electro-stat.force

Peltier/Jouleeffect

pnjunctioneffect

Ampere’slaw

electro-lysis

magn. Faradayeffect

magnetro-strict.

Etting-hauseneffect

Halleffect

magneticinduct.

chem. chemo-lumin.

explos.reaction

exotherm.reaction

Voltaeffect

chem.reaction

where ρ is the density, v ∝ s3 the volume and x ∝ s/s is the speed. Anotherscaling effect is the fast equilibration of temperature. Keeping up temperaturedistributions can be challenging since heat transfer happens very fast.

It is also interesting that electrostatic forces outperform magnetic forces, sothat actuators based on electromagnets are less favourable in the microscale(and usually more difficult to fabricate). Given a parallel plate capacitor withgap d, area A and dielectric permittivity ε, the force FC = 1

2εAd2 V 2 is invariant

to scaling the length for constant voltage! Magnetic forces, on the other hand,depend on currents which scale by s for constant voltage, and thus becomesmaller the smaller the scaling factor. However, there is a limit given bythe breakdown voltage of air, so that the electric field must be designed toremain below about 3 × 106 V/m. Peak fields because of surface roughnessand atmospheric conditions may further decrease this voltage. In fact, thelinear relations given here break down earlier since already before breakdownof the air isolation current flow because of ionisation may become an issue,especially at low pressures when the mean free path is large [143]. Further,magnetic actuators are not sensitive to dust, which tends to be attracted by

31

Page 52: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

electrostatic fields. This is particularly a problem because of the small gapsizes between the capacitor plates. On the other hand, electrostatic devicesare mostly compatible with the CMOS process and easier to fabricate.

When going further down, at some scale a point is reached when the con-tinuum assumption of matter starts to break down and individual atoms andmolecules become visible. One effect is the so-called wall slip. Usually for afluid flowing in a tube, the continuum assumption is that the velocity of thefluid at the channel walls is zero. As sizes get smaller, this assumption does nothold any more; there is a nonzero speed at the walls [144, 145]. The Knudsennumber Kn = λ/L describes the transition between those regimes: It gives theratio between the mean free path λ and a typical length L of a system [146–148]. For gases, a continuum can be assumed for Kn < 0.01. Using specialmethods like artificial wall slip there is a short range up to Kn = 0.1 wherecontinuum simulation can give still good results. For a value larger than 1 theprobability of a particle hitting a wall is higher than interacting with anotherparticle; another simulation approach must be considered (a very interestingmacroscopic analogy for the spreading on a surface is presented in [149]).The range in between is quite inconvenient for simulation. Particle numbersare too high for a molecular simulation, while continuum approaches producequestionable results. Particle methods, for example smoothed particle hy-drodynamics or dissipative particle dynamics [150–152], or Lattice-Boltzmanntype simulations can be a remedy. The first step in a simulation of microsys-tems is thus to decide in which regime the simulation operates. All our devicesand applications are fortunately well in the regime of continuum models, sothat there is no need to consider atomic effects here. Due to finite precisionnumerics, scaling of units is useful.

2.3. Computational Issues

We have already mentioned process and device simulation for a single MEMSpart. If the device behaviour is clear, the next level is system simulation. Thesingle device is put in the context of the complete assembly – circuitry, in-puts and outputs of other energy domains and the environment are taken intoconsideration. For this task, a compact model is needed: a small (in terms ofunknowns/equations) and simplified model which captures the essential prop-erties of the device. This is especially true if a large number of devices are to besimulated (e.g., a very-large-scale integrated (VLSI) microchip) or if separatetime scales are used so that many timesteps have to be calculated [153].

32

Page 53: Complexity Reduction Techniques for Advanced MEMS

2

2.3. Computational Issues

Geometry

StructuralBehavioral

Systems

Algorithms

Register−transfer

Logic

Transfer functions Transistors

Gates, Flipflops

ALUs, Registers

Subsystems, Buses

CPU, Memory

Polygons

Cells, Module Plans

Macros, Floor Plans

Clusters

Chips, Physical Partitions

Figure 2.1: The Y chart, showing the different level and views of VLSI devices.

These different levels of design and simulation can be visualised in theGajski-Kuhn chart or Y chart (figure 2.1) [154]. This diagram shows threeviews of a microelectronic system: The functional or behaviour view, the struc-tural view and the physical of geometrical view. These views have six differenthierarchy levels with increasing abstraction, starting from the structures on amicrochip to the complete component. In particular, those levels are calledthe geometry level, the circuit level, the logic level, the functional block level,the algorithmic level and the system or architectural level. For microsystems,one could call those levels geometry and material level, coupling level (inter-action of parts of the micro system), signal level (readout of a sensor), devicelevel (the die), package level (packaged device) and assembly level (a printedcircuit board with the device attached). From a simulation viewpoint, theselevels correspond to the path from a modelling of the manufacturing processto PDEs based on the structure and material properties, macro models andfinally a system level simulation of the complete assembly [124].

For computer aided engineering (CAE), it is most desirable to be able to de-rive levels of model abstraction from a single source: The designer starts witha 3D device model, which in itself already represents a tremendous investmentin design effort and know-how. From the detailed FEM model, he steadilyprogresses towards more compact representations by deriving these from the

33

Page 54: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

detailed model. Further, lithographic masks for production are derived fromthis model.

From each lower to higher level, there needs to be a mathematical or nu-merical process to reduce the complexity of a model. We will in this thesisfocus on the transition from the geometry to the signal level, in particularfrom numerical simulations of a discretised device to a model with low statespace.

There are many applications of complexity reduced models: Not only inthe design process, where they – used as fast solvers – give means to thehands of engineers to quickly be able to evaluate changes on the design of adevice, allow for realtime simulations or hardware-in-the-loop testing. Theycan also be part of a controller [155, 156], which needs to be always aware ofthe current system state, which may not be accessible from the real device.In [157], a slightly different use is presented: Reduced models are used totrain a neural network which approximates the transfer function and is partof a feedback system to control a torsional micromirror. Other applicationsinclude image and sound processing, games and visualisation, among themapplications where speed is more important than absolute accurary.

2.3.1. Complexity in Numerical Modelling

Figure 2.2: The three contributions to complexity.

Complexity is introduced to such a mathematical model through three dif-ferent properties [158] (see figure 2.2): First the size of the system. A modelconsisting of millions of equations (e.g., a FEM model) is surely more diffi-

34

Page 55: Complexity Reduction Techniques for Advanced MEMS

2

2.3. Computational Issues

cult to handle and takes more time to solve than an analytic expression basedon a simplified model, for example the capacitor model presented in the nextchapter. One reason for this large number of degrees of freedom can be acomplicated geometry with slender and filigree structures.

The second influence is the number of parameters. A model with few param-eters (e.g., geometry and design parameters, material properties, optimisationparameters, etc.) can be deduced from curve fitting or numerical and experi-mental observations. When the number of parameters grows, it becomes moredifficult to span the complete parameter space, since each parameter lets thenumber of possible variations grow in an exponential way.

Finally, nonlinearities lead to complex and often surprising behaviour andmay render many well-known techniques for linear systems useless.

When the complexity of a model is reduced, there is always a sacrifice:this can be the accuracy of the model or the possible range of application.A reduced model can work very well in a certain operating range, be it anamplitude or frequency range. Some applications can use some informationon restrictions on the possible input to tailor the reduced models to these need,reducing all unwanted redundancy. But without this sacrifice, it is often notpossible to simulate a model at all.

2.3.2. PDEs, Material and Geometry

The scaling effects often allow a simplification of the physical models. Oneexample is fluid flow: Due to the small size of devices, turbulence is rarelyobserved in microsystems. Thus, complicated turbulence models are not re-quired, flows are mostly laminar. Sometimes, device operate in small displace-ment ranges (e.g., an acceleration sensor which is kept in its zero position bythe electronics), so that all material constants and the geometry behave linear.

However, this is not always true. For example, semiconductors show highlynonlinear current transport. Since masses are small, heat transfer to the sur-rounding happens very fast; squeeze-film damping slows down moving struc-tures. Parasitic effects like capacitances play a much stronger role. And alsosurface effects are much more important. Even material properties are differ-ent for very thin plates, especially when composite layers of different materialare used. Small size material features like grains make predictions difficult,whereas for large systems they average out. Finally, state dependent materialproperties introduce nonlinearities into the equations.

Usually, microsystem geometry is built of simple elements like beams andplates due to the limits of the manufacturing processes. For many structures

35

Page 56: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

like cantilevers, there are analytic models available. But since often struc-tures are very slender, a standard 3D finite element mesh with an adequateelement size results in an exorbitant number of very small elements. Adaptivemeshing [159] can be a remedy, another possibility are special elements likeshell and beam elements. This can however only be used when geometriesare simple enough and even then, their number can be too large for a sys-tem simulator. Another example are acoustic simulations of, e.g., a surfaceacoustic wave (SAW) device, where, by a rule of thumb, six finite elementsper wavelength are required.

2.3.3. Coupling

What rises most concerns for an integral simulation are coupling effects. Theyare the main source for nonlinear effects in microsystems. This can be ei-ther the coupling between different energy domains as described above, e.g.,coupling the electrostatic domain to a mechanical system. But also inside ofone domain there is coupling, examples are geometric nonlinearities and thecoupling in the Navier Stokes equation, where displacements or velocities indifferent directions couple.

Momentum balance:

Navier Stokes:

Gauss’ Law:

Stress-Strain relations: σ = D εP0

ρs b0 x–( )=0+0∇

ρaDv

Dtp ∇ τ⋅+∇–=

∇ E⋅ ρ e ε⁄=

Figure 2.3: A MEMS RF switch (illustration).

The electrostatics-to-structure coupling is some especially interesting casebecause of the long reach of the electrostatic potential. Figure 2.3 shows anillustration of a device where many couplings are present. The device worksas an RF switch: If a voltage is applied between the upper plate and the innerplates on the bottom, the central part touches the conductor at the bottomof the device, and a (capacitive) contact forms between switch and conductor.This provides means to reliably switch high frequencies in the GHz range,

36

Page 57: Complexity Reduction Techniques for Advanced MEMS

2

2.4. Application Examples

-5

-4

-3

-2

-1

0

0 2 4 6 8 10

Cen

tral

dis

plac

emen

t [a.

u.]

Force [a.u.]

LinearNonlinear

Axial tension

Figure 2.4: A clamped-clamped beam’s force-displacement curve.

e.g., for radar systems to switch between sending and receiving circuitry orcell phones to switch between different frequency bands.

Already the purely mechanical part shows a coupling between the spacedimensions, which leads to the stress stiffening effect: the stiffness of thebeam in the z direction depends on the tension of the beam in the otherdirections. If the tension is strong, the device seems much stiffer in z directionthan in the case of compression or without stress. This leads to remarkabledifferences in the so called clamped-clamped beam case, as shown in figure 2.4:The setup consists of a beam with its ends mounted to a wall such that bothdisplacement and rotation is fixed. The two curves show simulation results ofthe displacement of the midpoint when a force is applied. The red curve showsthe result of a linear simulation; the equivalent spring constant of the devicedoes not depend on the displacement. In the case of a nonlinear simulationas shown in the green curve, there is a rapid change in the spring constant asthe displacement is beyond the beam thickness of 1.

2.4. Application Examples

The broadest application area for MEMS are certainly sensors [17, 131, 134].But also actuators find their way into consumer applications, be it the DMDfrom Texas Instruments [121], RF MEMS devices, or image stabilisers in digitalcameras which move the CCD sensor [160]. Also LASERs and light-emittingdiodes (LEDs) belong to this category.

In the following section, we describe the MEMS devices and structures weused for numerical experiments for model order reduction. Some are real-life

37

Page 58: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

devices, some of them already commercialised or close to mass fabrication. Inaddition, there are simplified examples that allow a comparison with analyticalmodels. All systems are (with the exception of the heat conduction model)second-order in time; no transformation to first order was performed.

In particular, we simulated and order-reduced models of the IBM AFM-based scanning-probe data storage device, the Imego butterfly gyro and theIRST RF switch. In addition, a wire bond model has been created to demon-strate the application on slender structures, and nonlinear heat conductionalong a beam has been tested.

2.4.1. IBM Scanning-Probe Data Storage Device

This device, which is also known under its internal project name “millipede”,is a famous example for a truly micro-electromechanical system. It is currentlydeveloped at the IBM Research Laboratory in Rüschlikon near Zürich [161].

The capability of an atomic force microscope (AFM) tip to not only observesurfaces at atomic scale but also modify them has motivated the creation ofa high-density data storage system. Data densities of 641 Gbit/in2 with rawbit-error rates better than 10−4 were successfully achieved [162]. Data den-sities of 1 TB/in2 have been demonstrated, the same range as that expectedfor magnetic perpendicular recording technology [163]. Whereas the magneticrecording storage density is fundamentally restrained by the superparamag-netic limit, the fundamental limit of AFM tips is in the scale of atoms andmolecules. This offers a long-term perspective for this technology. The chal-lenge of mechanical surface modification thus does not lie in the data density,but rather in the speed of writing and reading. The probes operate on amicrosecond timescale, which limits the applicability in data recording wherenanoseconds are common. Harddisks nowadays easily reach 50 MB/s.

With the ability of MEMS batch processes to create a large number of smallstructures at a time, the solution is massive parallelisation. Using an arrayof several thousand AFM tips operating in a highly parallel manner, datathroughput is increased by several orders of magnitude once the heads arepositioned, and the technology becomes competitive.

The system consists of an array of small cantilevers with a fine tip (seefigure 2.5), an electrostatic actuation system, a thin epoxy-based polymer andan integrated micromagnetic x/y scanner [162, 164]. The actual dimensionsused for the simulation are shown in table 2.2 and figure 2.6. The electrostaticactuation system deflects the cantilever until its heated tip touches the polymermedium. There, it creates tiny indentations which represent the stored data

38

Page 59: Complexity Reduction Techniques for Advanced MEMS

2

2.4. Application Examples

Figure 2.5: The setup of the scanning probe storage device (reprinted from [162]).

Cantilever thickness 0.5 µm– at thinned part 0.25 µm

Cantilever length 65 µmSpring constant of cantilever ≈ 0.05 N/mTip apex distance to polymer 800 nmTip radius ≈ 20 nmMedia thickness ≈ 100 nmCantilever pitch/field size 100 µm

Table 2.2: Properties of the simulated cantilever

Substrate

Lever length:

Hinge thickness: 250 nmTip node

65 µm

500 nmLever thickness:

800 nm

700 nmTip height:

Substrate-tip distance:

Figure 2.6: Dimensions of the probe.

39

Page 60: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

bits. By moving the polymer with the scanner, a raster of bits emerges. Thesize of this field is typically in the range of 100 µm, which is also the distance ofthe cantilevers. The design also contains structures for adjusting the distanceof the entire tip array to the medium. The chip and scanner are thermallyconnected which allows to maintain equivalent temperature to manage thermalexpansion.

Heaters ensure that the chip and the polymer medium are maintained atconstant temperature to manage thermal expansion.

The probe cantilever is made from phosphorous-doped silicon and consistsof a capacitive platform for the electrostatic actuation, structural elements,lower-doped parts serving as resistors, and the tip (see figure 2.7) [162]. Thepart of the cantilever where the structure is attached to the rigid supportstructure is thinned to serve as a hinge. On the free side, a tip is placed tocreate the depressions.

Figure 2.7: Scanning electron microscope (SEM) image of a single probe of the stor-age device. Left inset: Enlarged view of the tip. Right inset: Patternwritten by the probe with a storage density of 641 Gbit/in2 (reprintedfrom [162]).

When a voltage pulse is applied to the capacitive platform, a force is gener-ated that pulls the tip towards the polymer. Voltages below 20 V are sufficientto generate a force of 1 µN. Simultaneously, the resistors are heated.

In the write mode, a voltage pulse – applied between the middle and thethe outer electrodes – heats the write resistor, from which the tip protrudes.Simultaneously, a negative voltage pulse is applied to the polymer substrate.The tip’s temperature increases, and as the tip is pressed against the poly-mer due to the electrostatic force emerging between the cantilever and the

40

Page 61: Complexity Reduction Techniques for Advanced MEMS

2

2.4. Application Examples

substrate. A small pit is created to denote a “one” in the applied coding;then, the cantilever is released again to its equilibrium position. Erasing datais also possible in a similar manner: the track is planarized by moving theheated cantilever in a fast vibrating mode over the pits, so that the polymermedium reflows to create a smooth surface.

In read mode, the tip is heated by the read heater, which is operated at con-stant voltage. Because of the position of the heater, its geometry and a lowervoltage, the tip’s temperature is lower than in write mode, so that the poly-mer pattern is not modified. By applying a small voltage, the cantilever tipcontacts the polymer and follows the contour of the previously written inden-tations, and, like an almost rigid body, the height of the surrounding cantileverregion including the read resistor decreases accordingly. This influences theheat flow from the hot read resistor to the room-temperature polymer filmthrough the air gap. Moreover, the heat flow through the tip is larger at theindentation owing to the lower polymer thickness. These variations modulatethe temperature of the resistor and thus its electrical conductivity. This signalis measured, and the surface profile can be detected.

From a modelling and simulation viewpoint, this device is especially inter-esting because most energy domains and many couplings are important for itsfunction. There is electrostatic-mechanical coupling for the actuation, electriccurrent-temperature coupling for heating the tip, fluid-structure interactionfor the fluidic damping of the motion (thin-film damping [165]), and thermo-mechanical coupling for the polymer imprint. In addition, there is externalelectric circuitry to operate the device. It is possible to separate some of theseeffects if the cross-coupling is small enough. For example, the damping is de-scribable by a simplified damping model as Rayleigh damping. Furthermore,the influence of temperature on the mechanical properties could be neglected:the oscillation of the cantilever is on a much smaller timescale than the heat-upand thus material properties can be considered constant.

The normal operation mode resembles a pull-in like behaviour, since the tipis moved until contact takes place. However, only a small part of the tip is incontact to the substrate. On the other hand, when the applied voltage is toohigh, the electrostatic actuation platform may also experience pull-in. Thedevice and its circuitry design must avoid this situation.

For a system simulation of the device, compact modelling must be used. Inthis work, we will apply model order reduction to the electromechanical part,in particular the electrostatic deflection of the cantilever. The simulation andmodel order reduction were performed in an ongoing cooperation betweenIMTEK and IBM [11].

41

Page 62: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

2.4.2. Imego Butterfly Gyro

Figure 2.8: 3D Visualisation of the Imego butterfly gyro.

The butterfly gyro is developed at the Imego Institute in an ongoing projectwith Saab Bofors Dynamics AB1. The butterfly is a vibrating micro-mechanicalgyro that has sufficient theoretical performance characteristics to make it apromising candidate for use in inertial navigation applications. The goal ofthe current project is to develop a micro unit for inertial navigation that canbe commercialised in the high-end segment of the rate sensor market. Theproject has also included the manufacturing of an ASIC, named µSIC, thathas been especially designed for the sensor (figure 2.9).

Figure 2.9: The Imego butterfly gyro. Left: Finite element mesh of the gyro witha background photograph of the gyro wafer pre-bonding. Right: Thebutterfly and µSIC mounted together.

1Parts of this text and figures 2.9 and 2.10 are courtesy of Dag Billger from the Imegoinstitute

42

Page 63: Complexity Reduction Techniques for Advanced MEMS

2

2.4. Application Examples

The gyro chip consists of a three-layer silicon wafer stack, in which the mid-dle layer contains the sensor element. The sensor consists of two wing pairsthat are connected to a common frame by a set of beam elements. This is thereason the gyro is called the butterfly. Since the structure is manufacturedusing an anisotropic wet-etch process, the connecting beams which hold thewings are slanted. Now, when the wings are actuated out-of-plane, this im-poses an in-plane rotational mode due to the elastic deformation of the beam.This makes it possible to keep all electrodes, both for capacitive excitation anddetection, confined to one layer beneath the two wing pairs. The excitationelectrodes are the smaller dashed areas shown in figure 2.10. The detectionelectrodes correspond to the four larger ones.

By applying DC-biased AC-voltages to the four pairs of small electrodes,the wings are forced to vibrate in anti-phase in the wafer plane. This isthe excitation mode. As the structure rotates about the axis of sensitivity(fig. 2.10), each of the masses will be affected by a Coriolis acceleration.

Detection axis

Sensitivityaxis

Excitation axis

Coriolis acc.Coriolis acc.

Figure 2.10: Schematic layout of the butterfly design.

Let us assume that a point P is subject to a rotation with the angularvelocity Ω and define a local coordinate system with its origin in the centreof the rotation. At this instant in time, its axes are parallel to the global frameof reference. The absolute acceleration a of a point is then given as

a = a0 + Ω × r︸ ︷︷ ︸tangential acc.

+Ω × (Ω × r)︸ ︷︷ ︸centripetal acc.

+ 2Ω × v rel︸ ︷︷ ︸Coriolis acc.

+a rel, (2.1)

43

Page 64: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

where a0 is the acceleration of the origin of the local coordinate system (in-ertial frame), r is the position in the rotating coordinate system, v rel is therelative velocity of the point in the rotating coordinate system and a rel is therelative acceleration in the rotating coordinate system. Let us assume that r

consists of a fixed reference and a time-varying part, so that r = r0 + ∆r(t).Hence, v ref = ∆r and a ref = ∆r . Then, equation 2.1 reads

a = ∆r︸︷︷︸relative acc.

+ 2Ω × ∆r︸ ︷︷ ︸gyroscopic coupling

+ Ω × ∆r + Ω × (Ω × ∆r)︸ ︷︷ ︸inertial stiffness

+

a0 + Ω × r0 + Ω × (Ω × r0)︸ ︷︷ ︸inertial load

.

This acceleration can be represented as an inertial force. The Coriolis forceinduces an anti-phase motion of the wings out of the wafer plane. This is thedetection mode. The external angular velocity can be related to the amplitudeof the detection mode, which is measured via the large electrodes.

When planning for and making decisions on future improvements of thebutterfly, it is of importance to improve the efficiency of the gyro simulations.Repeated analyses of the sensor structure have to be conducted with respect toa number of important issues. Examples of such are sensitivity to shock, linearand angular vibration sensitivity, reaction to large rates and/or acceleration,different types of excitation load cases and the effect of force-feedback.

The use of model order reduction indeed decreases runtime for repeatedsimulations. Moreover, the reduction technique enables a transformation ofthe FE representation of the gyro into a state space equivalent formulation.This will prove helpful in testing the model based Kalman signal processingalgorithms that are being designed for the butterfly gyro. The butterfly ismade from single crystal silicon, thus an orthotropic material model is used.Table A.1 on page 223 lists the basic properties of the ANSYS model.

2.4.3. IRST RF Switch

This is an example of a radio frequency shunt switch. The geometry andworking principle are shown in figure 2.11 : By applying a bias voltage, thetop beam is pulled onto the substrate until touch down occurs. On the bottomis a contact for the RF signal, so that upon touch down the switch is closed.As soon as the actuation voltage is lower than a certain limit, the elastic forcemoves the bar up to away from the contact, and the switch is open.

Holes in the beam help to decrease the amount of air damping which allowsfor a shorter switching time. This concept is even more important in the case

44

Page 65: Complexity Reduction Techniques for Advanced MEMS

2

2.4. Application Examples

Figure 2.11: The RF switch model. Left: Schematic picture. Right: Schematic pic-ture of switch actuation. The centre bar with holes is electrostaticallyactivated to touch down on the lower electrode. Courtesy of LorenzaFerrario.

of resonating MEMS, where damping has a severe impact on the Q-factor andthus the device performance [166].

Figure 2.12: The first three vibrational eigenmodes of the RF switch model.

A dielectric layer separates the two electrodes, so that no ohmic contactoccurs and there is almost no power consumption of the bias voltage sourceonce the switching process is completed. In general, the properties, advan-tages and disadvantages of this type of switch are in between those of purelyelectronic switches and macroscopic relays, be it its switching speed of severalmicroseconds, the cost which is mainly determined by hermetic packaging,the small size, the reliability which is in the range of several billion cycles, thevery high isolation due to the spatial separation of the RF conductors (up to40 dB) and low insertion loss (0.15 dB) and the linearity. The switches are,depending on type, actuated with voltages between under 10 and 80 V. Thepower handling capacities (several hundreds of milliwatts) are lower than fortransistors, but still in a reasonable range. As advantages over macroscopic

45

Page 66: Complexity Reduction Techniques for Advanced MEMS

2. MEMS Actuators

shunts and electric switches there is the almost zero power consumption oncethe switching process is completed [167].

Table A.2 on page 223 shows the properties of the ANSYS model, figure 2.12on the previous page the geometry and the first three eigenmodes. The lengthof the beam is 600 µm and its width 100 µm. It is composed of two layers ofthickness t1 and t2 with respective elastic moduli E1, E2 and Poisson’s ratiosν1 and ν2, which are represented in the finite element model through a speciallayered 2D element type. The beam is clamped on both front sides. Four holesof diameter 50 µm are cut in the beam at 1/8, 3/8, 5/8 and 7/8 of the beamlength to reduce damping.

2.4.4. Bondwire Model

We created this rather simple model of a gold wire to demonstrate model orderreduction of a slender, long structure which gives rise to a number of rod-likeeigenmodes, and to experiment with the preservation of Rayleigh dampingparameters. The structure consists of a gold wire which could be used forconnecting a micro chip to the lead frame. The geometry data is estimatedfrom pictures of real bonds. The wire is fixed on both of its ends. A pressureis applied to the first bend as shown in figure 2.13 .

Table A.3 on page 224 shows the properties of the ANSYS model.

2.4.5. Heat Transfer Model

This model serves as a benchmark for nonlinear model order reduction offirst-order ODEs. It is used to demonstrate the introduction of material non-linearities. The geometry is chosen as a rather simple one-dimensional (1D)beam with length L and cross sectional area A. The nonlinear heat conduc-tivity κ is represented by a polynomial in temperature T (x, t) of arbitrarydegree n

κ(T ) = a0 + a1T + · · · + anT n =

n∑

i=0

aiTi.

The right end of the beam (at x = L) is fixed at ambient temperature. Themodel features two inputs, a time-dependent uniform heat flux f at the leftend (at x = 0) and a time dependent heat generation rate (heat source) Qalong the beam (see figure 2.14).

Section 3.8.1 on page 87 describes how to discretise this system. The mate-rial properties are given in table A.4 on page 224.

46

Page 67: Complexity Reduction Techniques for Advanced MEMS

2

2.4. Application Examples

51

5

1000

665

R=105 45=30

Output

nodesApplied

pressure

Fixed areas

x

x

z

zy

Figure 2.13: The bond wire model. Left: 3D Visualisation. Right: Sketch withFEM mesh and geometry data (micrometre units).

Heat Source (Q = u1)

Heat flux

(κ dT/dx = u2)

Heat sink (T = 0)

Figure 2.14: The modelled beam with heat flux inputs and heat sink.

Chapter summary

• MEMS development requires a different development approach as macroscaledevices, including early simulation.

• The system as a whole must be taken into account at different levels ofdetail; means to connect between the levels are important.

• The transducer property is fundamental to MEMS; modelling must takeinto account coupling effects.

• Scaling effects present further issues; special small-scale models can benecessary.

• These effects cause complexity in the modeling and simulation process;complexity reduction is thus an essential part of MEMS simulation.

• The algorithms are applied to a number of application examples, bothreal industry examples and academic examples demonstrating specialproperties

47

Page 68: Complexity Reduction Techniques for Advanced MEMS

48

Page 69: Complexity Reduction Techniques for Advanced MEMS

2

Part II.

Theory

49

Page 70: Complexity Reduction Techniques for Advanced MEMS
Page 71: Complexity Reduction Techniques for Advanced MEMS

33. Modelling and Simulation of MEMS

“Everything you say is boring and incomprehensible”, she said,“but that alone doesn’t make it true.”

Franz Kafka (1883–1924)

In this chapter, we discuss the modelling and simulation basics with a viewto the MEMS examples we use as test cases: numerical methods, the fun-

damental equations and examples of the discretisation by the finite elementmethod .

3.1. Notation and Basic Theory

Let us first define the notation and present results from mathematical theorywhich will be used in this thesis.

3.1.1. Symbols and Notation

In addition to the symbols defined in the nomenclature, we will use the sym-bols listed in table 3.1 on the next page. Further, where it contributes tounderstanding and clarity, we will use abstract index notation, an extensionto the Einstein summation convention, where

∑ki=0 αia i in denoted as αia i

where the following rules apply:

• All index variables which appear twice at only one side of the equalitysign mean summation over all possible values of this variable

• The control variable of the summation is typeset in a typewriter stylefont (i instead of i)

• Indices which appear on both sides of an equation are not summed over(except in the course of algebraic manipulations)

For describing the asymptotic behaviour of a function, be it the runtime ofan algorithm or the magnitude of an error, we use the O notation or Landau

51

Page 72: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

Table 3.1: Symbols and notation

Notation Meaning

a scalar variable or functiona , ~ω vectorA matrixIn identity matrix of size n (size is optional)ai, Aij ith component of a vector/(i, j)th component of a matrixaT , AT transposed vector/matrix, aT

1i = ai/ATij = Aji

a∗, A∗ conjugate transpose, a∗1i = ai/A∗ij = Aji

a · b dot product of two vectors/matrices (= aTb)a × b cross product of two vectors|a| absolute value|a | Euclidean norm|A| determinant‖a‖ norm∇ (∂/∂x1, ∂/∂x2, . . . )

T

x derivative with respect to time (= dx/dt)mina b minimise b with respect to aiff if, and only if (equivalency)∃a : b there exist a such that b∀ for all. . .

δij Kronecker delta symbol, δij =

1 if i = j

0 if i 6= j

cmd command, datastructure, filename

52

Page 73: Complexity Reduction Techniques for Advanced MEMS

3

3.1. Notation and Basic Theory

notation. For runtimes of algorithms, we say that f(x) = O(g(x)) as x → ∞iff ∃x0,∃M > 0 such that |f(x)| ≤ M |g(x)| for x > x0. For approximationerrors, we define this notation in a related but somewhat inverted way: wesay that f(x) = O(g(x)) as x → 0 iff ∃x0,∃M > 0 such that |f(x)| ≤ M |g(x)|for x < x0. These definitions are equivalent if we replace x by 1/x in either ofthem. A function R(s) is O(λ − s)k in s with k ∈ Z iff its Taylor expansionabout the point λ can be written as

R(s) =∞∑

i=k

ri(λ − s)i

where the ri are constant and vanish for i < k.

3.1.2. Linear Algebra

Let a1, . . .ak ∈ Cn and A = [a1 . . . ak]. A linear combination over C of

those vectors is defined by∑k

i=0 αia i with αi ∈ C. The span(a1, . . . ,ak) of aset of vectors a i is the subspace generated by all linear combinations of thesevectors. The image ℑ(A) of a matrix A is the span of its columns. A set ofvectors a1 . . .ak is linearly dependent iff ∃α1 . . . αk ∈ C : αia i = 0 such thatnot all αi are zero. A set of linearly independent vectors a1 . . .ak ∈ C forma basis for a subspace S ⊆ C of dimension dim S = k, where S is the span ofthe a i.

The rank of a matrix A is the dimension of its image, or in other wordsthe number of linear independent vectors in the matrix. A rectangular matrixA ∈ C

m×n has full row rank iff m ≤ n and rank(A) = m and full column rankiff n ≤ m and rank(A) = n; a square matrix A (m = n) is nonsingular iff it hasfull rank. The left inverse A−1 of a matrix is the matrix for which A−1A = I;the right inverse A−1 of a matrix is the matrix for which AA−1 = I.

A square matrix is hermitian, iff it is equal to its conjugate transpose AH

(also called hermitian transpose); iff it is equal to its transpose, it is calledsymmetric. A matrix A is positive (semi)definite iff ∀C

n ∋ x 6= 0 : x ∗Ax > 0(x ∗Ax ≥ 0). It is unitary iff A∗ = A−1.

The determinant detA ≡ |A| is a scalar number associated to each squarematrix, where a determinant of zero means that the matrix is singular. Thedeterminant of a matrix A = [a11] with one row and column is equal to |a11|.

The nontrivial (i.e., nonzero) solutions x i, λi to the equation Ax i = λix i,where A is square, are called the (right) eigenvectors and eigenvalues of A;the nontrivial solutions x i to the equation xT

i A = λixTi are called the left

53

Page 74: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

eigenvectors. The eigenvalues can be calculated as the roots of the charac-teristic polynomial det(λI − A). A matrix is Hurwitz iff all eigenvalues havenegative real part.

The singular value decomposition (SVD) is closely related to the eigenvaluedecomposition. The main differences of SVD compared to eigenvalue decom-position are as follows [168]: SVD can be computed for non-square matrices Aand remains within real number arithmetic for real matrices. Further, the leftand right singular vectors are each orthogonal also for asymmetric matrices.Finally, a right-singular vector vk and its image Avk need not be in the samedirection or even in spaces of the same dimension.

For any matrix A ∈ Cm×n, there exist unitary matrices U ∈ C

m×m andV ∈ C

n×n such that A = UΣV∗ where Σ = diag(σ1, . . . , σn) is a diagonalmatrix of the singular values of A which are real and non increasing scalars,i.e., σ1 ≥ . . . ≥ σn. In the case of symmetric matrices, U = V. The singularvalues are the square roots of the eigenvalues.

The singular values are important for the approximation properties of ma-trices: The best approximation of a matrix A ∈ C

m×n of rank r by a matrixB ∈ C

m×n of rank s < r is given as

minrank B≤s ‖A− B‖2 = σs+1(A).

The q-th right Krylov subspace for a matrix A and a vector b is defined by

Krrq(A, b) = span(b ,Ab , . . . ,Aq−1b),

the q-th left Krylov subspace by

Krlq(A, b) = span

(b,ATb, . . . ,

(AT)q−1

b).

If the vector b is in fact a matrix, the operations are repeated on all columnsof b.

3.2. Structure of Equations

Equations describing physical laws have characteristic properties which allowto classify them and also have an impact on the computational proceduresused to solve them. Let us review some of the basic classifications.

Equations with only ordinary derivatives involved are called ordinary dif-ferential equations, equations with partial derivatives are partial differentialequations; partial derivatives are derivatives of one variable while all other

54

Page 75: Complexity Reduction Techniques for Advanced MEMS

3

3.2. Structure of Equations

variables are kept fixed at the operating point. More exact, the function de-scribed by the PDE depends on at least two variables, and there are derivativesof at least two variables present. The PDE

a∂2u

∂x2+ b

∂2u

∂x∂y+ c

∂2u

∂y2+ d

∂u

∂x+ e

∂u

∂y+ f = 0

(the coefficients may depend on x and y) is said to be

• elliptic if 4ac − b2 > 0, which is the case for the Poisson and Laplaceequation describing, e.g., stationary heat conduction,

• parabolic if 4ac − b2 = 0, which is the case for diffusion equations, e.g.,the transient heat conduction, and

• hyperbolic if 4ac − b2 < 0, which is the case for the wave equation.

ODEs often contain the time derivative du(t)/dt or u(t), representing a rateof change of a quantity depending on other quantities. Depending on the orderof the time derivatives, we distinguish between stationary equations (no timederivative), first order equations with the first time derivative only, and secondorder equations with the second time derivative. The type of PDE has alsoan influence on boundary conditions (values and spatial derivatives specifiedat the border of the simulation domain) and initial values (values and timederivatives specified at the start of the simulation). The most important typesof boundary conditions are:

• Dirichlet boundary conditions, which specify the value of u on the border∂Ω of the simulation domain Ω: u(x , t) = ud(x ) for x ∈ ∂Ω.

• Neumann boundary conditions, which specify a flux on the boundary,e.g., grad u(x , t) = fn(x ) for x ∈ ∂Ω.

• Robin (mixed) boundary conditions, which couple a Neumann and Dirich-let boundary condition, e.g., grad u(x , t) + α(x )u(x , t) = β(x ) for x ∈∂Ω.

Cauchy (initial) conditions are of the form u(x , 0) = ui(x ) or du(x , 0)/dt =vi(x ) for x ∈ Ω. Different types of PDEs require different boundary conditionsto be well-posed, in particular:

• Elliptic PDEs require a Dirichlet boundary condition and allow to useNeumann and Robin boundary conditions.

55

Page 76: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

• Parabolic and hyperbolic PDEs require Cauchy initial conditions andsome boundary condition.

The numerical solution of PDEs requires discretisation in time and space,i.e., from a continuous description with an infinite number of unknowns to afinite number of unknowns.. Those discretisation methods will be discussedlater in this chapter.

Usually, we will encounter ODEs with a special structure. Consider forexample the PDE for an elastic body

f I + f D + f S = bu(t),

with f I the force caused by inertia, f D the damping force, f S the elastic forceand bu(t) external forces depending on user input and varying in time.Thiswill be transformed into an ODE with a finite number of degrees of freedom andmatrices to couple the states x (t) , velocities x (t)= dx (t)/dt and accelerationsx (t)= d2x (t)/dt2.

We will use:

• for a first order linear ODE system (Examples: Heat conduction, diffu-sion phenomena):

Ex (t) = Ax (t) + Bu(t) + b + Ff (t,x ,u)

y(t) = Cx (t) + Du(t) + d + Gg(t,x ,u) (3.1)

where u : R 7→ Rm is called the input of the system, y : R 7→ R

p thesystem’s output , B ∈ R

n×m the load , input or scatter matrix , C ∈ Rp×n

the output or gather matrix , D ∈ Rp×n the straight-way matrix (zero for

most real systems), and x : R 7→ Rn is the state vector , which captures

the internal state of the system. The system matrices E ∈ Rn×n and

A ∈ Rn×n are the place where geometry and material properties enter

the equation. f and g are vector valued nonlinear functions. We want toavoid zero entries in f and g and thus use matrices F and G to scatterthe nonlinear parts to the equations; t is the time. Since the constantvectors b and d can be written as columns of B and D for a constantinput with value 1, we will in the following not write them explicitly.

• for a second order linear ODE system (Examples: Structural mechanics,electromagnetism):

Mx (t) + Ex (t) + Kx (t) = Bu(t) + b + Ff (t,x , x ,u)

56

Page 77: Complexity Reduction Techniques for Advanced MEMS

3

3.2. Structure of Equations

y(t) = Cx (t) + Du(t) + d + Gg(t,x ,u).(3.2)

Since this kind of system occurs in structural simulations, the systemmatrices are named after their physical origins: M ∈ R

n×n the massmatrix , E ∈ R

n×n the damping matrix or gyrator matrix , and K ∈ Rn×n

the stiffness matrix .

The modelling of transient behaviour further requires the specification ofinitial conditions, i.e., the state of the system at the start of the simulationx (0), and, for a second order system, x (0).

The last differentiation we want to mention is the classification in linear andnonlinear systems. We call an equation linear with respect to the dependentquantity if this quantity or its derivatives do only occur in a linear combina-tion. In other words, linear systems are those whose behaviour is expressibleas a sum of the behaviours of its descriptors. They obey the principle ofsuperposition:

Additivity: u(x + y) = u(x) + u(y)

Homogeneity: u(αx) = αu(x).

This is not the case for nonlinear systems. An example of a nonlinear systemis a capacitor which is mounted on a spring, see section 3.7.2 on page 79; there,we also discuss some consequences of its nonlinearity.

As a container for these equations, we defined a file format [2] based onthe Matlab [169] file format, since this tool is used for numerical system the-ory by many scientists and engineers and also free implementations of thelanguage [170] exist. The format is called Dynamical Systems InterchangeFormat (DSIF ). Based on the equations (3.1) and (3.2), both matrix data andnonlinear functions can be specified. The complete description of the formatcan be found in the appendix, chapter C on page 233.

3.2.1. Newton Raphson Procedure

The Newton Raphson method is an algorithm to solve nonlinear equations.Assume we have the equation

f (x ) = 0, f : Cn 7→ C

m. (3.3)

Develop this into a Taylor series, truncating it after the first derivative:

f (x 0) +∂f

∂xi

(x 0)∆xi = 0.

57

Page 78: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

Using the Jacobian Jij = ∂fj/∂xi we get

∆x = −J−1x 0.

This is the increment for x ; by repeating this step and if f fulfils certain prop-erties, we finally converge to the solution of (3.3). In summary, the NewtonRaphson solution algorithm (or Newton method) has the following structure:

1. Choose a starting point x := x 0.

2. Repeat until convergence is reached, i.e., ‖f (x )‖ < ǫ1 or/and ‖∆x‖ < ǫ2:

a) x := x − J−1x

b) Update J

If the update in step 2b is too expensive, it can also be delayed for a numberof iterations. This is the modified Newton-Raphson, which leads to slowerconvergence, but faster individual iteration steps.

3.2.2. Homotopy Methods

There are certain problems which may lead to non-convergence of the Newtonalgorithm; the process can oscillate, diverge or miss solutions, and the choiceof a starting point can be very critical. One example is the equilibrium posi-tion of the movable capacitor due to the inversion of force. Another exampleis the solution of arctan(x) = 0, where the Newton Raphson method fails toconverge if the starting value x0 is chosen larger than about 1.34. Dampingthe Newton update (i.e., multiplying the update by a number 0 < c < 1) mayhelp, but slows down the solution process. There are a number of advancedsolution methods, namely path following schemes/continuation methods (e.g.predictor corrector schemes) [171], homotopy methods or the Riks-Crisfieldtechnique [172], which are able to cope with specialities of nonlinear systemslike snap-through/pull-in and hysteresis effects. Homotopy methods and pathfollowing are combined to very robust solvers for tough nonlinear problems.In the following, we will present the homotopy method, also known as contin-uation method or successive loading method :

Take another function g(x ) with a known solution x ∗. The homotopymethod adds another variable to the system, the homotopy parameter s, toconstruct the function

h(x , s) = sf (x ) + (1 − s)g(x ).

58

Page 79: Complexity Reduction Techniques for Advanced MEMS

3

3.2. Structure of Equations

For s = 0, h(x , 0) is the problem with known solution, for s = 1, h(x , 1) isthe original problem.

Now, we choose a sequence 0 = s0 < s1 < · · · < sk = 1. For each si, wesolve the system with the Newton Raphson method; as starting point, we usethe solution for the previous value of s, x si−1

; finally, the solution for sk = 1is the solution of the original problem. The question remains how to choosethe si.

3.2.3. Path Following Schemes

Path following, also known as numerical continuation, is a method to follow asolution curve c which is implicitly defined by an under-determined system ofequations [171]. The path following can be performed in such a way that thearclength of each step is limited; by this way the si are automatically chosensuch that the variation of the solutions x si

is limited.Let c : J 7→ R

n+1 be an arclength parametrisation of c and smooth whereJ is an open interval including zero, let u0 ∈ R

n+1 be a regular point ofh such that h(u0) = 0, and let J be the Jacobian of h ; then the tangentt(J(c(s))) = c(s) of the curve is determined by the system of equations

c(0) = u0

J(c(s))c(s) = 0

|c(s)| = 1

det

(J(c(s))c(s)H

)> 0

The solution curve can the be obtained by integration of the initial valueproblem u = t(J(u)), u(0) = u0. However, another approach is more efficient,since it uses the fact that h(s) = 0. The typical path following method consistsof the succession of the following steps:

1. Predictor step: Perform an integration step, usually along the tangentof the curve.

2. Corrector step: Use one or more iterative steps the bring the predictedpoint back to the curve, for example with a Newton method, by solvingfor h(u) = 0.

This approach can fail when the tangent becomes zero. For example, bifurca-tions cause singular points; it is also necessary to decide which of the differentbranches the algorithm should follow. This is called branch switching. In[171, 173], means to deal with these problem are discussed.

59

Page 80: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

3.3. Numerical Time Integration

Take the equations

Ex (t) = Ax (t) + f (3.4)x (0) = x 0

for a first-order system and

Mx (t) + Ex (t) + Kx (t) = f (3.5)x (0) = x 0

x (0) = v 0

for second order systems. We would like to integrate these equations fromt = 0 to t = tend in steps of ∆t.

3.3.1. First Order Systems

For first order systems we can use the generalised trapezoidal rule [174]

x (t + ∆t) ≈ x (t) + (1 − θ)∆tx (t) + θx (t + ∆t).

Depending on the choice of θ, this is either a purely explicit scheme (θ = 0,corresponding to a naive implementation), a purely implicit scheme (θ = 1,the backward Euler method) or mixed (e.g., θ = 1/2, the Crank-Nicholsonmethod). The scheme is unconditionally stable for 1/2 ≤ θ ≤ 1.

For nonzero θ, the corresponding algorithm can be written as

1. t := 0, x := x 0, v := E−1 (Ax 0 + f (0))

2. x old := x

3. x :=(

1θ∆tE − A

)−1 (−f (t) + E(

1θ∆t x + 1−θ

θ v))

4. v := 1θ∆t (x − x old) − 1−θ

θ v

5. t := t + ∆t

6. Repeat from 2. until t ≥ tend

Another related family of integrators are Runge-Kutta methods [175].

60

Page 81: Complexity Reduction Techniques for Advanced MEMS

3

3.3. Numerical Time Integration

3.3.2. Second Order Systems

Let us first give a naive implementation of a second order time integrator:

1. t := 0, x = x 0 and v := v 0

2. v := M−1(v − Ev − Kx + f (0))

3. x := x + v

4. t := t + ∆t

5. Repeat from 2. until t ≥ tend

Verlet Algorithm

It turns out that the naive implementation leads to large errors compared tothe true solution. A better idea is to take the Taylor expansions

x (t + ∆t) = x (t) + x (t)∆t +1

2x (t)∆t2 +

1

6∆t3 + O(∆t4)

x (t − ∆t) = x (t) − x (t)∆t +1

2x (t)∆t2 − 1

6∆t3 + O(∆t4)

and adding them, which gives

x (t + ∆t) = 2x (t) + x (t)∆t2 + O(∆t4) − x (t − ∆t)

This is the Verlet algorithm [176, 177]; x is calculated from (3.5). An imple-mentation which avoids storing old values of x is the velocity Verlet scheme:

1. t := 0, x := x 0, v := v0, a := M−1(−Ex (0) − Kx (0) + f (0))

2. x := x + v∆t + 12 a∆t2

3. v := v + 12 a∆t

4. a := M−1(−Ev − Kx + f )

5. v := v + 12 a∆t

6. t := t + ∆t

7. Repeat from 2. until t ≥ tend

61

Page 82: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

However, the position Verlet scheme has stability advantages in certain ap-plications [178]. The Verlet algorithm is symplectic [179], and thus conservestotal linear and angular momentum. It is an explicit algorithm, i.e., it usesonly information of the current time and does not consider future time steps,and this puts certain limits on the time step size.

Newmark Algorithm

The Newmark integrator on the other hand takes into account the quantitiesat future timesteps; it is an implicit integrator . From the mean value theorem,it assumes that the velocity (the first time derivative of the state) is [180]

x (t + ∆t) ≈ x (t) + [(1 − δ)x (t) + δx (t + ∆t)] ∆t

x (t + ∆t) ≈ x (t) + x (t)∆t +

[(1

2− α

)x + αx

]∆t2

This leads to the following algorithm [126]:

1. t := 0, x := x 0, v := v0

2. a := M−1(−Ex (0) − Kx (0) + f (0))

3. Set some constants:

a0 := 1/(α∆t2

)a1 := δ/ (α∆t)

a2 := 1/ (α∆t) a3 := 1/ (2α) − 1

a4 := δ/α − 1 a5 := ∆t (δ/α − 2) /2

a6 := ∆t(1 − δ) a7 := δ∆t

4. x old := x , aold := a

5. x := (a0M + a1E + K)−1

(f + M(a0x + a2v + a3a) + E(a1x + a4v + a5a))

6. a := a0(x − x old) − a2v − a3a

7. v := v + a6aold + a7a

8. t := t + ∆t

9. Repeat from 3. until t ≥ tend

62

Page 83: Complexity Reduction Techniques for Advanced MEMS

3

3.3. Numerical Time Integration

It can be shown that the algorithm is unconditionally stable for [181]

α >1

4

(1

2+ δ

)2

δ ≥ 1

21

2+ δ + α > 0.

Further, for a choice of α = 1/4 and δ = 1/2, we get the constant averageacceleration method , which does not show any numerical damping of the result.

Since this algorithm is implemented in ANSYS, it is very useful for compar-ing results across software packages. Figure 3.1 compares the different schemesfor ∆t = 0.8, M = 1, E = 0.2, K = 1, f = 2, x 0 = 1 and v 0 = 0.5. Weobserve that the simple algorithm cannot recover from the error made duringthe first few timesteps.

1

1.5

2

2.5

3

3.5

4

0 5 10 15 20

x

Time

Analytic solutionSimpleVerlet

Newmark

Figure 3.1: Comparison of different time integration algorithms

3.3.3. Conversion to First Order

The first order methods can also be used for second order systems after con-verting them to first order. In matrix notation, the conversion to first order

can be performed by introducing new variables y =

(x

x

)and then writing

[M 00 S

]y +

[E K

−S 0

]y =

(f

0

)

63

Page 84: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

where S is a nonsingular matrix. The new system translates to

Mx + Ex + Kx = f

Sx − Sx = 0

which obviously is the same equation as equation (3.5) on page 60. One couldchoose the identity matrix, but by choosing S = −K, symmetric matricesresult for which a larger number of linear solvers exists.

3.3.4. Nonlinear Systems

For nonlinear systems, M, E, K and f may depend on the states x , or theymay be time dependent. In the latter case, it is required to update the matricesand vectors after each timestep. For the former case, in addition, it is necessaryto use a nonlinear solver. Let us demonstrate this on the example of step 5 ofthe Newmark algorithm (the other steps remain).

We need to solve the following equation for x :

(a0M(x ) + a1E(x ) + K(x )) x − (f (x ) + M(x )(a0x + a2v + a3a)+

E(x )(a1x + a4v + a5a)) = 0

Rewriting this equation in the form

f (x ) = 0,

we can use, e.g., the Newton Raphson scheme (section 3.2.1 on page 57) orthe homotopy method with path following to solve for x .

3.4. Harmonic and Modal Analysis

Now, we would like to see the response of equation (3.5) on page 60 to aharmonic excitation f = f 0e

iωt, where ω = 2πf is the angular frequency.Due to the linearity of the system, we expect that the solution is of the

same form, x = Aeiωt with possibly complex1 amplitude A. By inserting thisin (3.5), we get:

−MAω2eiωt + iEAωeiωt + KAeiωt = f 0eiωt,

1This is the case for damped systems.

64

Page 85: Complexity Reduction Techniques for Advanced MEMS

3

3.5. Lagrangian Mechanics

and, after cancelling the (always nonzero) eiωt:

(−ω2M + iωE + K)A = f 0.

so that

A = (−ω2M + iωE + K)−1f 0.

is the harmonic response of the system.For the undamped system we can also calculate the free vibrations, for which

−MAω2eiωt + KAeiωt = 0,

leading to the eigenvalue problem

(−ω2M + K)A = 0.

This system has the trivial solution zero. For the nontrivial solutions (theeigenmodes), the angular vibration frequency is given by the square rootsof the eigenvalues, the mode shape by the eigenvectors. Dirichlet boundaryconditions must be applied, otherwise spurious rigid body modes arise.

3.5. Lagrangian Mechanics

The Lagrangian mechanics provides a powerful framework which takes the en-ergies and coenergies of a holonomic system and returns both the equationsof motion and coupling effects almost automatically, which is of great impor-tance for transducers. It can also serve as a tool to derive a finite elementdiscretisation [182–184]. The main idea is that a system which follows a phys-ical motion should minimise a certain functional, the variational indicator.Details of the origins and derivation are given in section B.1 on page 225. Inshort, the necessary steps are the following:

1. Choose n generalised coordinates qj. Then, the degrees of freedom needto be determined, i.e., the possible infinitesimal motions of the system. Ifthe number of DOFs is equal to the number of coordinates, the system iscalled holonomic and can be treated with the framework as shown here.

2. Determine the kinetic coenergies T ∗ and potential energies V in terms ofthe generalised coordinates. Define the Lagrange function L = T ∗ − V .Include electric and magnetic energies if necessary.

65

Page 86: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

3. Determine the generalised forces Ξqj=∑N

i=1 F i · (∂r i/∂qj), where r i(q , t)are the real displacements in terms of generalised coordinates, from thevariation of nonconservative work δW nc.

4. The Euler-Lagrange equations returns the equations of motion:d

dt

∂L∂qj

− ∂L∂qj

= Ξqj∀j = 1 . . . n. (3.6)

This approach is not limited to particle systems, but finds a wide applica-tion also for the discretisation of continuous (in contrast to lumped parameter)systems, electric circuits and quantum mechanics. Large benefits can be ex-pected for coupled systems, where coupling forces result from the equations ina very natural and automated way: E.g., for coupling a circuit to a mechanicalsystem (using charge variables), is suffices to write the Lagrangian in the form

L = T ∗ − V + W ∗m − We

where W ∗m is the magnetic coenergy and We is the electric energy.

The Lagrangian formalism is extended to continuous systems by the use of aLagrangian density integrated over the volume of the system. The generalisedcoordinates qi(t) are now the fields

qj : q(x , t)

distributed over space.Forces can be localised (zero-dimensional), a line pressure (1D), an areal

pressure (2D) or a body force (3D) and contribute to the non-conservativework increment. The kinetic energy is found by integration of the momentumper unit volume over the volume Ω:

T ∗ =

Ω

1

2

(∂r(q(x , t), q(x , t))

∂t

)2

dΩ.

The potential strain energy is

V =

Ω

1

2σT ǫ dΩ.

where σ is the vector representation of stresses and ǫ is the vector represen-tation of strains. The Euler-Lagrange equations then become

∂t

∂L∂qj

+∑

i

∂xi

∂L∂(∂qj/∂xi)

− ∂L∂qj

= 0 ∀j

with functional differentiation of the Lagrange density replacing ordinary dif-ferentiation of the Lagrange function.

66

Page 87: Complexity Reduction Techniques for Advanced MEMS

3

3.6. Structural Continuum Mechanics

3.6. Structural Continuum Mechanicsreplacemen

σx

σy

σz

x

y

z

τxy

τxz

τyx

τyzτzx

τzy

Figure 3.2: Surface stresses on a unit volume.

Imagine an elastic, isotropic and homogeneous piece of solid material like thecube shown in figure 3.2. Now imagine a displacement of material points. Thisdisplacement may be a rigid body motion, i.e., a motion which leaves the shapeof the piece unchanged, or a deformation, which changes the piece’s shape, or acombination of both. Let r be the position of a point inside the material beforedisplacing and R the position after, both being given in material coordinates,and let u = R − r be the displacement of the point. Further, let ds be a lineelement in the original geometry and dS a line element in the displaced one.Then, if

ds2 − dS2 = dr ·dr − dR · dR = 0,

there is no change in shape and we have a rigid body motion; otherwise, thereis a change in shape or a scaling and the body is said to be in a state of strain[185].

3.6.1. Stress and Strain

The Green-Saint Venant strain tensor is a measure of the strain of a body. Thediagonal parts are a measure of elongation, while the off-diagonal terms are ameasure of shearing. The derivation is given in the appendix in section B.2on page 228.

67

Page 88: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

It is calculated from the displacement u by means of the strain-displacementrelations

γij =1

2

(∂ui

∂xj+

∂uj

∂xi+

∂um

∂xi

∂um

∂xj

). (3.7)

For small strains, the last product may be neglected, and we get Cauchy’sinfinitesimal strain tensor

ǫij =1

2

(∂ui

∂xj+

∂uj

∂xi

).

Let this body now be subject to forces. We distinguish body forces whichact on all volume parts of the body; they have the units of force over volume,and one example is gravity; and there are surface forces acting only on thesurface of a volume; the unit is pressure or force over area. The interior of thebody responds with internal forces, which in sum are zero due to Newton’sthird law ratio=reactio. Now take a small cube dΩ inside, and consider oneside dA upon which a force dN is exerted. The stress vector on this side is

σ =dN

dA,

and repeating this for all three directions x, y and z, the Cauchy stress tensorσij is calculated, where the first index indices the normal direction of dA andthe second index indicates the direction of the stress component. The threestresses σxx = σx, σyy = σy and σzz = σz are the normal stresses, while theremaining components are the shear stresses. The latter are often denoted bythe symbols τxy = 2σxy, τyz = 2σyz and τxz = 2σxz.On the boundary, thisstress tensor equals the forces acting on the body. For compatibility reasons,in the linear case σij = σji.

Since in the linear case both the strain and stress tensor are symmetric,they are often denoted as vectors ǫ = (ǫx, ǫy, ǫz, γxy, γyz , γxz)

T and σ =

(σx, σy, σz, τxy, τyz, τxz, )T , sometimes with numbered indices. The strain-dis-

placement relations then read

ǫx

ǫy

ǫz

γxy

γyz

γxz

=

∂/∂x 0 00 ∂/∂y 00 0 ∂/∂z

∂/∂y ∂/∂x 00 ∂/∂z ∂/∂y

∂/∂z 0 ∂/∂x

ux

uy

uz

68

Page 89: Complexity Reduction Techniques for Advanced MEMS

3

3.6. Structural Continuum Mechanics

ǫ = du . (3.8)

We will assume an ideally elastic isotropic piece of material. By ideallyelastic, we mean that we can deform the piece in an arbitrary way and it willrelax to its initial state. Further, it obeys Hooke’s law: The strains ǫkl relateto the stresses σij in the following way:

σij = cijklǫkl,

or, in vector form2,

σ = Eǫ. (3.9)

c is called the elasticity tensor. For symmetry reasons, for isotropic materi-als, the number of independent values in c and E reduces to 3:

E11 = E22 = E33 =E

(1 + ν)(1 − 2ν)(1 − ν)

E12 = E21 = E13 = E31 = E23 = E32 =E

(1 + ν)(1 − 2ν)ν

E44 = E55 = E66 =E

2(1 + ν).

where E is the modulus of elasticity and ν is Poisson’s ratio. All other entriesare zero. For the inverse relationship, ǫ = E−1σ:

E−111 = E−1

22 = E−133 =

1

E

E−112 = E−1

21 = E−113 = E−1

31 = E−123 = E−1

32 =−ν

E

E−144 = E−1

55 = E−166 =

2(1 + ν)

E.

Combining (3.8) and (3.9), we get:

σ = Edu .

The sum of all forces (body forces fi and internal stress σ) inside the bodymust vanish. Take a small volume ∆Ω with boundary ∂∆Ω; in integral form,the equilibrium of forces is

∆Ωf dΩ +

∂∆Ωσ ·nd∂Ω = 0

2In Literature, the names of matrices and tensors vary, for example, in [184], ǫ = Cσ andσ = Eǫ is used, while others use C instead of E.

69

Page 90: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

where n is the normal unit vector. By the Gauss theorem, we can transformthis to the differential form

divσ + f = 0

or, in Einstein notation,

∂σij

∂xj

+ fi = 0 ∀i.

For infinitesimal deformations or rotations, the Cauchy and Piola-Kirchhoffstress tensors are identical.

The potential energy V for a volume Ω can be computed with the analog ofa spring by

V =

Ω

1

2σT ǫ dΩ.

3.6.2. Nonlinearities

The main sources of nonlinearities in structural mechanics are [186]:

• Material nonlinearities

– Plasticity (time-independent)– Creep (time-dependent)– Viscoelastic/viscoplastic behaviour (plasticity and creep occur si-

multaneously)

• Contact or boundary nonlinearities

• Force boundary condition nonlinearity (force depends on deflection)

• Geometric nonlinearities

– Large strain (ǫ > 5%): Rubber, metal forming, membranes.– Small strains but finite displacements or rotation: Cantilevers, springs,

thin plates.– Buckling: Loss of stability, for example a bar which is under com-

pressive stress. The theory of linearised prebuckling describes thestate just before the loss of stability, strains and deformations canbe considered as infinitesimal.

70

Page 91: Complexity Reduction Techniques for Advanced MEMS

3

3.6. Structural Continuum Mechanics

We will on geometric nonlinearities with small strains and finite displacements,since in our examples material nonlinearities are not relevant.

Geometric nonlinearities result from the (∂um/∂xi)(∂um/∂xj) terms inequation (3.7) on page 68. They introduce a coupling between the differ-ent directions of displacement. We will review the effects on the simulation inthe finite element section later.

3.6.3. Beams

For long and slender structures with high aspect ratios a full 3D description iscumbersome when the device is to be simulated numerically, since the small-est dimension determines the size of the discretisation elements in the finiteelement method. This problem can be solved by approximating the device bya lower-dimensional manifold embedded in the three-dimensional space.

This has consequences for the strain-displacement relations which now needto be integrated over the cross section of such an object. Let us take a beamin x direction with constant cross section A which is bent perpendicular toits surface in the z direction due to a load (also in z direction of the beam)in its plane of symmetry. The beam is long and slender, i.e., the length ismuch longer than its width w in y direction and height h, and thus stressesperpendicular to the beam are much larger than along the beam.

Let us assume linear materials and small deformations, so that the load is al-ways perpendicular as the beam moves and that we can apply linear elasticity.Further, we suppose that the Kirchhoff assumptions are true (normals remainstraight, unstretched and normal, and thus plane sections do not bend).

The bending v will lead to a rotation of the beam’s cross sections (figure 3.3on the following page). However, in the centre of the beam there is a neutralaxis where no strain occurs (in the linear approximation).

We find that for a small rotation (such that sin θ ≈ θ):

ux ≈ −zθy ≈ −zdv

dxuy(y = 0) = 0

uz(z = 0) = v

and thus for the strain

ǫx =dux

dx= −z

d2v

dx2. (3.10)

Since the beam is free in y and z directions, there is no stress in these direc-tions, and the stress tensor reduces to σx = Eǫx only.

71

Page 92: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

σxσx

dA

θy = dv/dxx

y

z z

Figure 3.3: Strain in a beam element. The strain in the z direction can be modelledas a function of the slope of the bending line.

Now let us derive a equation to model the bending line of a beam knownas Euler-Bernoulli beam. Assuming constant E over the cross section, we cancalculate the torque at the neutral axis by

M(x) =

Aσxzdz =

AEǫxzdz

= E

A−z

d2v

dx2zdz

= −EId2v

dx2with I =

Az2dz. (3.11)

I is called the geometric moment of inertia. If loads are only applied at theends of the beam, the torque is constant along the beam.

The second derivative d2M(x)/dx2 is a distributed force. For this kind ofload (which is equivalent to a pressure in 3D), we can then use the differentialequation

d2

dx2EI

d2v

dx2= q(x)

to find the deformation of the beam. The Timoshenko beam amends thismodel by additionally considering shear stresses.

In a similar fashion, the plate equation

∆Et3

12 (1 − ν2)∆w = p

72

Page 93: Complexity Reduction Techniques for Advanced MEMS

3

3.7. Electrostatics

gives the deformation of a thin plate with thickness t subject to a pressure p.

3.6.4. Contact

Contact elements are a special type of nonlinearity. The behaviour of a systemcan change completely, since a contact means a sudden, discontinuous changein boundary conditions. This is especially critical for time integration, since ina given timestep, the system may move beyond the limit given by the contact.Consider for example a mechanical contact as shown in figure 3.4. As soon

d

0

Hard contact

Soft contact

Force

0

Distance d

Figure 3.4: Soft and hard contact.

as the displacement turns negative, a hard contact model would result in aninfinite repulsive force. However, this does not represent the full physicaltruth. Since materials are elastic to some extend, a better model is to use aspring model which does not exert an infinite force at contact, but returns aforce which is linear with the excess displacement:

F (x) =

0 if x ≥ 0−kx if x < 0

where k is the spring constant. Figure 3.4 shows the force curve for such amodel.

Another difficulty is that, especially in the mechanical case, the place ofcontact may be unknown, or it may be a frictional contact which may slide onthe surface. Surface-to-surface contacts can become challenging to implementwhen non-planar surfaces are involved. Details are described in [126, 187, 188].

3.7. Electrostatics

An often used actuation principle in microsystem engineering is electrostaticactuation. Two charged objects exert forces on each other, which are attractive

73

Page 94: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

when the charges are opposite. Let us first assume point charges, and let thefirst particle on position R have a charge Q and the second particle on positionr have a charge q.

Electromagnetic fields are described by the four Maxwell equations

divD = ρ Gauss’ law of electricity (3.12a)divB = 0 Gauss’ law for magnetism (3.12b)

curlE = −∂B

∂tFaraday’s law of induction (3.12c)

curlH = j + j S +∂D

∂tAmpere’s law (3.12d)

and the constitutive equations

D = εrε0E = εE (3.13a)B = µrµ0H = µH (3.13b)j S = σE , (3.13c)

where E is the electric field, D is the electric displacement, B is the magneticinduction, H is the magnetic field, ρ is the charge density, j is a prescribedcurrent density, j S is the surface current due to free charges, ε0 is the di-electric permittivity of free space, εr is the relative dielectric permittivity ofthe material at the current point, µr and µ0 are the magnetic permeabilityof free space and the relative magnetic permeability of the material and σ isthe electric conductivity. The material properties may also be anisotropic andthen turn into matrices.

A charge q in an electric field E feels by definition the force qE . Related tothe electric field is the electric displacement charge, which is defined by

D = ε0E + P = ε0εrE = εE ,

where P is the polarisation of the medium. From (3.12a), it follows in integralform that

ADdA = Q, (3.14)

where A is a closed surface in which the charge Q is trapped.Therefore, by integration we find that particle 2 feels the Coulomb force

FE = qE = qQ

4πε

r −R

|r −R|3.

74

Page 95: Complexity Reduction Techniques for Advanced MEMS

3

3.7. Electrostatics

The electric field E can also be described as a potential

Ψ(r) =Q

4πε|r −R|

with

E = − grad Ψ.

This gives also an expression for the energy of the setup; the electric potentialis the energy which is needed to bring a charge q = 1 from a reference pointto the current point, which is

We = qΨ.

When applying (3.14) to a system of two facing oppositely charged plateswith charge QC each, area AC and distance d, and neglecting the stray fields,a homogeneous field exists between the two:

|E | = 2QC

ε2AC

Ψ =r

εACQC ,

where r is the distance from the plate with negative charge. In this case wechoose the zero point of Ψ at this plate, but this is an arbitrary choice. Thepotential difference or voltage V between the plates is

V =d

εACQC =

QC

C,

where C = εAC/d is the capacitance of the setup.. The energy stored is theenergy required to bring charge from one plate to the other:

We =

∫ QC

0V (Q)dQ =

1

2CQ2

C

and the corresponding coenergy is

W ∗e = QCV − We =

1

2CV 2. (3.15)

75

Page 96: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

The force can be calculated as the negative gradient of the energy, in thecase of constant voltage this is

F = −∂We

∂d= −1

2

∂C(d)

∂dV 2 =

1

2

εAC

d2V 2, (3.16)

or, for constant charge,

F = −∂W ∗e

∂d= −1

2

∂(1/C(d))

∂dQ2

C = −1

2

1

εACQ2

C .

3.7.1. Transducer Elements

Transducer elements are special concepts for the coupling of different en-ergy domains and are thus a very important tool for MEMS simulation. Inthis section, we will consider the electrostatic/structural displacement [189],which means that electromagnetic radiation effects are neglected. [143] gives anoverview how to determine whether this approach is valid for a given setup.In general, this is true for most MEMS structures with frequencies in thekHz/MHz range.

The coupling takes place at the boundary between a conducting materialand a dielectric medium, for example, a metal cantilever, which forms the firstelectrode. A counter electrode is separated by air. When a voltage is applied,the electrostatic force leads to a deformation of the microstructure [190], or,when doing a small signal analysis, to a spring softening of elastic structures.

The concepts of calculating the static or dynamic behaviour of such a a de-vice range from analytical solutions of the PDE over numerical methods whichare adapted to special device configurations (e.g., a beam) to discretisation ofthe complete geometry.

The main advantage of analytical models is that they can easily applied,since no solution of large systems of equations is required and geometric pa-rameters are retained (sometimes they can even be given as a function, e.g.,the place-dependent thickness of a beam). They are therefore well-suited foruse in circuit or system simulators [191] and are thus already a first step tomodel order reduction. Their main drawback is a lack of flexibility when realdevices deviate from the assumptions used for the analytic model.

The most general and geometrically flexible approach is to numerically cal-culate the electric field in the dielectric and then recover the force at theboundary of the conducting layer; with this force, the displacement is calcu-lated, which is then the basis for a new calculation of the electric field, since

76

Page 97: Complexity Reduction Techniques for Advanced MEMS

3

3.7. Electrostatics

the field distribution will change with a change of the dielectric simulationdomain.

The solution of such a coupled system is possible in two ways [124]. Thefirst way is to treat each energy domain separately and use the results of onesimulation as load input for the following one: first, the electrostatic forces en-ter the system through the load vector, then based on this load the mechanicalsystem is solved, and the forces are calculated again. This process is iterateduntil the system has converged and the results are consistent; this is also calledrelaxation method or staggered scheme and can be seen as block Gauss Seidelmethod . The major advantage is that the solvers can be black-box solvers,which means that it is not necessary to know their internal functioning princi-ple. Thus commercial solvers can be used, where source code is not available.The main drawback are convergence issues (the solution may oscillate betweendifferent states) and computational costs spent on coupling.

The other approach is to set up all equations in the same system of equa-tions, this is called monolithic scheme or direct coupling . Then, no outeriteration is necessary, and usually convergence and numerical stability aremuch better. The drawback here is that black-box solvers can not be used,or at least they need to have special interfaces to include the coupling terms,and the equations become nonlinear. Further, the coupling terms must beexplicitly included and the implementation is thus more challenging from amodelling viewpoint. The transducer elements which we will use include suchterms and also other effects like spring softening.

There are a number of methods for calculating the electric field [192], forexample the finite element method. Coupling elements exist (for example, the2D triangular ANSYS TRANS109 element [193] or its 3D extension [194]),where the forces in the element are calculated by forming the (negated) gradi-ent of the electrostatic energy in terms of the nodal displacements and then,for each node, summing up the contributions of all elements it is part of.

An alternative is the boundary elements method [195, 196], which does notrequire the meshing of the dielectric domain and, due to the use of Green’sfunctions, is a very natural method to model the field even at far distances.There are also methods based on the Trefftz formulation which allow to com-bine the best of those two methods [197].

One difficulty with these approaches is that the electric field is calculatedon the deformed domain and thus the system of equations has to be adjustedto reflect the change of domain due to the mechanical deformation of theelectrode. Remeshing is too expensive and the deformation is limited by theelement size. The solution is to use a mapping from the initial (reference)

77

Page 98: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

domain to the deformed domain, so that the equations are solved on the initialgrid [143, 198].

The advantage of these approaches is full flexibility of the geometry and avery accurate calculation of the forces. The disadvantage is that the calcula-tion of the electric field requires a full FEM simulation and thus forms a majorcontribution to the complexity and thus computational cost of the system. Forexample, consider a clamped-clamped beam which is pulled out-of-plane byelectrostatic actuation [199–201]. If large displacements are expected, thenstress stiffening must be considered [202, 203] and, since a nonlinear simula-tion is required, the number of iterations increases.

One solution here is to combine numerical results with analytic descriptions,which allows for developing low-order dynamic models in a more general andautomatic way [204–206]; for example, basis functions are extracted from anumber of simulation runs; then the capacitance matrix is used for the forcecalculation in the space spanned by this basis. Another example of an au-tomatic generation of compact models is AutoMM [207], or the use of thedifferential quadrature method (DQM) [203].

In this way, macro model nonlinearities can be treated already before as-sembly into a the system of equations [208], and even bifurcations can beaccounted for [209, 210]. It is thus beneficial to use, when possible, compactmacro models at various levels (individual beams versus combined microstruc-tures) for special cases which are often encountered in MEMS [211–213], e.g.,the beam element which can be used to model RF switches and filters [214].

In many cases, the setup can be approximated by an array of parallel platecapacitors [215], which still leaves some flexibility in the geometry. In the nextsections, we will present such a transducer element.

In section 3.8.2 on page 92 and [4, 13], we present a method which is re-lated to the capacitor model, but allows for a wider freedom of geometricalsetups and deformations. The big advantage of our method is that all rele-vant nonlinearities are included in the symbolic matrices and thus it allowsto formulate the equations in closed form, which is suitable for model orderreduction purposes.

So in conclusion, we see that there is a wide range of complexity reductionmethods developed for this coupling problem. Still, all those methods makeeither assumptions on the geometry and require some time to develop themodel, or result in large systems of equations with many degrees of freedom.

78

Page 99: Complexity Reduction Techniques for Advanced MEMS

3

3.7. Electrostatics

V

k

md mind

Figure 3.5: A mass-capacitor-spring system. The upper, movable plate is pulledtowards the lower plate due to electric forces.

3.7.2. Example: Capacitor with Movable Plate

Let us consider a capacitor which is mounted on a spring (fig. 3.5). This is aone-dimensional compact model which can be used for a variety of problemsin MEMS and is the basis of the transducer element we will use in the nextsection.

The example device consists of two rigid capacitor plates which can onlymove in the perpendicular direction. The lower plate is fixed, the upper platewith mass m is held by a spring with spring constant k in a distance d. Weneglect gravity and fringing fields and assume that the charges are evenlydistributed. Spacers on the lower plate prevent that the plates approach tomore than a distance dmin. When a voltage V is applied, the two capacitorplates are pulled to each other with a force of FC = ∂

(12C(d)V 2

)/∂d =

12∂(

εAd V 2

)/∂d = −1

2εAd2 V 2. The spring balances this force with FS = −k(d −

d0), where d0 is the equilibrium position of the spring.

Static pull-in

We first consider the stationary case and calculate the relationship between theequilibrium position d of the system and the voltage V by setting FC +FS = 0:

V =

√2kd2(d0 − d)

εA. (3.17)

For the following, we use the parameter set k = 20N/m, d0 = 1mm, ε =8.85 pF/m and A = 0.1m2.

Figure 3.6 on the following page shows a plot of the electrostatic force andthe spring force (sign inverted for illustration). The line where the two surfacesintersect is the equilibrium line. It is curved due to the nonlinear relationshipin equation (3.17). Figure 3.7 shows another view of this line.

79

Page 100: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

Figure 3.6: Plot of the forces on the upper plate versus voltage and distance. Inthis graph, the spring force is positive when is points towards the lowerplate; the electrostatic force is positive when it points away from thelower plate; the two forces cancel each other out where the surfacesintersect.

0

10

20

30

40

50

60

70

80

90

0.2 0.4 0.6 0.8 1 1.2-0.02

0

0.02

Vol

tage

[V

]

Forc

e [N

]

Distance [mm]

Necessary voltageTotal force at 20VTotal force at 90V

Figure 3.7: The equilibrium voltage at certain distances and forces at constant volt-age (Forces pointing away from the lower plate). Since the force for 90 Vis always below zero, there is no equilibrium position any more.

80

Page 101: Complexity Reduction Techniques for Advanced MEMS

3

3.7. Electrostatics

There is an extremal value Vpull-in at 2/3 of the spring’s equilibrium position:

dV

dd=

dk(2d0 − 3d)√2εAkd2(d − d0)

= 0 for d = 2d0/3,

and by virtue of equation (3.17) on page 79:

Vpull-in =

√8

27

kd30

εA.

For a voltage above Vpull-in, no equilibrium exists, since the total force isalways pointing downwards as illustrated with the force curve for 90 V. Thisis called the pull-in voltage.

Below this voltage, two equilibrium points exists, where only the point withlarger distance is stable, as can be seen from the derivative of the force curves(tangential spring constant), which is negative below a critical distance dcrit.The operating range of such an actuator is thus limited to d0/3.

This so-called bifurcation results in a hysteresis: Once pull-in has happened,it is necessary to decrease the voltage to a much smaller value than the pull-involtage until the upper plate lifts off from the spacers.

Dynamic pull-in

In the dynamic case (i.e., including kinetic energy), pull-in happens for smallervoltages than in the static case. Let us assume that the system is initially inrest. If we apply a small voltage, the system oscillates about the equilibriumstate. If, however, the voltage is large enough to drive the system’s dynamictrajectory beyond the unstable equilibrium point dcrit (and thus in the rangeof the negative effective tangential spring constant), the system is acceleratedtowards pull-in.

In phase space, the nonlinear system shows deformed (due to the nonlinear-ity) circles in the stable range; pull-in happens when this trajectory touchesthe unstable range (When the voltage is above the pull-in voltage, no circlesexist any more, no matter what the initial values of displacement and speedare).

The pull-in voltage is the voltage which, when suddenly applied to the sys-tem in rest, leads to a system deflection which is large enough so that thesystem displaces below the second unstable equilibrium point. From an in-

81

Page 102: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9

1

0 0.5 1 1.5 2 2.5 3 3.5 4

d

Time

-4

-3

-2

-1

0

1

2

3

0 0.2 0.4 0.6 0.8 1

v

d

V=4V=5-εV=5+εV=5.001V=5.05

Figure 3.8: The behaviour of an undamped system near pull-in. Left: Transientresponse. Right: Phase diagram. ε means a very small number.

0

0.2

0.4

0.6

0.8

1

0 0.5 1 1.5 2 2.5 3 3.5 4

d

Time

-4

-3

-2

-1

0

1

2

3

0 0.2 0.4 0.6 0.8 1

v

d

V=5V=5.0906831V=5.0906832V=5.1

Figure 3.9: The behaviour of a damped system near pull-in. Left: Transient re-sponse. Right: Phase diagram.

spection of the conservation of energy, it can be concluded for a system withan initial position of d0 and zero speed, the pull-in voltage is [216]

Vcrit,d =1

2

√kd3

0

ε0A.

The displacement versus time curve for pull-in is the solution of the differ-ential equation

md = −k(d − d0) −1

2

εA

d2V 2

82

Page 103: Complexity Reduction Techniques for Advanced MEMS

3

3.7. Electrostatics

where m is the mass of the movable part. If the voltage is chosen exactly as thedynamic pull-in voltage, the pull-in time is infinitely long, the system remainsat the critical point forever. Any small deflection towards the lower plate orany voltage increase will then lead to pull-in. Some examples for a systemwith a pull-in voltage of 5 (k = 100, d0 = εA = 1) are shown in figure 3.8; thereader should be aware that close to the pull-in voltage, numerical noise andthe properties of the integration algorithm may adulterate the results.

When in addition a damping element is applied, the additional force FD =−cd, where c is a damping coefficient, must be considered, which damps theoscillation; in the phase diagram, this corresponds to spirals instead of circles.Figure 3.9 shows some examples for a system with k = 100, d0 = εA = 1 andc = 0.5.

Snap-through

h

F(t)

θ

d

k

Figure 3.10: A mechanical system with snap-through behaviour.

To resume the discussion about the classification of nonlinearities from sec-tion 3.2 on page 57, we want to discuss another example with hysteresis shownin figure 3.10. A mass is held between two springs with spring constant k; thosesprings can rotate about their support with angle θ. They have a undisplacedlength of l0 The force on the mass in h direction is

F = −2FS sin θ = −2k∆x sin θ

= −2k(d

2/ cos θ − l0) sin θ,

where FS is the force exerted by each spring and d is the distance of the springsupport.

Figure 3.11a shows a plot of the spring force versus the height h = d2 tan θ

of the mass. The parameters are chosen such that l0 = 0.8 is longer than half

83

Page 104: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

a)

-0.6

-0.4

-0.2

0

0.2

0.4

0.6

-1 -0.5 0 0.5 1

Forc

e [N

]

Height [m] b)

-1-0.8-0.6-0.4-0.2

0 0.2 0.4 0.6 0.8

1

0 0.2 0.4 0.6 0.8 1

Hei

ght [

m]

Spring length [m]

unstable branchstable branch

Figure 3.11: a) Force on the mass versus the position, exhibiting several changes ofits sign; b) equilibrium position for different spring lengths.

of the wall distance d/2 = 0.5, the spring constant is 1. Also here we can seea local maximum and minimum of the force which causes a snap-through be-

haviour: There are two stable equilibrium points at |h| =

√l20 −

(d2

)2= 0.6245

and one unstable equilibrium point at 0. The system may switch between thetwo stable points which are separated by a potential energy barrier.

We can note the following differences between the two examples: For thefirst model, the force diverges as d → 0, the force in the second model is alwaysfinite. The first model exhibits one stable point, whereas the second model hastwo stable points, with the same height h (measured from the support of thesprings), but with sign reverted. A bifurcation diagram reveals this propertyof the system. Figure 3.11b shows a plot of the equilibrium solutions for anumber of spring lengths:

For small spring lengths, the springs are under tension and thus the massis held in the middle in a stable equilibrium. With increasing length, this po-sition becomes unstable, as the springs push each other. This is known frombeam theory as buckling . The solution branch (the parametrisable line of theequation’s solution) splits and no unique extension of the branch is possible.This is called a bifurcation; mathematically, a bifurcation is a point wherein every neighbourhood of the parametrised solution curve other zeros exist.Different classes of bifurcations exist, for example the saddle-node bifurcation(capacitor model) or the pitchfork bifurcation (spring model). In many sys-tems, the different branches have different stability properties; for example,the dashed line in figure 3.11b is unstable, whereas the remaining branchesare stable.

84

Page 105: Complexity Reduction Techniques for Advanced MEMS

3

3.7. Electrostatics

3.7.3. The ANSYS TRANS126 Element

The capacitance of a parallel plate capacitor model can be approximated asC(x) = C0/d, where d is the gap between the capacitor plates. In contrast, acomb drive has almost linear behaviour over some range, so that its capacitanceis C(d) = C0 + C1d. ANSYS’ transducer element TRANS126 has thus thepossibility to calculate the capacitance as3

C(d) =C0

d+ C1 + C2d + C3d

2 + C4d3.

knGap

V

di dj

Figure 3.12: The ANSYS TRANS126 element.

The element has two nodes; the gap distance is calculated as the sum ofthe initial displacement and the difference of the nodal displacements in thedirection of the element. The force is calculated by equation (3.16) on page 76,so that in the constant voltage case,

F = −1

2

∂C(d)

∂dV 2 =

V 2

2

[−C0

d2+ C2 + 2C3x + 3C4x

2

],

and analogous in the constant charge case. For modelling the electrostaticpressure on an area, a number of nodes of this area is connected to the counterelectrode by transducer elements.

The element has also contact capabilities as described in section 3.6.4 onpage 73. It is possible to specify a minimal gap and a spring stiffness kn forthe repelling force. Figure 3.13 on the next page shows the force response fora minimal gap of 0.3, kn = 40, C0 = 0.1 and V = 1.

The drawback of this kind of element is that it is limited to the case wherethe electrodes are (almost) parallel plates, so that the stroke/capacitance func-tion can be evaluated from a single degree of freedom. Thus, an extension forrotation plates was developed in [194].

The extended 4-node version of the parallel plate capacitance element (fig-ure 3.14 on page 87) allows for a rotation of the parallel plates. Consider a

3The stroke/capacitance relationship can also be specified by a table.

85

Page 106: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

-2.5-2

-1.5-1

-0.5 0

0.5 1

1.5 2

0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1

F [a

.u.]

Gap [a.u.]

Figure 3.13: Force vs. gap for a parallel plate transducer element.

parallel plate capacitor with plates of length wx and width wy; the upper plateis rotated by an tilt angle θ, Assume that θ is small such that tan θ ≈ θ. Nowpartition the setup into slices of width dx. On the left side, the height shallbe d1, then at a distance x it is d(x) = d1 + θx, the height on the right sideshall be d2. By integration of the capacitance of each slice, we find that thetotal capacitance is

C =εwy ln(1 + wyθ/d1)

θ=

εwxwy ln(1 + d2−d1

d0)

d2 − d1.

3.8. Spatial Discretisation Methods

PDEs are defined for a continuous fields. The analytic solution of those is oftennot possible when complicated geometries and transient effects are involved. Itis thus necessary to numerically approximate those in space and time in sucha way that they can be solved on a computer, which is called discretisation.Discretisation means that the continuous field (be it temperature, velocity ordisplacement) is represented by a finite set of numbers and then interpolatedto fill the complete simulation space and time.

We will show the basic procedure on the example of a temperature field andthen present a structural mechanics discretisation of a beam model which isactuated by electrostatic forces.

86

Page 107: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

d(x)d1

dx

d2

wx

V

Figure 3.14: Extended version of the capacitor transducer element

3.8.1. The Finite Element Method (FEM)

The finite element method has a sound mathematical foundation and can beapplied to a large number of physical problems and allows for the treatmentof complex geometries [217]. Let us consider a mathematical formulation of anonlinear thermal simulation problems.

Let Ω ⊂ Rd, d = 1, 2, 3 be an open set with piecewise smooth boundary ∂Ω.

Further, assume that the boundary can be decomposed into two open sets Γq

and Γh admitting

Γq ∪ Γh = ∂Ω,

Γq ∩ Γh = ∅,

where the bar means the set closure. Let n be the unit outward normal vectorto ∂Ω.

We seek the solution of the problem in the device domain Ω and for a timeinterval τ = [t0, t1] ⊂ R. Heat transfer in a solid material is expressed by apartial differential equation as follows:

Given Q(x , t) : Ω × τ 7→ R, q(x , t) : Γq × τ 7→ R, h(x , t) :Γh × τ 7→ R, T0(x ) : Ω 7→ R, ρ(x ), Cp(x ), κ(x ) : Ω 7→ R

+, findT (x , t) : Ω × τ 7→ R, such that

div(κ grad T ) + Q − ρCp∂T

∂t= 0 in Ω (3.18)

T = q on Γq

−κ grad Tn = h on Γh

T (t = 0) = T0 in Ω

87

Page 108: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

where κ is the thermal conductivity (isotropic for most bulk materials), Cp

is the specific heat capacity, ρ is the mass density, Q is the heat generationrate per volume and T is the unknown temperature distribution.

We now want to apply these differential equations to a beam of length L andcross sectional area A, modelled with an 1D approximation. As a challenge,we assume that the heat conductivity κ is represented by a polynomial intemperature T (x, t) of arbitrary degree n:

κ(T ) = a0 + a1T + · · · + anT n =

n∑

i=0

aiTi. (3.19)

ρ and Cp are constant and uniform. The right end of the beam (at x = L)is fixed at ambient temperature q. The model features two inputs, a time-dependent uniform heat flux f at the left end (at x = 0) and a time dependentuniform heat source Q along the beam.

There are analytical possibilities to handle this problem. When we canassume that as in equation (3.19), κ does only change with temperature andhas otherwise no spatial variation, one can apply the Kirchhoff transformationto introduce a new variable θ [218]:

θ = q +1

κ(q)

∫ T

qκ(T )dT,

which fully linearises the static part of equation (3.18) on the preceding page.The time-dependent part can be linearised by introduction of a new timevariable t:

t =1

κ(q)

∫ t

0κ(θ)dt.

Thus equation (3.18) on the previous page becomes fully linearised:

div grad θ +Q

κ(q)− ρCp

k(q)

∂θ

∂t= 0.

However, this approach involves a transformation of the time variable andrequires a reverse transformation to get the temperature values. We want topresent another approach based on the finite element method integration. Incontrast to the usual procedure, we do not linearise the system so that we geta closed-form equation including the full nonlinearity.

88

Page 109: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

By including (3.19) in the differential form of the heat transfer equation,

− div (κ(T ) grad T ) + ρCpT = Q,

we obtain the following expression,

−n∑

i=0

ai div(T i grad T

)+ ρCpT = Q,

where ρ and Cp are both assumed to be constant for the considered tem-perature range. This approximation can be justified from measurements ofsemiconductors, which show that the temperature dependency of Cp is muchsmaller than that of κ. This rapid change is a result of the special bandstructure of the material. It follows an exponential law:

κ = κ0eα(T−T0).

The heat capacity for silicon changes from 1.3×106 J/m3K to 2×106 J/m3K inthe range of 200 to 600 K, while κ changes from 280 W/mK to 60 W/mK (seefigure 3.15 on the following page).

Following the Ritz-Galerkin finite element formulation, we require orthogo-nality with respect to a set of test functions Nk(x), k = 1, . . . ,N :

−n∑

i=0

ai

ΩNk div

(T i grad T

)dΩ +

ΩNkρCpTdΩ =

ΩNkQdΩ ∀k.

By using the Green-Gauß theorem, we get the weak form

n∑

i=0

ai

Ωgrad NkT

i grad TdΩ −∫

∂Ωκ(T ) grad T ·~n︸ ︷︷ ︸

J

Nkd∂Ω

+

ΩNkρCpTdΩ =

ΩNkQdΩ,

where a positive J denotes a heat flux into one end of the beam. We approx-imate the temperature profile by shape functions

T (x) =

N∑

j=1

UjNj(x),

which are the same as the test functions Nk and, after moving all inputs tothe right side, obtain

89

Page 110: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

Figure 3.15: Heat capacity (left) and heat conductivity (right) of various materials(Courtesy of M. Rencz [219]).

n∑

i=0

ai

N∑

j=1

Uj

Ωgrad NkT

i grad NjdΩ + ρCp

N∑

j=1

Uj

ΩNkNjdΩ =

Q

ΩNkdΩ + J

∂ΩNkd∂Ω. (3.20)

U1 Um Um+1 Un

Figure 3.16: Linear shape functions for FEM discretisation

We now need to choose shape and test functions Ni from a Hilbert space.In the simplest case, these are hat functions as shown in figure 3.16. Theyhave a local support, i.e., they are nonzero only on a subset of the simulationdomain. The beam is divided in elements with nodes at its corners, and eachnode is assigned one shape function. For this kind of shape functions, thereis a linear rise from the node left of the current node and a linear descent to

90

Page 111: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

the right side. On all other parts, Ni is zero. In the beam example, we willdiscuss nonlinear shape functions.

One advantage of local shape functions is that the integrals in (3.20) areonly nonzero if the difference |k − j| is smaller or equal than one. If now theequations are written in matrix form, a sparse matrix results which is mucheasier and faster to solve.

The second, third and fourth term in (3.20) are linear and yield a constantmass matrix M and a scattering matrix B on the right side to distribute thetwo inputs J and Q to the load vector. For a linear 1D beam element e oflength l with nodes m and m + 1, we have the element contributions

Me =

[2/3 1/61/6 2/3

], Be =

[0 Al/20 Al/2

]

except for the leftmost element, where

B1 =

[A Al/20 Al/2

].

When using linear shape functions, the gradients are constant. The elementstiffness matrix then reads

Ae =n∑

i=0

aiA

l2

∫ l

0(Um(1 − x/l) + Um+1x/l)i dx

[1 −1−1 1

]

=

n∑

i=0

aiA

l

U i+1m+1 − U i+1

m

(i + 1)(Um+1 − Um)

[1 −1−1 1

]. (3.22)

For i > 0, this yields a nonlinear stiffness matrix, while for i = 0 after perform-ing the multiplication of the matrix A with x , the denominator is constant.We introduce a vector f (U) on the right side which collects all nonlinear partsof the discretised equation:

AlinearU + ρCpMU = B(

JQ

)+ f (U ).

To move the nonlinear terms in (3.22) to the right side, we multiply them withUm−Um+1 and subtract them from both sides of the equation. Every elemente contributes two entries to the vector f (U ):

f e =

n∑

i=1

aiA

l

U i+1m+1 − U i+1

m

i + 1

(1−1

).

91

Page 112: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

We observe that the nonlinearities are polynomial.We then insert the Dirichlet boundary conditions, denote E = ρCpM and

introduce a gather matrix C which returns linear combinations of the degreesof freedom (or more often, selects some single DOFs) as output terminals. Inthis particular example, C consists of two row vectors, one with 1 at the firstposition and one with 1 at the entry in the middle (⌈n/2⌉) and 0 everywhereelse. This returns the temperatures at the leftmost end (where the heat fluxis applied) and in the middle of the beam.

After renaming U to x we end up with the following system of equations:

Ex = −Ax + Bu + Ff (x , u)

y = Cx

where F is the identity matrix to comply with the form given in equation (3.1)on page 56.

3.8.2. FEM for a Coupled Analysis

To illustrate how coupling can be implemented with finite elements, we modifythe approach shown above. There, we derive the FEM from an orthogonalityprinciple. The same results can be achieved by an energy consideration usingthe Lagrange framework.

System Setup

We now model a typical structure whose generic layout corresponds to an RFswitch or RF electromechanical filter.

Consider a beam supported at both ends (figure 3.17). It is made of a highlyconducting material (e.g., a metal) with density and Young’s modulus ofelasticity E. Hence the electric potential is the same everywhere on the beam.This beam forms the first electrode. Below the beam, a counter electrode isplaced. Again, the electric potential is the same everywhere on the electrode,but different from the potential on the beam. This lower electrode is fixedalong its length, thus it features no spatial degrees of freedom, while the upperbeam is free to move in the vertical direction except for its supported ends.

A voltage source generates a potential difference between the two electrodes,i.e., the potential on the beam Vbeam and the potential on the bottom Vbot

satisfy the equation

Vbeam − Vbot = Vin.

92

Page 113: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

s Vin

x

z

Figure 3.17: The considered system, a conducting beam supported at both endswith counter electrode below. The deformation of the upper beam isgreatly exaggerated.

This potential difference is enforced in the model by distributing electriccharges on the beam such that the sum of their potentials yields the respectivevoltage.

Approximations

Some approximations have been made to limit the number of nonlinearitiesin the system matrices to a reasonable amount. The approximations can bedivided in three parts: numerical discretisation, constraints on the degrees offreedom and material properties.

Since the aspect ratio of the beam (i.e. the ratio of the length to thetransverse dimensions) is rather large, we can further approximate the three-dimensional body of the beam by a one-dimensional curve embedded in 3D.

For symmetry reasons, the beam motion can also be constrained to a plane,yielding a two-dimensional motion. For this case, three possible beam deflec-tions can be observed [184]:

Torsional displacements: Rotation about the longitudinal axis.

Axial displacements: Compression or expansion along the longitudinal axis.

Flexural displacements: Deflection out of the nondeformed axis.

Here, we will only consider flexural displacement. We assume that thebeam deflection is small, so that geometric nonlinearities can be neglected.This allows us to impose another constraint: we allow only motion in the zdirection; pull-in is still included, but no contact model.

The material used is assumed to be isotropic and ideally elastic with noplastic deformation or brittle fracture. As common in micromechanics, gravitymay be neglected.

93

Page 114: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

A further approximation concerns the distribution of electrical charges onthe beam. The charge distribution can be a complicated function dependingon the current geometrical configuration of the beam. Usual boundary ele-ment approximation schemes would increase the complexity dramatically. Wetherefore concentrate the charge at distinct points [220].

We finally assume that the energy loss due to electromagnetic radiation issmall, so that the problem is purely electrostatic.

Lagrangian Mechanics

We use a Lagrangian formulation to determine the equations of motion. Thestate of the system is expressed by generalised coordinates x ∈ R

m and theirvelocities x = ∂x/∂t. In this example, those are mq mechanical degreesof freedom q and mQ charge degrees of freedom Q . Due to constraintson these coordinates, e.g., by mechanical obstacles, the state space mightoccupy only a subset, i.e., x ∈ Qx ⊆ R

mq+mQ and x ∈ Qx ⊆ Rmq+mQ . We

consider the system in the time interval τ = [t0, t1] ⊂ R. The LagrangianL : Qx × Qx × R 7→ R for the system is then defined as

L(x , x , Vin) = T ∗(x , x ) − V (x ) − We(x , Vin),

where T ∗ : Qx × Qx 7→ R is the kinetic coenergy, V : Qx 7→ R the potentialenergy stored in the elastic deformation of the beam and We : Qx × R 7→ R

the potential energy stored in the electrostatic field. The equations of motionare then recovered by evaluating equation (B.5) on page 227

d

dt

∂L∂xi

− ∂L∂xi

= Ξxi,

where t is the time and Ξxi: Qx × Qx 7→ R, 1 ≤ i ≤ m are the generalised

nonconservative forces (i.e. damping and external forces).

Finite Element Method Discretisation of Elastic Beam

Let Ω be the device domain of the beam, i.e. the volume in which the beamis contained. We will use the result (3.10) from section 3.6.3 on page 71 tomodel the displacement in the cross section of a bending beam, that is

ǫx = du =dux

dx= −z

d2v

dx2

σx = Eǫx

94

Page 115: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

Finite Elements: The beam is split into 1D finite elements Ωe of length Lin 3D space [184], therefore the dimension of Ω reduces to 1. We assumethat the beam is oriented along the x axis. Each beam element e comprisestwo vertices xe and xe+1 = xe + L at its ends with degrees of freedom qe, soΩe = [xe, xe+1]. Between these vertices, the displacement is interpolated byshape functions N e. Denoting the state space of the single element by Qqe

and the interpolated displacement by u : Ωe × Qqe 7→ R3, we have in a single

element

N e : Ωe 7→ R

u(x, q (t)) = N (x)q(t).

Two adjacent elements share the nodes on their ends; q and N are assembledfrom the degrees of freedom and shape functions of all elements. The strain-displacement and stress-displacement relations now read

ǫ(x, t) = du = dN (x) q(t) = B(x) q(t) (3.23a)σ(x, t) = Eǫ = E B(x) q(t) (3.23b)

The potential energy can then be calculated by

V =1

2

ΩǫTσ dΩ =

1

2qT

ΩBT E B dΩ q =

1

2qTKq , (3.24)

and the kinetic coenergy T ∗ of the distributed mass by

T ∗ =1

2

Ω|˙u |2 dΩ =

1

2qT

Ω N TN dΩ q =

1

2qTMq . (3.25)

K and M are called the stiffness and mass matrix. They are assembled fromthe contributions of the element matrices Ke and Me.

Application to flexural displacement: For the flexural displacement of thebeam, we choose Hermite cubic shape functions with two degrees of freedomq at each vertex: Deflection zi perpendicular to the beam and the slope θi,which corresponds to a rotation in the deformation plane for small deflections.For each element e, this yields the degrees of freedom

qe = (ze, θe, ze+1, θe+1)T .

95

Page 116: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

q4

q3

N ′ = 1

N ′ = 1

q1

q2 x

L

Ne,1

Ne,2

Ne,3

Ne,4

N = 1

N = 1

Figure 3.18: Hermite shape functions for one-dimensional finite elements (adaptedfrom [184]).

The Hermite shape functions for a single one-dimensional linear element ewith length L are (see figure 3.18)

N e(x) =

1L3

(2x3 − 3Lx2 + L3

)1

L2

(x3 − 2Lx2 + L2x

)1

L3

(−2x3 + 3Lx2

)1

L2

(x3 − Lx2

)

T

, x = x − xe.

With d = −z d2

dx2 , we obtain

Be = dN e

= − y

L3

[12x − 6L 6Lx − 4L2 − 12x + 6L 6Lx − 2L2

].

Since the beam is not stressed in the y and z directions and no shearing occurs,the vector of stresses σ can be reduced to its first component σx; the vectorof strains ǫ can be simplified to ǫx and E can be simplified to E to yieldEǫx = σx.

96

Page 117: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

Including this in (3.24), we get as contribution for this element:

Kflex,e =

Ωe

BTe EBe dΩ =

2EI

L3

6 3L −6 3L3L 2L2 −3L L2

−6 −3L 6 −3L3L L2 −3L 2L2

where I is the geometric moment of inertia (3.11).For the kinetic energy of an extended body, two contributions must be

considered: rotational and translational inertia.

Translational inertia: From (3.25), we get

Mflex,e,t =

Ωe

N TN dΩ =

∫ xe+1

xe

AN TN dx,

which evaluates to

Mflex,e,t =AL

420

156 22L 54 −13L22L 4L2 13L −3L2

54 13L 156 −22L−13L −3L2 −22L 4L2

(3.26)

Rotational Inertia: Due to the 1D approximation of the beam, the kineticenergy of rotation of beam cross sections is not included in (3.26). Therefore,an additional contribution to the kinetic energy must be computed. Althoughthe nodes are assumed to only move in the z direction, a rotation about they axis causes an x translation of the portions in the cross-section of the beamfurther away from the neutral axis (see figure 3.3 on page 72). Assuming thatthe centre of this rotation is at z = 0, the x translation of a point in the crosssection is

ux = −zθy = −zd

dxu = −z

d

dxNq .

The speed of that point is

ux = −zd

dxNq .

Inserting this into (3.25), we get

Mflex,e,r =

Ωe

z2

(dN

dx

)T (dN

dx

)dΩ =

∫ xe+1

xe

I

(dN

dx

)T (dN

dx

)dx.

97

Page 118: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

This finally yields

Mflex,e,r =I

30L

36 3L −36 3L3L 4L2 −3L −L2

−36 −3L 36 −3L3L −L2 −3L 4L2

The generalised inertial mass of this element is now found by

Mflex,e = Mflex,e,t + Mflex,e,r.

Electrostatic Actuation

As mentioned above, the electric charge distribution over an element is ap-proximated by a point charge at the element interface. The electric potentialV : R

n 7→ R for a point charge Qi ∈ R can be calculated by integratingCoulomb’s law, taking a test charge from infinity to a position rij near thecharge under consideration. In 3D, this is [221] (see section 3.7 on page 73)

Vij = −∫ rij

Qi

4πεrε0r2dr =

Qi

4πεrε0rij,

where ε0 is the permittivity of free space, εr ≈ 1 is the relative dielectricpermittivity of air and rij is the distance between the charge and the evaluationpoint. This distance depends on the displacement of the beam. So here is thepoint where the coupling between the electrostatic and structural energy domainis introduced.

Another contribution to the energy comes from the self capacitance of thepoint charge. The charge is in reality distributed over the beam element’sarea. We can calculate the voltage for a rectangular area Ai = wh, where wand h are the dimensions of the rectangle, by

Vii =Qi

4πεrε0Ai

Ai

1

r − ridA′

i

=Qi

2πεrε0wh

(h ln

w +√

w2 + h2

h+ w ln

h +√

w2 + h2

w

)

Dividing by Qi yields the reciprocal of the self capacitance Pii.Combining these equations yields the following capacitance matrix expres-

sion for all nodal voltages:

V = PQ

98

Page 119: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

with Pij =

14πεrε0rij

i 6= j

12πεrε0wh

(h ln w+

√w2+h2

h + w ln h+√

w2+h2

w

)i = j

.

The energy is then

We =1

2QTV =

1

2QTPQ , (3.27)

and the complete Lagrangian is specified by

L =1

2qTMq − 1

2qTKq − 1

2QTP(x )Q . (3.28)

The accuracy of the lumping increases by making the elements smaller fora given beam geometry.

Nonconservative Work

Energy is introduced into the system by the voltage source, and dissipated bythe damping of the structure. The variation of nonconservative work thereforereads

δW nc = δqT (−Eq)︸ ︷︷ ︸Ξ q

+δQT V in︸︷︷︸ΞQ

. (3.29)

Ξ q and ΞQ are the generalised forces for the mechanical and electrical degreesof freedom. The vector V in has an entry Vin for all charge nodes on the upperbeam, and an entry 0 for all charges on the lower beam.

Rayleigh Damping

The damping matrix E is usually calculated by a linear combination of thestiffness and mass matrix

E = cmM + ckK = αM + βK (3.30)

using the mode-preserving Rayleigh damping formulation [222].Let φi be the mode shapes of a (discretised) system, i.e., the shapes of free

vibrations. A deformation due to a vibration can be expressed as superpositionof these modes:

q = φiYi,

99

Page 120: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

where the Yi are the nodal amplitudes. It can be shown that for an undampedsystem (a system consisting only of M and K), the modes fulfil orthogonalityconditions:

φTi Mφj = 0

φTi Kφj = 0

for m 6= n.

We require that this orthogonality condition also holds for the dampingmatrix. The following condition is sufficient for this:

E = M∞∑

b=0

ab

[M−1K

]b.

Rayleigh damping is a special case where only the first and second term areused. When the damping matrix is proportional to the mass matrix, thedamping ratio is inversely proportional to the vibration frequency; thus thehigh frequency modes have very little damping; In contrast, if the dampingmatrix is proportional to the stiffness matrix, the damping ratio is proportionalto the frequency and the higher modes have very high damping. By using thefull formulation, it is possible to tune the damping of each mode by a properchoice of the ab (see [222] for details).

This choice of E results in a number of interesting properties:

• The modes of the system do not couple through damping

• The vibration mode shapes are the same for the damped and undampedsystem

• The essential dynamic response is associated with the lowest few modalcoordinates and thus suitable for reduction.

There are also intuitive interpretations of this form, basically saying that thedamping contributions come from internal friction (stiffness matrix) and thesurrounding air (mass matrix), and it often happens that the resulting be-haviour is sufficiently accurate for many applications.

Still, this is a very heuristic approach which needs fitting to experiments.A physical treatment of the thin film damping of common microsystem struc-tures, namely plates with holes, can be found in [166, 223]. Using these modelsfor the damping matrix, the properties listed above might then be lost, sincethe damping matrix is not any more a linear combination of mass and stiffness.

100

Page 121: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

Equations of Motion

With (3.6), we can calculate the equations of motion. We then get the equa-tions

j

(Mij qj + Eij qj + Kijqj +

1

2

k

Qj∂Pjk

∂qiQk

)= 0 with

∂Pjk

∂θi= 0

(3.31a)∑

j

PijQj = Vin,i (3.31b)

subject to q(t = 0) = q0 and q(t = 0) = q0 .The fourth term in (3.31a) is highly nonlinear and strongly couples all de-

grees of freedom due to the dependency of the capacitance matrix on thestructural degrees of freedom.

Input and Output Terminals

Input terminals are provided by the scatter matrix B; in this case, this is onlythe voltage Vin. Further, the z displacement of the middle node is chosen asoutput terminal by the gather matrix C. We combine equations (3.31a) and(3.31b) by using x = (qT QT )T . All nonlinearities are moved to a vectorf (x , Vin) on the right side. Since this vector contains redundant zero entries,we use a scatter matrix F to be able to use a vector f with nonzero entriesonly. This yields the following system:

Mx + Ex + Kx = BVin + Ff (x , Vin)

y = Cx . (3.32)

3.8.3. Geometric Nonlinearities

We now want to have a closer look onto the coupling of spatial degrees offreedoms which results in geometric nonlinearities. Geometric nonlinearitiesare only relevant for the stiffness matrix, thus we will consider a stationaryproblem

K(q )q = f (q ), (3.33)

where both K and f may depend on the displacement q , requiring an iterativeprocedure [186, 224, 225].

101

Page 122: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

In this iterative procedure, new displacements will be calculated incremen-tally, and so are the strains, yielding nonlinear strain-displacement relationsfor solid elements. The equation is then solved by, e.g., the Newton-Raphsonprocedure (see section 3.3.4 on page 64).

In the linear case, the strain-displacement relationship (3.8) is ǫ = du , thestress-strain relationship (3.9) is σ = Eǫ. The stresses and strains relate tothe FEM DOFs by (3.23b)

ǫ(x, t) = du = dN (x) q(t) = B(x) q(t)

σ(x, t) = Eǫ = E B(x) q(t)

Now, we assume that the strain-displacement relations depend on the cur-rent deformation. Let us assume that the differential of the strain can bewritten as

dǫ = B(q ) dq . (3.34)

which can be rewritten [181] by splitting B(q) into a constant part B0 and aposition-dependent part BL(q):

dǫ = [B0 + BL(q)] dq , (3.35)

the differential of which is

dB = dBL

and in the same way,

dσ = Edǫ = E [B0 + BL(q)] dq . (3.36)

We now combine equation (3.24) on page 95 with (3.33) and (3.35). We definethe residual

R =

ΩBLσdΩ − f .

The derivative of the residual with respect to the FEM DOFs is

∂R

∂q=

∂q

(∫

ΩBTσdΩ − f

)

=

Ω

∂BT

∂qσdΩ +

ΩBT ∂σ

∂qdΩ − ∂f

∂q

102

Page 123: Complexity Reduction Techniques for Advanced MEMS

3

3.8. Spatial Discretisation Methods

=

Ω

∂BTL

∂qσdΩ +

Ω[B0 + BL]T

∂q(E [B0 + BL] q) dΩ − ∂f

∂q

= (Kσ + K0 + KL + Kf )∂q

∂q= KT .

in which, using equation (3.34) and (3.36),

K0 =

ΩBT

0 EB0dΩ

is the usual small displacement stiffness matrix ,

KL =

Ω

(BT

0 EBL + BTLEBL + BT

LEB0

)dΩ,

is the matrix which includes the large displacement terms (the initial displace-ment matrix or large displacement matrix ),

Kσ =

Ω

∂BTL

∂qσdΩ

is the geometric matrix or initial stress matrix , and

Kf = −∂f

∂q

the tangent stiffness matrix of the load (load-correction matrix ). The sum ofthese matrices KT is called the total tangential stiffness matrix .

The tangent stiffness matrix is then used as Jacobian for the Newton-Raphson procedure. We now need to find how to calculate BL and ∂BL/∂q .Let us return to equation (3.7) on page 68. The first two terms are the linearterms; in addition, there is a new strain component from the second part,

γij =1

2

(∂ui

∂xj+

∂uj

∂xi

)+

1

2

(∂um

∂xi

∂um

∂xj

)= γ0,ij + γL,ij.

This will now be applied to the shape functions N of our discretisation. Ingeneral structural mechanics, the shape functions are vector valued, so thevector of shape functions turns to a matrix.

γL,ij =1

2

∂(Nkmqk)

∂xi

∂(Nlmql)

∂xj

103

Page 124: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

or written out in 3D:

γL =1

2

(∂NT

∂x q)2 (

∂NT

∂x q)T

∂NT

∂y q(

∂NT

∂x q)T

∂NT

∂z q(

∂NT

∂x q)T

∂NT

∂y q(

∂NT

∂y q)2 (

∂NT

∂y q)T

∂NT

∂z q(

∂NT

∂x q)T

∂NT

∂z q(

∂NT

∂y q)T

∂NT

∂z q(

∂NT

∂x q)2

ǫL =1

2

(qT ∂N

∂x

∂NT

∂xq qT ∂N

∂y

∂NT

∂yq qT ∂N

∂z

∂NT

∂zq

2qT ∂N

∂x

∂NT

∂yq 2qT ∂N

∂y

∂NT

∂zq 2qT ∂N

∂x

∂NT

∂zq

)T

so that

BL(q) =1

2

qT (∂N/∂x)(∂NT /∂x)

qT (∂N/∂y)(∂NT /∂y)

qT (∂N/∂z)(∂NT /∂z)

2qT (∂N/∂x)(∂NT /∂y)

2qT (∂N/∂y)(∂NT /∂z)

2qT (∂N/∂x)(∂NT /∂z)

where

(qT ∂N

∂xi

∂NT

∂xj

)

l

= qk∂Nkm

∂xi

∂Nlm

∂xj,

from which it is trivial to calculate ∂BL(q)/∂q . In conclusion, we observethat geometric nonlinearities generate terms with polynomial occurrences ofthe degrees of freedom and are thus a candidate for MOR algorithms forpolynomial systems.

3.9. Fluidics

A fluid is a substance which cannot withstand any attempt to change itsshape. The shape of a liquid fluid droplet is determined by its internal cohesionforces and interfaces to other surfaces, where the chemistry on the interfacedetermines the properties of wetting.

104

Page 125: Complexity Reduction Techniques for Advanced MEMS

3

3.9. Fluidics

stationary boundary plate

moving boundary plate

Fluidvelocity v

velocity gradient∂v/∂x

x

Figure 3.19: The viscosity of a fluid leads to a finite velocity gradient. The upperplate in the picture is moved with a velocity v, the fluid velocity linearlydecreases to zero as we approach the stationary wall.

3.9.1. Fluid Dynamics

The motion of a fluid can be described by the Navier-Stokes equations [146].A fluid exhibits resistance to a shear rate. The measure for this resistanceis the viscosity (more precisely, the dynamic viscosity) µ = τxy/(∂v/∂x) (seefigure 3.19), which relates shear stress τ to the velocity gradient. For example,the viscosity of water is 10−3 kg/(ms), the viscosity of air 1.8 · 10−5 kg/(ms).Newtonian fluids are fluids where shear stress and velocity gradient are pro-portional.

Navier Stokes Equations

Define the material derivative as ∂/∂t := ∂/∂t+v · grad . For the velocityv , density , shear stress τ , pressure p, specific internal energy e, temperatureT , thermal conductivity κ and time t, the equations are as follows:

• Continuity equation:

1

D

Dt+ div v = 0 (3.37)

or, following Einstein notation, xi = x, y, z

1

(∂

∂t+ vxi

∂xi

)+

∂vxi

∂xi

= 0

• Momentum equation:

Dv

Dt= f − grad p + div τ (3.38)

105

Page 126: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

or

(∂vxj

∂t+ vxi

∂vxj

∂xi

)= fxj

− ∂p

∂xj+

∂τxixj

∂xi

∀xj = x, y, z

• Energy equation:

De

Dt+ p div v = Φ + div (κ grad T )

or

(∂e

∂t+ vxi

∂e

∂xi

)+ p

∂vxi

∂xi

= Φ +∂

∂xi

∂T

∂xi

)

The stress tensor τ is

τxixj= µ

(∂vxi

∂xj+

∂vxj

∂xi

)− δij

2

3µ div v

with the Kronecker delta function δij , and Φ ≡ τ · grad v is given as

Φ = µ

[−2

3

(∂vx

∂x+

∂vy

∂y+

∂vz

∂z

)2

+ 2

(∂vx

∂x

)2

+ 2

(∂vy

∂y

)2

+ 2

(∂vz

∂z

)2

+

(∂vx

∂y+

∂vy

∂x

)2

+

(∂vy

∂z+

∂vz

∂y

)2

+

(∂vz

∂x+

∂vx

∂z

)2]

These equations have their limits when model sizes begin to decrease, andwhen fluids behave not with the ideal assumptions mentioned above, e.g.,non-Newtonian fluids as blood or feedstocks for injection moulding.

Incompressible Liquids

For incompressible liquids like water, there are a few simplifications, since thedensity is constant (∂/∂t = 0). The continuity equation (3.37) reduces to:

div v = 0.

As a consequence, the stress tensor τ simplifies to

τxixj= µ

(∂vxi

∂xj+

∂vxj

∂xi

).

106

Page 127: Complexity Reduction Techniques for Advanced MEMS

3

3.9. Fluidics

and thus

∂τxixj

∂xi= µ

(∂

∂xi

∂vxi

∂xj+

∂2vxj

∂x2i

)

∂τxixj

∂xi= µ

(∂

∂xj

∂vxi

∂xi+

∂2vxj

∂x2i

)

The first term on the right hand side vanishes in the sum, since div v = 0on the complete simulation domain and therefore ∂(div v)/∂xi also vanishes.This leaves the momentum equation for incompressible, viscous flow:

Dv

Dt= f − grad p + µ div grad v .

3.9.2. Wetting on Surfaces

A very convenient physical description of wetting is given by the concept of asurface tension or interfacial tensions.

Surface tension describes the surface’s Helmholtz free energy per area of aliquid. It causes the desire of a liquid to form a shape by which the totalenergy is minimised. In the case of a single phase this means minimisation ofthe surface area, leading to spherical drops. When the liquid has interfaces todifferent other materials, non-spherical shapes with larger surface areas mayarise. The driving forces for this behaviour are intermolecular forces, the co-hesion forces [226]. In the case of uncharged molecules, Van der Waals forcesform the essential contribution, If two dipoles interact, the effect is called ori-entation effect or Keesom interaction [227]. When a dipole molecule is placednearby an otherwise non-polar molecule, the electric field of the permanentdipole causes a shift in the time average of the electron densities of the secondmolecule, inducing a temporary dipole (induction effect , Debye interaction).Also non-polar molecules are subject to attraction due to dispersion forces(London forces). Dispersion forces come from the instantaneous position ofelectrons orbiting the nuclei causing small transient dipole moments [228–230].

Water molecules attract each other by hydrogen bonds which are strongerthan usual Van der Waals forces. Hydrogen bonds are a kind of electrostaticinteraction, but the length of the H · · ·O bond is smaller than expected fromVan der Waals calculations. The interaction with electronegative atoms isstronger due to the small size of the hydrogen atoms and their tendency tobecome positively polarised and is therefore a special property of hydrogen.

107

Page 128: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

Figure 3.20: Cohesive forces inside and on the surface. The molecules on the surfaceinteract with fewer nearest neighbours and therefore are subject toa stronger lateral force. The break of symmetry causes an inwardspointing force.

Surface Tension and Surface Energy

In the interior of the fluid, the sum of the intermolecular forces of all neigh-bouring molecules vanishes on average for symmetry reasons; further, theseneighbouring molecules screen the electrostatic field by reorientation of theircharges.

On the surface, this symmetry is broken and a force pointing inwardsresults(see figure 3.20). Furthermore, the number of nearest neighbours issmaller than inside the droplet, which increases the lateral stress and the de-sire of the surface to contract due to the loss of screening. The result of theseforces is the surface tension. This result can also expressed in terms of surfaceenergy.

When a droplet of liquid is partitioned into two parts, energy must bespent to break Van der Waals interactions. While no energy is needed forthe interior of the new droplets, because the molecule and force constitutionpersists, the molecules on the surface are now exposed to the surroundingmedium. In the case of no surrounding, i.e., in vacuum, the reversible workneeded to separate two surfaces with unit area from contact to infinity, henceovercoming the interaction energy barrier, is called the work of cohesion. Sincethe interior is not altered, the total work is only dependent and thereforedirectly proportional to the increase of surface area.

For a balanced partition, each droplet gains half the work of cohesion W11.Assume that every partiton’s area increases by ∆A, then the free energychange per surface area is called surface tension

γ =1

2

W11

∆A, [γ] = J/m2.

108

Page 129: Complexity Reduction Techniques for Advanced MEMS

3

3.9. Fluidics

Interface Energy and Contact Angles

If two surfaces 1 and 2 are brought to contact, we can likewise calculate a totalinterfacial tension for the interface. This interfacial tension can be deducedfrom three steps: Partitioning of both liquids to obtain the plain surfaces(W11,W22) and energy gain for bringing them into contact (W12), yielding theDupré equation [231, 232]

γ12 =1

2

W11

∆A+

1

2

W22

∆A− W12

∆A= γ1 + γ2 −

W12

∆A.

The free potential energy (Helmholtz free energy) of such a surface A canbe calculated with the surface integral

V =

Aγ12dA′. (3.39)

The consequence of that is that if a droplet has several interfaces to differentmaterials, it can be favourable to increase the total area, depending on thedifference of interfacial tensions.

Consider a droplet L on a surface S in medium W and let ASL, ASW andAWL be the respective interface areas (figure 3.21 on the next page). Thedroplet is in contact with two materials: The vapour phase and the substrate.Now, three different interfacial energies interact: γLW for the liquid/vapourinterface, γSL for the substrate/liquid interface and γSW for the substrate/-vapour interface. The line where the three phases meet is called the contactline, and the angle of the liquid phase is called the contact angle θ. The surfaceenergy of this system is

F = γSLASL + γSW ASW + γWLAWL.

A shift δx of the droplet radius r parallel to S will decrease ASW by 2πrδxand increase ALS by the same amount. AWL, however, will only increase byan amount of 2πrδx · cos θ (see figure 3.21 on the following page). The energychange δF can then be expressed as

δF = γSL2πrδx − γSW 2πrδx + γLW 2πrδx cos θ (3.40)

109

Page 130: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

xδS

W

θL

Figure 3.21: A droplet sitting on a planar surface. The contact angle to the surfacedepends on the three interface tensions around the edge.

---- ----

+ + + ++ + + +

θ

d δx

Figure 3.22: Schematic picture of the virtual displacement of the contact line.

Equilibrium and thus an energy minimum is reached when δF/δA = 0. Thisleads to the Young equation

γLS − γSW + γWL cos θ = 0. (3.41)

For some systems, the value for cos θ is above 1. The result is that the liquidcovers the solid S completely and forms a thin film.

These results assume a chemically homogeneous and plane surface. On het-erogeneous and rough surfaces [233], we observe effects like contact line pin-ning , that is, because the contact line can slide along a small curved bump,the apparent macroscopic contact angle can take values from a large range,although on a smaller length scale we have the expected contact angle. Byusing certain special regular surface nanostructures, a surface can macroscop-ically appear to be very hydrophobic although its interfacial tension to waterpromotes hydrophilicity [234–236].

There is also an effect called contact line tension [237] Γ, i.e., there is anadditional energy which is proportional to the length L of the contact line,Vlt = ΓL. A measurable influence of this effect is to be expected in the nanome-tre range, while on larger scales, interfacial tension will dominate [238], whichis the case in the setups we will simulate. Still, in the simulation method wepresent, it is very easy to account for those effects when it becomes necessary.

110

Page 131: Complexity Reduction Techniques for Advanced MEMS

3

3.9. Fluidics

Laplace Pressure

Consider now a small area element dA on the interface of two media L and W .We assume that the surface curvature for a small patch can be approximatedby two principal (maximum and minimum) radii R1 and R2 (with centre insidethe liquid). Further, let dA be described by two perpendicular arc pieces dl1and dl2, yielding dA = dl1dl2. For a small shift δr along the normal n of thepatch, dl1 and dl2 will change by

δdl1 = δrdl1R1

δdl2 = δrdl2R2

resulting in an area change δdA of

δdA = (dl1 + δdl1) (dl1 + δdl1) − dA

= dl1

(1 +

δr

R1

)dl2

(1 +

δr

R2

)− dl1dl2

≈ dl1dl2δr

(1

R1+

1

R2

)

= dAδr2H,

where H is called the mean curvature of the surface.This increase of area results in a work Wc = γ12δdA and thus in a force

Fc = γ12δdA/δr, which must be compensated by a pressure difference ∆p(Laplace pressure) giving the force Fp = ∆pdA [239]:

γ12δdA/δr!= ∆pdA

⇐⇒ 2γ12HdA = ∆pdA

⇐⇒ ∆p = 2γ12H. (3.42)

3.9.3. Free Surfaces in the Navier-Stokes Equations

As discussed in section 3.9.2, a free surface leads to an additional pressure onthe boundary. This can be inserted in the momentum equation (3.38) by anadditional force term [239, 240]. For ideal fluids and constant surface tensionover the surface, following (3.42) this is

f sft = 2γ12Hnδ(x − x 0)

111

Page 132: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

= (γ12 divn)nδ(x − x 0),

where the delta function δ(x − x 0) localises the surface tension force on thesurface described by the coordinate x 0 and n is the normal vector pointingaway from the centre of curvature. The momentum equation then reads:

Dv

Dt= f − grad p + div τ + (γ12 divn)nδ(x − x 0).

3.10. Electrowetting

This section presents a very interesting example of a coupled system whichshows a behaviour which is not obvious at first sight.

We consider a device as shown in figure 1.1 on page 9. An electric voltage Vis applied between the electrode and the droplet. Electric charges accumulateas in a capacitor, so electrostatic energy is stored, leading to a change of thecontact angle of the droplet [51] as shown in figure 3.23.

Let us continue from equation (3.40) on page 109,

δF = γSL2πrδx − γSW 2πrδx + γLW 2πrδx cos θ

Due to the additional electrostatic energy, the variation of free energy nowreads [241–244]:

V=0 V=0

L

S

V

+

- - - - -

+ + + +

Figure 3.23: Droplet changing its contact angle due to electrowetting.

δF = γSL2πrδx − γSW 2πrδx + γLW 2πrδx cos θ + δWe − δWB (3.43)

(see also figure 3.22 on page 110) where We is the energy stored in the electricfield in the dielectric layer, WB = V Q the work done by the voltage source tobuild up the potential between droplet and electrode and Q the transportedcharge.

112

Page 133: Complexity Reduction Techniques for Advanced MEMS

3

3.10. Electrowetting

The energy stored in a capacitor with large area A, small plate distance dand relative dielectric constant εr of the material in between for a voltage Vis given (see equation (3.15) on page 75) as

We =1

2CV 2 =

1

2

εrε0A

dV 2,

where ε0 is the dielectric constant of vacuum.Now we assume that the droplet changes its area by δA = 2πrδx because

of movement of the contact line. Then the energy of the electric field changesby

δWe

δA=

1

2

εrε0

dV 2.

The additional energy is fed into the system by the voltage source, so that

δWB

δA=

εrε0

dV 2.

δWe/δA and δWB/δA can be combined to an electrowetting term γEW =δWB/δA − δWe/δA, whereupon from (3.43) follows

δF

δA= γSL − γSW + γLW cos θ − γEW

γEW =1

2

εrε0

dV 2.

The Young equation (3.41) then becomes

cos θ =γSW − γSL + 1

2εrε0

d V 2

γLW.

This can be modelled as an equivalent interfacial tension of the liquid to thesubstrate, i.e.,

γSL(V ) = γSL(0) − 1

2

εrε0

dV 2 (3.44)

on those parts of the contact area where it overlaps with the respective elec-trode. If AC voltage is applied, then V must be replaced by Veff. Figure 3.24on the next page shows experimental results for a 10 µl droplet. To studythe effect of protein admixture, different amounts of peroxidase (POD) weredissolved in a phosphate buffered saline (PBS) solution. The dielectric layer

113

Page 134: Complexity Reduction Techniques for Advanced MEMS

3. Modelling and Simulation of MEMS

50

60

70

80

90

100

110

120

0 50 100 150 200

Con

tact

ang

le [

deg]

Voltage[V]

1 g/l POD0.1 g/l POD0.01 g/l POD0.001 g/l POD0.0001 g/l POD0.00001 g/l POD

Figure 3.24: Experimental results for electrowetting. Data courtesy of Miguel Mar-tinez.

is composed of 3 µm of Teflon and another 3 µm of Probimid 114. The resultswere obtained with a Krüss drop shape analysis system DSA10-Mk2 (SessileDrop method, tangent1).

The contact angle saturates at a certain point, i.e., though the voltage isincreased further, the contact angle remains above a critical value. The reasonfor this behaviour is unclear; a number of different explanations exist, rangingfrom trapped charges [243], the polarisation of the dielectric layer [245], or theionisation of the air in the vicinity of the contact line [33].

Further, the model in equation equation (3.44) on the preceding page doesnot include all possible effects coming from impurities, ion motion inside theliquid or double layers. For example, it was observed that due to the doublelayer there is a dependence on the polarity of the voltage applied, which is notresolved in the V 2 term [72].

Chapter summary

• Physical laws are described by ODEs or PDEs, which, after discretisa-tion, can be expressed in a general equation format.

• The finite element method is the standard method for spatial discreti-sation of PDEs. The application to nonlinear material properties andgeometric nonlinearities was presented.

114

Page 135: Complexity Reduction Techniques for Advanced MEMS

3

3.10. Electrowetting

• The solution of nonlinear systems of equations with the Newton Raphsonprocedure may not converge; homotopy methods with path following aremore stable and can also efficiently treat bifurcations.

• Also, for numerical time integration, advanced algorithms significantlyreduce the error of the transient response.

• Lagrangian mechanics is a powerful framework which treats coupling ina very natural way and is therefore ideally suited for MEMS.

• Compact models for beams or parallel plate capacitor transducer elementscan decrease the complexity of the model from the start.

• Electrostatic-structural coupling gives rise to nonlinearity due to the de-formation of the electrostatic simulation domain and due to the inherentnonlinearity of the electrostatic force.

• Wetting of surfaces can be described by the minimisation of surface andinterfacial energies.

• This model can easily extended to include electrowetting by modifyinginterfacial energies using a capacitor model.

115

Page 136: Complexity Reduction Techniques for Advanced MEMS

116

Page 137: Complexity Reduction Techniques for Advanced MEMS

4

4. Model Order Reduction

2 is not equal to 3 - not even for very large values of 2.

Grabel’s Law

For the model order reduction of linear systems, there is already a lot ofwork available. We will review some of the most important approaches,

the most promising up to now being the methods based on Krylov subspaces.For nonlinear model order reduction, there are less theoretical results, and dueto the wide range of possible systems a unified approach is improbable. Still,there are two main paths on which one can proceed: Using a trajectory basedapproach, a way which is very general but requires a good training input, andapproaches exploiting a special structure of the system of equations.

4.1. System Theory

Let us consider a system of the form as in equation (3.2) on page 57, but at themoment without the nonlinear terms, further with constant inputs includedin Bu:

Mx (t) + Ex (t) + Kx (t) = Bu(t)

y(t) = Cx (t) + Du(t).(4.1)

If the matrices are constant, the system is linear time invariant (LTI), i.e., itstransfer function does not depend on time and there are only linear occurrencesof the state variables x and their derivatives. As shown in section 3.3.1 onpage 60, it is possible to transform this system to a first order system. This isespecially useful as many MOR methods we discuss are defined for first ordersystems

Ex (t) = Ax (t) + Bu(t)

y(t) = Cx (t) + Du(t).

117

Page 138: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Another representation is in the state space form

x (t) = A′x (t) + B′u(t)

y(t) = Cx (t) + Du(t); (4.2)

in this case, A′ = E−1A and B′ = E−1B. This implies that E is invertible;on the other hand, if it is singular, we do not have a system of ODEs, butrather a system of algebraic-differential equations (ADE), i.e., a system whereequations with time derivatives and stationary equations are mixed.

Different authors use different representations of those systems. In order toremain close to the original ideas, if we review a model order reduction method,we will use the representation which is also used in the literature. Althoughthis means that the representation will change for the different methods, wethink it is better to follow the original papers to facilitate further extendedreading of the available literature.

It is formally easy to write the inverse of matrices; in practical use, the largesize (possibly with millions of rows and columns) of these matrices inhibits theexplicit calculation of the inverse. But fortunately in many cases we find thatthe operation is equivalent to solving a system of linear equations for whichfast solvers exist, or for which it is possible to decompose the system. Oneexample is the LU decomposition, where a matrix E is decomposed into a lowertriangular matrix L and an upper triangular matrix U such that LU = Eby using a modified Gaussian elimination. Depending on the properties ofE, it may be necessary to apply a permutation to E, in which case a PLUdecomposition results.

The main advantage of this decomposition is that due to the special formof L and U it is easy to solve the system of linear equations Ex = f foran arbitrary right-hand-side vector f by doing a back substitution to solveUy = f and another back substitution Lx = y which are computationallycheap.

4.1.1. Transfer functions

We now Laplace transform the system (4.1) to yield the transfer function infrequency space. The Laplace transform is defined by

L f(t) =

∫ ∞

0f(t)e−stdt

118

Page 139: Complexity Reduction Techniques for Advanced MEMS

4

4.1. System Theory

with complex frequency s = σ + iω. From the linearity of the integral itfollows that the Laplace transform is also linear. Applying the transform to(3.2), we get

Ms2X (s) + EsX (s) + KX (s) = BU (s)

Y (s) = CX (s) + DU (s).

We now rewrite the equations

(s2M + sE + K

)X (s) = BU (s)

Y (s) = CX (s) + DU (s),

⇔ X (s) =(s2M + sE + K

)−1BU (s)

Y (s) = CX (s) + DU (s)

⇔ Y (s) = C(s2M + sE + K

)−1BU (s) + DU (s),

and finally,

H(s) =Y (s)

U (s)= C

((s2M + sE + K

)−1B + D

),

which in the general case is a matrix relating an input vector to an outputvector. Often, the D is zero, and we have

H(s) = C(s2M + sE + K

)−1B.

Since D has no connection to the internal states, it is not considered impor-tant for the model order reduction and thus not employed in the algorithmsdiscussed here. The components of H(s) are rational functions of the form

Hij(s) =b0 + b1s + · · · + bn−1s

n

1 + a1s + · · · + ansn.

The transfer function is called proper iff for all i, j: lims→∞ Hij(s) < ∞and strictly proper iff lims→∞ Hij(s) = 0.

119

Page 140: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

4.1.2. Observability, Controllability Minimal Representation

Depending on the number of rows nr and columns nc of H, the system is called

• Single-input-single-output system (SISO) if nr = nc = 1,

• Single-input-multiple-output system (SIMO) if nc = 1 and nr > 1,

• Multiple-input-single-output system (MISO) if nr = 1 and nc > 1, and

• Multiple-input-multiple-output system (MIMO) if nr > 1 and nc > 1.

A system with transfer function H(s) is called a realization of H. In casethat the dimension of the system matrix A′ in equation (4.2) on page 118 isas small as possible, the realization is called a minimal realization. The size ofthe system matrix of such a realization is called the McMillan degree of H(s).Then, and only then, it is controllable and observable, i.e., there are no stateswhich cannot be controlled or observed.

A system is said to be controllable if for any initial state x 0 and any finalstate x 1 there exists an input u(t) that transfers x 0 to x 1 in finite time. Then,the controllability matrix of the system [B′ A′B′ . . . A′n−1B′] has full rowrank.

The system is observable if from any final state x 1 there exists a finitet1 > 0 such that from the input history u(t) and the output history overthe interval [0, t1] the initial state can be calculated. Then, the observabilitymatrix [CT ATCT . . . (AT )n−1CT ]T has full column rank (see also [96]). Twominimal state space realizations of the same transfer function can be convertedto each other by a transformation x = Sx .

4.1.3. Passivity and Stability

Let us compute the transfer function of the system

Ex (t) = Ax (t) + Bu(t)

y(t) = Cx (t) + Du(t) (4.3)

which turns out to be

H(s) = D + C(sE− A)−1B

= D− C(I − sA−1E)−1A−1B.

Assuming that A−1E is nonsingular, we diagonalise the matrix into [246]

A−1E = ΦΛΦT .

120

Page 141: Complexity Reduction Techniques for Advanced MEMS

4

4.1. System Theory

where Φ is the orthonormal matrix of eigenvectors (and thus Φ−1 = ΦT ) andΛ = diag(λ1, . . . , λn) ∈ C

n×n are the eigenvalues. After substituting in thetransfer function,

H(s) = D− C(I − sΦΛΦT )−1A−1B

= D− CΦ(I − sΛ)−1ΦTA−1B.

The term I − sΛ is diagonal, thus we can rewrite the transfer function. Letus for now suppose that C and B are single row and single column matrices,then

H(s) = D −n∑

i=1

(CΦ)i(ΦTA−1B)i

1 − sλi.

For a minimal representation, the poles of H are defined as the values of s forwhich the denominator of H becomes zero, that is

s∞,i =1

λi.

In case of a non-minimal representation, it is possible that not all eigenvaluesare poles, since zeros in the numerator may occur.

The poles and eigenvalues carry information on the general behaviour of thesystem. One important property is stability .

Stability: A system is called stable if the free response, i.e., the solution x (t)for u = 0 and any initial state x (0), remains bounded as t → ∞.

In other words, without additional input, there is, depending on the initialstate, a maximum the system state can reach.

With the eigenvalues available, it is possible to test the stability of thetransfer function with the following theorem:

A system (4.3) is stable iff

1. All finite eigenvalues λi of A−1E satisfy Re(s) ≤ 0

2. All finite λi of A−1E with Re(s) = 0 are simple.

In case of a minimal realization, it is also possible to perform the same testwith the poles of H.

Connected with stability is passivity . Especially when dealing with elec-tronic circuits, it is vital for any calculation that the system does not generateenergy if no energy sources like voltage or current sources are present. Pas-sivity is an even stronger property than stability. The definition we use hereis valid only for systems with the same number of inputs and outputs.

121

Page 142: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Passivity: A system is called passive if∫ t−∞ u(τ)Ty(τ)dτ ≥ 0 ∀t, ∀u.

Passivity can be checked with the following conditions:

A system (4.3) is passive iff

1. All poles s∞,i of H satisfy Re(s) ≤ 0

2. H(s) = H(s)

3. H = H(s) + H∗(s) is Hermitian positive semi-definite, i.e.,for all z ∈ C

n, z ∗Hz ≥ 0.

Item 2 is always true since the matrices are real. Passive systems are alsostable.

Since those properties are essential for the successful application of a system,model order reduction methods should retain them also for the reduced system;otherwise, the system’s behaviour is not represented correctly, and problemsfor the solution of the equations may arise.

4.2. MOR for Linear Systems

For linear systems, there is already a vast knowledge of methods; the prob-lem of reducing such a system is solved for a large range of applications. Themethods exist and are well tested, some have error estimates which give math-ematically sound limits on their accuracy [4, 79, 81, 247]. In this section, wewill review some of the most important methods. They build the basis for thereduction of polynomial systems we use in this thesis.

Using the system

Mx (t) + Ex (t) + Kx (t) = Bu(t)

y(t) = Cx (t) + Du(t).(4.4)

as above with dimension n, let us assume that we can represent the solutionin a low-dimensional subspace such that

x = Vx r + ε

where ε ∈ Rn is an approximation error we seek to minimise, V is a square

n × nr matrix and the vector of reduced states x r ∈ Rnr .

We insert this projection into equation (4.4) to get

MVx r(t) + EVx r(t) + KVx r(t) = Bu(t)

y(t) = CVx (t) + Du(t).

122

Page 143: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

In the case of first order system, the analogous argument can be made bysimply removing the M matrix.

To finish the projection to the subspace, another matrix WT with dimensionnr × n is left-multiplied to the system:

WTMVx r(t) + WTEVx r(t) + WTKVx r(t) = WTBu(t)

y(t) = CVx (t) + Du(t),

or,

Mrx r(t) + Erx r(t) + Krx r(t) = Bru(t)

y(t) = Crx r(t) + Du(t).(4.5)

with Mr = WTMV ∈ Rnr×nr , Er = WTEV ∈ R

nr×nr , Kr = WTKV ∈R

nr×nr , Br = WTB ∈ Rn×m and Cr = CV ∈ R

p×nr , with numbers as inequation (3.2) on page 57. This Galerkin condition enforces that the residualvanishes in the space spanned by W. This type of transformation is alsoknown as congruence transformation in the case of W = V and can be shownto preserve the passivity [246, 248].

The main difference of the following reduction methods is the choice of Wand V. In the following overview, we will focus on the Arnoldi method, theGuyan method and the balanced truncation, since they are important for thisthesis, and only touch on the remaining methods.

4.2.1. Guyan Method

The Guyan method is a extension of the Schur complement applied to station-ary systems. Take the system

Kx = f .

Usually, there is a set of computational nodes which is in some way exposed,e.g., where the device interfaces to others or to its surround, be it an input,an output, or some part where a lot of motion is expected. Call the vector ofthose master nodes xe, the remaining degrees of freedom xi and reorder thesystem such that it can be written

[Kee Kei

Kie Kii

](x e

x i

)=

(f e

f i

). (4.6)

We now can use the Schur complement [116, 249]: Solve the equations for x i

and x e:

x e = K−1ee f e − K−1

ee Keix i (4.7a)

123

Page 144: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

x i = K−1ii f i − K−1

ii Kiex e. (4.7b)

By inserting equation (4.7b) into the first row of (4.6), we get(Kee − KeiK

−1ii Kie

)x e = f e − KeiK

−1ii f i

and so the reduced load and stiffness matrix are

Kr = Kee − KeiK−1ii Kie

f r = f e − KeiK−1ii f i

The projection matrices of the Guyan method are thus

W =

[I

−KeiK−1ii

]V =

[I

−K−1ii Kie

]

Up to now, this so called matrix condensation is exact, as no approximationshave been made. Note than since Kie and Kei are rectangular and since theset of master nodes is usually small, there is no need to calculate the inverseexplicitely [250]; it is more advantageous to factorise them, by, e.g., a LUdecomposition and perform the back substitution.

To handle non-stationary problems, we have a closer look at the resultingenergies. With the coordinate transformation

x = Vx r,

we write the approximate kinetic coenergy and potential energy,

T =1

2˙x

TM˙x = xr

TVTMVxr

V =1

2xTKx = xT

r VTKVx r

If we assume symmetric M and K, then by comparison of coefficients if followsthat the same transformation is applied to the stiffness and mass matrix, andin the case of Rayleigh damping also to the damping matrix:

Er = Eee − KeiK−1ii Eie − EeiK

−1ii Kie + KeiK

−1ii EiiK

−1ii Kie

Mr = Mee − KeiK−1ii Mie − MeiK

−1ii Kie + KeiK

−1ii MiiK

−1ii Kie

A treatment of damped structures can be found in [251, 252].

124

Page 145: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

Advantages Disadvantages

• Exact for stationary problem

• Degrees of freedom of mas-ter nodes keep their physicalmeaning, no back transforma-tion needed for master nodes

⇒ Well suited for models withterminal nodes (also called sub-structuring)

• Poor performance compared toother methods

⇒ Large subspaces needed

• Properties of the mass anddamping matrix are not takeninto account for the transfor-mation

⇒ Eigenvalue-eigenvector prob-lem is not exactly preserved

4.2.2. SVD Based Approximation Methods

Control theory has a lot of results which give rise to a family of MOR methodswith a strong mathematical background. We will discuss the family of SVDbased approximation methods. Their main advantage is that in certain norms(so called unitarily invariant norms), they are the optimal approximation [81].A prominent example is the balanced truncation approximation or truncatedbalanced realization method [253, 254]. Let us take a linear time-invariantsystem in representation

x (t) = A′x (t) + B′u(t)

y(t) = Cx (t) + Du(t);

or shorter(

x

y

)= A

(x

u

)

where

A =

[A′ B′

C D

]

We have used matrices B′ and C which reduce the space of inputs andoutput of the system, assuming that there is some knowledge of the inputsand the outputs which are interesting for the application. This also puts somelimits on the states which can be controlled through an input and which canbe observed from the output.

125

Page 146: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

If the system matrix is stable, a measure for the controllability and observ-ability of these systems are the controllability gramian

P =

∫ ∞

0eA′tB′B′T eA′T tdt

and the observability gramian

Q =

∫ ∞

0eA′T tCTCeA′tdt.

To calculate the gramians, the Lyapunov equations

A′P + PA′T + B′B′T = 0

A′TQ + QA′ + CTC = 0

can be solved when A′ is Hurwitz [255–257]. An efficient method of solvingthose is the Vector ADI method [258], however, there are reports of non-convergence of the iterative process employed [246]. The gramians dependstrongly on the realization, but the eigenvalues of PQ are invariant under astate space transformation.

The concept of controllability and observability provides means to selectstates that are least controllable and observable, and so are not important forthe given inputs and outputs.

The Hankel singular values (HSVs) of a stable system are defined as

σi =√

λi,

where λi are the eigenvalues of PQ. The HSVs are computed by a singularvalue decomposition; they are also the basis of error estimation approachesfor other methods [259], see, e.g., the rules for stop criteria for iterative modelorder reduction algorithms as the Arnoldi method [250].

In control theory, eigenvalues define a system stability, whereas Hankel sin-gular values define the “energy” of each state in the system. Keeping largerenergy states of a system preserves most of its characteristics in terms of sta-bility, frequency, and time responses [260]. The other states are removed fromthe system. At the end of the calculation, projection matrices W and V arise,which are used to truncate the system.

After applying the reduction, both the new controllability gramian P′ andthe new observability gramian Q′ are equal and diagonal, thus the name bal-anced truncation approximation (BTA).

126

Page 147: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

One of the big advantages of this method is the existence of a global errorestimate. It can be proven that [261]

‖H(s) − Hr(s)‖∞ ≤ 2 (σnr+1 + · · · + σn) .

In this sum, repeated Hankel singular values are only counted once.Model reduction based on these methods can be made fully automatic. A

user merely has to set an error bound, and then the algorithm will find thesmallest possible dimension of the reduced system which satisfies that bound.Alternatively, a user specifies the required dimension of the reduced systemand then the algorithm estimates the error bound for the reduced system.

Advantages Disadvantages

• Consideration of all relevantsystem parts, including inputand output matrices

⇒ Excellent approximation ofsystem behaviour

• Mathematically proven errorbound

• Selects states with maximumenergy

• Computationally expensive(O(n3))

• New methods as Vector ADIhave convergence issues

• An approximation error at lowfrequencies occurs which mayresult in an error in the steadystate (zero frequency)

Related methods:

• The singular perturbation approximation [262] ensures that the transferfunction match at zero frequencies. This method partitions the systeminto a “slow” system and a “fast” system, where the dominant compo-nent is in the slow system; The fast system is then neglected except forits stationary state which is integrated into the equations of the slowsystem. This approach can also be applied for nonlinear systems.

• The Hankel Norm Approximation is optimal with respect to the Hankelnorm (maximal HSV of the system). Its error bound is half of that ofBTA, but which again has the problem of steady state gain mismatch.

• The method of proper orthogonal decomposition (POD). Since this methodcan also be applied to nonlinear systems, we will discuss it later in sec-tion 4.3.1 on page 145.

127

Page 148: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Extensions and recent developments: There have been considerable effortsto find computationally effective strategies in order to apply methods basedon Hankel singular values to large-scale systems, the so-called SVD-Krylovmethods based on low-rank gramian approximants [99–102]. Another al-ternative is the matrix sign function method [103], or the use of the crossgramian [263, 264]. Further, methods for efficient approximation of the singu-lar subspaces exist [265]. These methods promise a computational complexityof less than O(n2) and have a global error estimate. However, some of them arecurrently under development and engineers will have to wait for the experienceof mathematicians to grow in this field.

4.2.3. Krylov Subspace Methods and Padé Approximants

The following methods belong to the families of Krylov subspace methods andPadé approximants.

Let us consider the system in the representation

Ex (t) = Ax (t) + Bu(t)

y(t) = Cx (t) + Du(t).

The transfer function of this system is

H(s) = D + C[sE− A]−1B. (4.8)

We now wish to expand this into a Taylor series around s0 (assuming thats0 is not a pole of H(s), so that (I − sA−1E) is nonsingular). We thereforerewrite equation (4.8) as follows [266]:

H(s) = D + C[(s − s0)E + s0E − A]−1B

= D + C[(s0E − A)[(s − s0)(s0E− A)−1E + I]]−1B

= D + C[(s − s0)(s0E − A)−1E + I]−1(s0E −A)−1B

= D− C[−(s − s0)(A − s0E)−1E + I]−1(A − s0E)−1B

= D− C[−(s − s0)A−1

E + I]−1A−1

B (4.9)

with A = A − s0E, which can be expanded to a Taylor series around s0

(assuming that s0 is not a pole of H(s), so that A− s0E is nonsingular),

H(s) = D−∞∑

i=0

(s − s0)i[C(A

−1E)iA

−1B]

128

Page 149: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

= D−∞∑

i=0

(s − s0)i CM

ir︸ ︷︷ ︸

mi

(4.10)

with M = A−1

E and r = A−1

B, where we call the mi the moments.We now look for an algorithm which generates a reduced order model whose

Taylor expansion of the transfer function Hr matches as many terms as pos-sible of H up to a certain q. We call Hr an n-th Padé approximant if q = 2n,the procedure is called moment matching .

With number of inputs m and number of outputs p, one can show that

q ≥⌊nr

m

⌋+

⌊nr

p

Note that this approximates the transfer function only locally around theexpansion point of the series; however, multiple expansion points can be usedand the subspace generated as the union of the individual subspaces.

Asymptotic waveform evaluation

The direct application of this condition (by explicitely calculating the trun-cated Taylor series and the converting the new transfer function to an ODEsystem) is called asymptotic waveform evaluation method (AWE) [267, 268].However, the procedure of calculating the model from the transfer functionis extremely ill-conditioned, numerically unstable due to round-off errors andlimited to about eight poles. It is thus not appropriate for an automatic pro-cedure. A related method is the direct truncation of the transfer function,which for the considered linear systems is of the form (SISO case)

H(s) =1 + a1s + a2s

2 + · · · + amsm

1 + b1s + b2s2 + · · · + bnsn.

In the direct truncation of the transfer function method (DTT), in the nomi-nator and denominator all terms above a certain degree of s are removed. Thisresults in a more stable approximation, both in terms of numerical stabilityand system stability as shown for RLC trees in [269].

We will thus discuss another variant, the Padé-type approximants. Theiradvantage is stability, but the number of matched moments is less than withexplicit moment matching. A disadvantage they all share is the lack of a globalerror bound except for a limited number of special cases [270].

129

Page 150: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Padé via Lanczos

The Padé via Lanczos method (PVL) is mathematically equivalent, yet nu-merically more stable than AWE. Let us for simplicity assume that D = 0 (itcan later easily be added to the reduced transfer function H(s)).

Let us describe the SISO case, i.e., C is a row vector and r a column vector.Then let us compute the 2q moments in a slightly different manner as before.First, instead of

mi = CMir

we use

m2j =

((M

T)j

C T

)T (M

jr)

m2j+1 =

((M

T)j

C T

)T

M(M

jr)

.

(4.11)

We observe that those moments are composed by the vectors of the Krylovsubspaces Krl

q(M,C T ) and Krrq(M, r). The Krylov vectors quickly converge

and thus become linearly dependent, so that they are an unsuitable basis forthe projection space. We thus look for a set of vectors V = [v 1, . . . , v q] andW = [w1, . . . ,w q], which span the same Krylov subspaces, but are computedin a more stable way. Once found, the explicit moment computation is avoided,and the modified moments

m2j = wTj v j m2j+1 = wT

j Mv j (4.12)

are used [271–273].The basic difference between (4.11) and (4.12) is that the algorithm returns

bi-orthogonal vectors, i.e.,

wTi v j =

hj if i = j0 otherwise.

The v i and w i are built from the products Av i−1 and ATw i−1, but arenormalised, and the biorthogonality is ensured through the Lanczos processby subtracting multiples of the previous vectors accordingly [94].

The matrices V = [v 1, . . . , v q] and W = [w1, . . . ,w q] can now be usedfor projecting the system, which matches the maximal number of moments,2q, therefore leading to a unique reduced transfer function Hr. A drawback

130

Page 151: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

of this algorithm is that a breakdown if wTi v i = 0, which means that those

vector are perpendicular to each other and thus it is difficult to maintain thebi-orthonormality property. The remedy is to leap over iterations where abreakdown takes place [274].

The extension to MIMO systems, called matrix Padé via Lanczos (MPVL[274, 275]), cycles through all vectors of C and r to generate block Krylovspaces and bi-orthogonalises by a modified Gram Schmidt process. The chal-lenge is how to proceed when breakdown occurs in some vectors. In this case,freely chosen inner vectors are added to complete the Krylov space.

An extension to ensure linear independency of the new basis is called adeflation-check procedure: All vectors in the two Krylov spaces which showlinear dependency are removed.

Extensions and recent developments: One major problem with this ap-proach is the possible loss of stability. Due to the oblique projection, i.e.,multiplying with different matrices from the left and the right side, it is notalways guaranteed that the resulting system retains the stability property. Onthe other hand, if M is symmetric, the Sy(M)PVL algorithm can be used,but this approach may sacrifice the definiteness of the system. Some publi-cations [96, 276] have however shown ways to avoid this problem, but withadditional computational cost involved.

Advantages Disadvantages

• Matching of 2q moments

• Transfer function unique

• Iterative algorithm:

– Can be stopped at anynumber of vectors andrestarted

– Downsizing of reducedmodels easy

• Takes into account output ma-trix ⇒ Optimises for outputterminals

• Computationally cheap (O(n×nr) for sparse matrices)

• Stability preservation notguaranteed due to obliqueprojection

⇒ Postprocessing needed todelete poles with positive realpart

• Handling of numerical instabil-ities/breakdown necessary

• Loss of accuracy away from theexpansion point (multi-pointexpansion, i.e., using severals0,i may solve this problem)

• No global error estimate

131

Page 152: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Arnoldi Method

A related Krylov subspace method is the Arnoldi method , which uses slightlydifferent Krylov subspaces for the new basis. The result are Padé-type reducedmodels, but with fewer moments matched.

Starting again from equation (4.10) on page 129

H(s) = D− C[−(s − s0)A−1

E + I]−1A−1

B

= D− C[I − (s − s0)M]−1r

mi = −CMir with M = A

−1E and r = A

−1B.

We now generate only one (block) Krylov subspace for the input matrix,

Krrq(M, r) = span(r,Mr, . . . ,M

q−1r).

Each new vector is orthonormalised against the other vectors. The projectionmatrices for the system are chosen equal, i.e.,

W = V = [v 1 . . . v2].

This orthogonalisation step makes the Arnoldi algorithm more expensive thanthe Lanczos process. However, it is problem dependent which algorithm isfaster, since the Lanczos method needs to evaluate Mv twice, and thus twoback substitutions are necessary. The algorithm for single input is [277]:

1. Set v1 = r/|r |2. For i = 1, 2, . . . , q do:

a) Set v := Mv i

b) For j = 1, 2, . . . , i − 1 do:

i. Set v := v − (vTv j)v j

c) If |v | > 0

i. Set v i+1 := v/|v |otherwise, the Krylov subspace is exhausted.

132

Page 153: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

The Krylov subspace is called exhausted when the vectors show linear de-pendency. Item 2b is the modified1 Gram Schmidt orthogonalisation, thenormalisation takes place in step 2c. This variant of the Arnoldi algorithm(also known as PRIMA) preserves the passivity of the original system [278].An alternative would be the algorithm presented in [279, 280].

It can be shown that after this projection at least q moments match [80, 281].We reproduce the proof for the single input case (B = b) in section B.3 onpage 230. Further, the subspace is invariant to a change of basis and to therealization and representation of the system. [80]

For the extension to block Arnoldi , block Krylov subspaces are used. As inthe Lanczos process, it may happen that linear dependency occurs at a subsetof the Arnoldi vectors, while the remaining vectors still form valid basis vec-tors. As seen previously, one way to deal with this is cancelling this particularvector for all remaining Krylov iterations, which is called exact deflation: letus assume that for building the Krylov subspace Krr

q(M, [r 1, . . . , rm]) defla-tion occurs for vector rk, then the process continues with the deflated matrix[r1, . . . , rk−1, rk+1, . . . , rm]. Usually, the block Krylov subspace is built upvector-wise, this makes the detection and cancellation of unsuitable vectorseasier.

Since we work with finite-precision computers, it is also indicated to deflatevectors that are close to linear dependency (at least one of the inner productsin the algorithm is close to zero, i.e., its L2 norm is below a given deflationtolerance ǫd), which is called inexact deflation.

In summary, the block Arnoldi algorithm with inexact deflation for buildingthe Krylov subspace Krr

q(M, [r 1, . . . , rm]) reads [80]:

1. Set V = [v1 , . . . , vm ] := [r1, . . . , rm]Set mc := m

2. For n = 1, 2, . . . do:

a) If |vn | < ǫd, then deflate:

i. Set mc := mc − 1ii. If mc = 0, set n := n − 1 and stop.iii. For i = n, n + 1, . . . , n + mc − 1 do:

A. Set vi := vi+1

1The difference between the modified and classical Gram Schmidt orthogonalisation is thatin the loop body of step 2b the modified Gram Schmidt procedure uses the orthogonalisedvectors whereas the classical algorithm uses the original vectors.

133

Page 154: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Return to step 2ab) Set vn := vn/|vn|c) Set vn+mc := Mvn

d) For i = 1, 2, . . . , n do:

i. vn+mc := vn+mc − vTi vn+mcv i

e) For i = n − mc + 1, n − mc + 1, . . . , n − 1 do:

i. v i+mc := v i+mc −(vT

n v i+mc

)vn

Related methods:

• The two-sided Arnoldi algorithm or dual rational Arnoldi algorithm [281]first builds the usual right Krylov subspace Krr

q(A−1

E, A−1

B) for the

input matrix. Then, it computes the subspace Krlq(A

−TET , A

−TC

T)

for the output matrix and uses it as matrix W for the projection ofthe system, leading to an oblique projection. This enhancement doesnot suffer from breakdown as the Lanczos algorithm, but still takes intoaccount the output matrix and thus can optimise for the given outputterminals. Further, it matches more moments, and the resulting transferfunction is unique. However, it suffers from the same stability issues asthe Lanczos algorithm, but the system can be stabilised [271, 282–285]at the cost of the number of matching moments.

• The ENOR algorithm [286] is another Arnoldi-like approach; the mainfocus is on reciprocity and the use of Cholesky factorisation due to theuse of a symmetric formulation using the nodal equations.

• Knockaert and Zutter [287] show that there is a relationship of Arnoldi-Krylov methods to the SVD-Laguerre algorithm. The Laguerre functions

φαn(t) =

√2αe−αt et

n!

dn

dtn(e−ttn),

where α is a scaling parameter, are used to expand the impulse responsematrix h(t) = L −1H(s). It can be shown that an m-th order Laguerreapproximation in the s-domain is equivalent to an Padé approximationin terms of u where u is a bilinear transform of s. Further, the paper dis-cusses an alternative to the Gram-Schmidt orthogonalisation by meansof the singular value decomposition.

134

Page 155: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

Extensions and recent developments:

• For multiple expansion points, the Arnoldi process would be repeatedfor each expansion point, but the loop in step 2 on page 132 would thenstart from the current value of i instead of 1, so that the vectors forthe new frequencies are appended to the existing matrix V and thenalso orthonormalised by the Gram Schmidt process. A drawback of thisapproach is that in step 2a for the actual application of the algorithm thematrix M contains in fact a matrix inverse. This problem is solved byfactorising with, e.g., an LU decomposition. But since M depends on s0,this expensive factorisation would be necessary for each expansion point.Approximate Krylov subspaces are a way to deal with this problem [288].

Special extensions for second order systems are discussed in the next section.

Advantages Disadvantages

• Preserves stability and passiv-ity

• Extension to block Arnoldi lessinvolved than with Lanczos

• Matching of q moments

• Iterative algorithm:

– Can be stopped at anynumber of vectors

– Can be restarted– Downsizing of reduced

models easy

• Good approximation of stepresponse

• Good approximation of zerofrequency gain

• Does not optimise for outputmatrix

• Transfer function depends onrealization

• Loss of accuracy away from theexpansion point (multi-pointexpansion, i.e., using severals0,i may solve this problem)

• Computationally more expen-sive than Lanczos (O(n2

rn) forsparse matrices), but still bet-ter than many control theorymethods

• No global error estimate

So in conclusion we can for the Guyan method, SVD based methods andKrylov methods mentioned here assemble table 4.5 on the next page.

135

Page 156: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Table 4.5: Methods for model order reduction of linear dynamic systems (after [81,289]).

Name Advantages Disadvantages

SVD-based (TruncatedBalanced Approximation,Singular PerturbationApproximation, Hankel-Norm Approximation).

Have a global error esti-mate, can be used in afully automatic manner.

Computational complex-ity of conventional imple-mentations is O(N3), canbe used for systems witha few thousand unknownsonly.

SVD-Krylov (Low-rankgramian approximantsand matrix sign functionmethod).

Have a global error esti-mate and the computa-tional complexity is lessthan O(N2).

Currently under develop-ment.

Padé approximants(moment matching)via Krylov subspacesby means of either theArnoldi or Lanczosprocess.

Very advantageouscomputationally, canbe applied to veryhigh-dimensional linearsystems.

Does not have a global er-ror estimate. It is neces-sary to select the order ofthe reduced system man-ually.

Guyan-based methods Preserve physical nodes Require large reducedmodels for good accuracy

4.2.4. Krylov Subspace Methods for Second Order Systems

In general, since a second order system can be transformed to a first ordersystem, all methods mentioned above can be used for model order reduction.However, it is often advantageous to preserve the second order structure. Wewill thus discuss structure preserving extensions of the Krylov subspace meth-ods, in particular of the Arnoldi algorithm.

Undamped Systems

In [290, 291], a modified Arnoldi algorithm is suggested which preserves thesecond order of the model and works for undamped systems. Let the systembe written as

Mx + Kx = Bu

y = Cx + Du .

136

Page 157: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

We Laplace transform the system to get the transfer function

H(s) = D + C(s2M + K)−1B.

It turns out that for symmetry reasons every odd moment (m1,m3, . . . ) van-ishes.

Earlier, the transfer function read

H(s) = D + C(sE− A)−1B.

The main idea now is to introduce a new variable s := s2 and use the stiffnessmatrix K = −K, so that the transfer function reads:

H(s) = D + C(sM − K)−1B.

or, for another expansion point,

H(s) = D + C((s − s0)M + s0M + K)−1B

= D + C((s − s0)[s0M + K ]−1M + I)−1[s0M + K]−1B.

With D = 0, the moments are

mi = C(−[s20M + K]−1M)i[s2

0M + K]−1B.

This is formally the same form as for the first order systems, i.e., the samereduction algorithms can be applied to gain the projection matrices W andV. Then, the system is projected by using

Mr = VTMV Kr = VTKV

Br = VTB Cr = CV

Damped Systems

In the case of a damped system, the calculation turns out to be much morecomplicated. Consider the transfer function for the expansion at s0:

H(s) =C(s2M + sE + K)−1B

=C([s2 − s20]M + [s − s0]E + [s2

0M + s0E + K]︸ ︷︷ ︸G

)−1B

=C([s2 − s20]G

−1M + [s − s0]G−1E + I)−1G−1B

137

Page 158: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

=CP(F(s)

)G−1B

where

P(F) = (−F + I)−1

F(s) = −[s2 − s20]G

−1M− [s − s0]G−1E.

The derivatives of F(s) are

dF(s)

ds= −2sG−1M − G−1E

d2F(s)

ds2= −2G−1M

dnF(s)

dsn= 0 for n > 2. (4.13)

Derivatives of P with respect to s will be of the form

dnP(F(s)

)

dsn=∑

ijk

aijk(−F + I)−k

(dF

ds

)i(d2F

ds2

)j

,

where k ≤ n and k > i + j.Further, at the expansion point s0 = 0:

dnP(F(s0)

)

dsn=∑

ij

aij

(dF

ds

)i(d2F

ds2

)j

.

where aij =∑

k aijk.

Rayleigh damping: In many cases, the damping matrix is a linear combi-nation of mass and stiffness, E = ckK + cmM. Let us first assume that theexpansion point s0 = 0.

Since dF(0)/ds = −G−1E:

dnP(F(0))

dsn=∑

ij

aij(−K−1E)i(−2K−1M)j . (4.14)

For pure stiffness damping (cm = 0), the first term in equation (4.14) turnsinto a multiple of the identity matrix, thus each derivative of P w.r.t. s is alinear combination of the powers of K−1M:

dnP(F(0))

dsn=∑

ij

aij(−1)i(−2K−1M)j

138

Page 159: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

The new vector is a linear combination of old vectors and the new vector wehad without damping. After normalisation the Krylov subspace is the sameas without damping.

For pure mass damping (ck = 0), the first term turns to a multiple of thesecond term, and we have again (after orthogonalisation) the same Krylovsubspace:

dnP(F(0))

dsn=∑

ij

(−2)jaij(K−1M)i+j .

For a combined damping, the same is true, i.e., all vectors are a multiple of(K−1M)i where i < n, and thus form the same subspace as for the undampedsystem. The consequence is that Rayleigh damping at s0 = 0 does not provideany more information for the Krylov subspace, thus our approach is to neglectthe damping for the computation of the Krylov subspace and recover thereduced damping matrix by the same linear combination as in the full system,

Er = cmMr + ckKr. (4.15)

For a different expansion point, the transfer function is

H(s) =C([s2 − s20 + (s − s0)cm]M + [(s − s0)ck]K+

[(s20 + s0cm)M + (s0ck + 1)K]︸ ︷︷ ︸

G

)B

=C([s2 − s20 + (s − s0)cm]G

−1M+

[(s − s0)ck]G−1

K + I)−1G−1

B

=C(−F(s) + I)−1G−1

B

where

F(s) = −[s2 − s20 + (s − s0)cm]G

−1M − (s − s0)ckG

−1K

The first derivative of F(s) is then

dF(s)

ds= −(2s + cm)G

−1M − ckG

−1K

= −G−1

((2s + cm)M + ckK);

the higher derivatives remain the same as for expansion point s0 = 0.The matrix G

−1is now different from before. This means that the Krylov

subspace is different and the validity of the simple approach (4.15) needs tobe verified.

139

Page 160: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Alternatives:

• Su and Craig proposed a second order Krylov-subspace based methodwhich preserves the second order and takes the damping matrix into ac-count. A similar approach (the two-sided second order Arnoldi , see [281,292], later also called the second order Arnoldi method (SOAR) [293])uses the concept of second order Krylov subspaces

Kr2q(A1,A2,G) = span(P0,P1, . . . ,Pq−1)

where

P0 = G, P1 = A1P0

Pt = A1Pt−1 + A2Pt−2.

Then, the subspaces

Kr2q1(−K−1E,−K−1M,−K−1B) and

Kr2q1(−K−TET ,−K−TMT ,−K−TCT )

are used for projection. The generalisation to higher orders is also dis-cussed. However, there are reports [294, 295] that the second Krylovsubspace methods do not perform as good as other methods.

• Freund [296] discusses extensions to special classes of second order sys-tems, the Hermitian second order systems coming from integro-differential-algebraic equations: the SPRIM algorithm promises to match twice asmany moments as the standard Arnoldi procedure and yields an excel-lent performance for the test models.

• As non-Krylov method, the second order balanced truncation (SOBT)technique [294] should be mentioned, which works with two pairs ofgramians (the second order gramians), one which corresponds to an en-ergy optimisation problem depending only on the states, and a secondone which corresponds to an energy optimisation problem dependingonly on the velocities. The balancing step now returns two diagonal ma-trices which allow to identify the relevant states and velocities. However,unlike the first order case, no a priori global error bound exists, and thestability of the reduced system is not guaranteed.

Bai [104, 297] gives an overview of further methods for second order systems.

140

Page 161: Complexity Reduction Techniques for Advanced MEMS

4

4.2. MOR for Linear Systems

4.2.5. Other MOR Methods for Linear Systems

Rayleigh-Ritz Method: The Rayleigh-Ritz method uses the matrix of eigen-vectors Φ to build the subspace by selecting the ones which are assumed to bethe most important; this is called the component-mode matrix with the com-ponent modes or assumed modes [298, 299]. Thus both projection matricesconsist of eigenvectors, V = W =

[φi1 φi2 . . .

].

From this set of modes, some must be selected for the reduction. Usually theeigenvectors belonging to the smallest eigenvalues are chosen, because thosecontrol the solution. However, often the choice remains a task for the designer,who needs a feeling for which are the dominant modes and which modes areexpected to be excited. One remedy is to apply a test load and by examiningthe mode contribution factors, a selection of modes is done [126].

This method is also called modal truncation and yields an approximation ofthe pulse response. The reduced variables have physical meaning (the modalamplitudes), but the accuracy is limited by the choice of modes, and the inputmatrix is not considered for reduction.

Tangential Interpolation In the MIMO case, the Krylov subspace methodsare only efficient as long as the number of inputs m (and outputs p for theLanczos method) is considerably small [300]. For linear time invariant MIMOsystems where these numbers are too large, the tangential interpolation hasbeen developed [295, 301, 302]. The basic idea is to approximate the transferfunction H(s) only along a certain direction in the complex frequency.

This order reduction method is based on interpolations of the transfer func-tion: Let H(s) and H(s) be strictly proper transfer functions. We say thatH(s) interpolates H(s) at

(s0, l i(s)

)if

l i(s)T (H(s) − H(s)) is in O(s − s0)

β,

where l i(s) is a vector with length p of polynomials in s with degree β − 1which is different from zero at s0. It is also possible to define the interpolationat s0 = ∞.

This is the left tangential interpolation, the same can be defined for the righttangential interpolation and the two-sided tangential interpolation.

Given now a transfer function H(s), the goal is to construct a transferfunction H(s) which interpolates H(s) for a given set of directions l i(s) at anumber of chosen interpolation points in the complex s plane. One way to solvethis problem are generalised Krylov subspaces, which then return appropriateprojection matrices WT and V.

141

Page 162: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

Moment matching here is thus performed along a certain direction, whichlacks some generality of the reduced system but allows for a lower number ofvectors. Second order structure preserving tangential interpolation was alsodemonstrated.

Hierarchical model order reduction The [ hierarchical MOR]hierarchical modelorder reduction method is a symbolic method developed for the reduction ofinterconnected circuit models. It is especially valuable for systems which caneasily divided into separate small systems connected by a small number ofports. Each of the small systems can be reduced individually by performingthe Schur complement on symbolic matrices, which returns a order-limitedrational transfer function in s,

Hij(s) =a0 + a1s + · · · + aqsq

b0 + b1s + · · · + bpsp;

this transfer function can be truncated, i.e., higher powers of s omitted toreduce the order of the model. After finding a realization for this transferfunction, the reduced realization can be used as reduced part of the system.

It turns out [303] that this approach is related to implicit moment matchingand thus numerically stable.

4.3. MOR for Nonlinear Systems

We first classify the different types of nonlinear systems, whereby a systemmay fit into more than one of the classes:

Parametric systems are systems where one or more of the system matricesare a function of parameters p:

M(p)x (t) + E(p)x (t) + K(p)x (t) = B(p)u(t)

y(t) = C(p)x (t) + D(p)u(t).

Note that p is independent of x . Sometimes it is difficult to distinguishbetween inputs and parameters. There are mathematical reasons to do so(in particular, saying that a parameter is part of the homogeneous systemas opposed to an input), but in practice this distinction is often unclear; asexample, consider the flow speed of a liquid. Sometimes, the timescale cangive hints whether a parameter should be given as input (rapidly varying) oras parameter (slowly varying).

142

Page 163: Complexity Reduction Techniques for Advanced MEMS

4

4.3. MOR for Nonlinear Systems

The treatment of parameters is related to the treatment of nonlinearities,since the use of parameters is in itself a nonlinearity, or bilinearity in mostcases. The approaches to handle parameters in model reduction include inter-polation techniques, multivariate moment-matching and series expansion. Forthe case of Krylov subspace methods, three methods have proven give verysuccessful results [158]:

• Symbol isolation: The symbolic part is isolated from the system, thenthe remaining system is reduced and the symbolic part is introducedby input and output ports. We will use this approach for treating thecontact nonlinearity as described in detail below.

• Nominal projection method: The subspace is calculated for a given pa-rameter set; it is assumed that changes in the parameter are small enoughand the model is robust enough such that these small perturbations donot cause major changes in the subspace.

• First-order approximation methods: The matrices are written as thesum M0 + ∆M where M0 is the matrix for certain nominal values and∆M is assumed to be small. With this method, it is possible for a com-puter algebra program to form a symbolic, albeit not orthonormalisedsubspace.

In addition, parametrised matrices can be linearised with respect to the pa-rameter and then written in bilinear form: Mij(p) = M

(0)ij + M

(1)ijkpk with a

vector of parameters p. We will use such a form with voltages as parametersand apply the nominal projection method.

Systems with nonlinear inputs have inputs to which, before they are furtherprocessed in the system, a nonlinear function is applied which does not dependon the states. Since this transformation can be separated from the actualsystem, this is not a true linearity and the system is trivial to reduce byisolating the input transformation from the system and reducing the linearpart. We will use this approach for the input voltages which are squaredbefore entering the model.

Systems with few nonlinearities have matrix entries or inputs that dependon the states such as

M(x )x (t) + E(x )x (t) + K(x )x (t) = B(x )u(x , t)

y(t) = C(x )x (t) + D(x )u(x , t).(4.16)

143

Page 164: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

However, we assume that this concerns only a limited number of matrix entries.The solution is to isolate the nonlinearities by moving them into a vector f

such that the system reads

Mx (t) + Ex (t) + Kx (t) = Bu(t) + Ff (x , t)

y(t) = Cx (t) + Du + Gg(x , t).

Then, the components of f (x , t) and g(x , t) are defined as new inputs to thesystem; the arguments of f and g are recovered by the projection x = Vx r;then the system is reduced without considering f and g , and the two vectorsare reinserted in the input vector, so that the reduced system reads

Mrx r(t) + Erx r(t) + Krx r(t) = Bru(Vx r, t)

y(t) = Crx r(t) + Du(Vx r, t).

with

Br = WT [B F] u =

(u

f

)

D = [D G] u =

(u

g

).

If model order reduction is to be performed to save evaluation time, it iscrucial that the functions f (Vx r, t) and g(Vx r, t) do not use the full vectorsVx r or Vx r; in this case, it is not necessary to calculate the full matrix vectorproduct, but to condense V to the rows which are necessary for the evaluation.Otherwise, calculating every row of Vx r and evaluating f and g is still verytime consuming even for the reduced model, and techniques as discussed under“Systems with many nonlinearities” are more adequate. In [304], the cost ofsolving such a reduced system with a Newton iteration is estimated to be ofthe order O

(pk(nnr + nα + n3

r)), where n is the size of the original system, nr

is the size of the reduced system, p is the number of time steps and k is theaverage number of Newton iterations.

We will use this approach for the contact element at the tip of our device,where only one row of Vx r is to consider.

Systems with many nonlinearities have so many nonlinearities that it is notfeasible to treat all of them as inputs, e.g., because their number is in the sameorder of magnitude as the number of degrees of freedom of the model. In thiscase, among the possibilities to proceed are the following:

144

Page 165: Complexity Reduction Techniques for Advanced MEMS

4

4.3. MOR for Nonlinear Systems

• Linearise the system at a certain operating point

• Use a trajectory based approach by taking system snapshots (PODmethod, balancing and optimisation, system identification [305])

• Use special mathematical properties of the system; if the system doesnot exhibit these special properties, it may be possible to approximatethe system, e.g., by a Taylor expansion (weakly nonlinear polynomialapproximation) or bilinearisation. This is a generalisation of the firstitem in this list.

• Use a mixture of those methods, e.g., with a trajectory based piecewiselinear reduction

• Algorithms based on Volterra nonlinear transfer functions [306]

• Galerkin approximation [247]

• Exponential integrators

• Congruent transformations using derivatives [307]

• Neural networks [211, 308]

We will in the following, we will focus our discussion on the POD method,the system matrix optimisation, the balancing and optimisation and the weaklynonlinear polynomial approximation.

4.3.1. Proper Orthogonal Decomposition (POD)

The methods we have discussed until here are called simulation free, sincethey work directly on the system matrices and do not require the solution ofthe system. In contrast, trajectory based methods operate on the solutionof the system at different time steps, which we call snapshots. From thosesnapshots, these methods try to find a subspace which represents the differentsystem states. The choice of snapshots is thus crucial, because they shouldcontain all significant system effects; further, if high frequency behaviour canoccur, the sampling frequency is an issue [305]. An interesting property ofthese methods is that experimental data can be used for the snapshots [309],so hybrid experimental-numerical modelling approaches are possible.

We combine the k snapshots of discretised solutions x (t1),x (t2), . . . ,x (tk)to form the columns of the matrix X. We assume that the columns are linearindependent, otherwise columns are deleted until this assumption is true; k isdecreased accordingly.

145

Page 166: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

The proper orthogonal decomposition method, also known as Karhunen-Loève decomposition or principal component analysis [168, 204, 310–316], seeksan orthonormal basis Ψ with basis vectors ψ1,ψ2, . . . ,ψl which minimises themean square error between the vectors of X and their approximation in thesubspace:

minΨ

k∑

j=1

∣∣∣∣∣x j −l∑

i=1

(xT

j ψi

)ψi

∣∣∣∣∣

2

The purpose of this is to identify the dependent structure behind a multi-variate stochastic observation in order to obtain a compact description [308],or in other words a feature extraction. This opens the field for many moreapplications than model order reduction, examples are image and signal pro-cessing [317].

Another geometric interpretation is that, when we view the snapshot matrixas a list of coordinates, we seek a subspace for which the mean square distanceof the points to the subspace is minimised. The solution is called POD basisof rank l. Since Ψ is orthonormal, we obtain that the minimisation problemis equivalent to the maximisation problem [318]

maxΨ

k∑

j=1

l∑

i=1

∣∣xTj ψi

∣∣2 .

Let the singular value decomposition of X be

X = UΣTT

then it can be shown that choosing Ψ = U solves the optimisation problemand is thus the optimal projection matrix, W = V = U. The POD modesΨ by construction capture more energy than the other modes. It is evenpossible to show that for any nonsingular square matrix Q with the correctsize, WT = Q−1U and V = UQ are a solution, but for POD simply Q = I.

As the subspace is taken from the snapshots only, these snapshots need tobe chosen such that they represent the essential behaviour of the system. Fora linear system, it is also possible to use the harmonic response for certainfrequencies as input.

Extensions and recent developments: Since this method focuses on the out-put of the system (and such the observability of the system), it is useful to

146

Page 167: Complexity Reduction Techniques for Advanced MEMS

4

4.3. MOR for Nonlinear Systems

consider also the controllability properties. An extension to POD [106] com-bines this method with balanced truncation; the controllability and observ-ability gramians are both approximated very efficiently by POD snapshots ofthe primal system (linearised model)

x = A′x + B′u

y = Cx

and the dual system

z = A′T z + C′Tud

yd = BTx .

The results indicate that a lower number of reduced state variables is necessaryto achieve good performance. In [319], the POD method is combined with thesingular perturbation approach.

Advantages Disadvantages

• Does not require a specialstructure of the system

• Nonlinearities may be strongerthan for polynomial projectionmethods (see section 4.3.4 onpage 150)

• Can integrate experimentaldata into the model

• Needs a simulation run before

• Captures only those aspects ofthe system which are repre-sented by the training trajec-tory

• Controllability and stabilisabil-ity deficits when used in con-troller design [156]

4.3.2. System Matrix Optimisation

Take a system of the form

x (t) = Ax (t) + Bu(t) + Ff (x ,u) (4.17)y(t) = Cx (t). (4.18)

Similar to the Guyan method, a set of dominant states is selected based onengineering impression and terminal requirements. Also, the singular valuedecomposition can give hints which states are the most important to con-sider [320]. This gives a projection matrix

x d = Px

147

Page 168: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

which projects the full state vector to the dominant states.Again, let X be a matrix of system snapshots, Xd = PX those results

corresponding to the dominant states, X the velocity snapshots (i.e., the timederivatives at the corresponding times), Xd = PX the dominant states’ veloci-ties, U the inputs and Φ the nonlinear parts f i. Then, we can find an optimalinverse mapping V of the dominant states to the full vector by solving theoptimisation problem

minV

‖X− VXd‖2fro

where ‖ · ‖fro is the Frobenius norm of a matrix.We now want to find new system matrices of reduced size such that the

equalities in equation (4.18) on the preceding page are fulfilled as much aspossible for the dominant states [321, 322], i.e.,

minAr,Br,Fr

∥∥∥Xd − (ArXd + BrU + FrΦ)∥∥∥

2

fro

The result is a system

x d(t) = Arx d(t) + Bru(t) + Frf (Vx d,u)

y(t) = Crx r(t).

The optimisation problems can be solved by standard least squares minimi-sation techniques. The number of snapshots must be large enough to provideenough information for determining all components of Ar, Br and Fr.

This approach can also be extended by a weighting of the dominant states [322],so that the resulting system can be optimised to a better approximation ofthe “important” states.

Advantages Disadvantages

• No constraints on thetype of nonlinearity

• Fast

• Optimal for givensnapshots

• Nonlinearities fullymaintained

• Only practicable if f has not too manyvectors, i.e., few nonlinearities

• Need to choose dominant states

• Needs a simulation run before

• Captures only those aspects of the sys-tem which are represented by the train-ing trajectory

148

Page 169: Complexity Reduction Techniques for Advanced MEMS

4

4.3. MOR for Nonlinear Systems

4.3.3. Balancing and Optimisation

The balancing and optimisation method is also based on the snapshots X, theirderivatives X, the inputs U and the outputs Y [10, 323]. Let the system be

x (t) = f(x (t),u(t)

)

y(t) = g(x (t)

)

Further, it takes a linear approximation of the system,

x (t) = A′x (t) + B′u(t)

y(t) = Cx (t)

Then, the balancing algorithm of section 4.2.2 on page 125 is applied to findthe dominant subspace [324] and truncate it to the states with the largestHankel singular values which span the dominant subspace. This results in thetransformation matrix Q:

x d = Qx

As in the previous section, the inverse mapping of the dominant states tothe full vector is found by an optimisation problem

minV

‖X − VXd‖2fro .

The transformation matrix W is now sought again as solution to anotheroptimisation problem, this time using the derivatives of the states:

minW

∥∥∥Xd − WT X∥∥∥

2

frosubject to WTV = I.

Finally, the new system is written as:

x d(t) = WT f(Vx d(t),u(t)

)

y(t) = g(Vx d(t)

)

The advantages and disadvantages are basically the same than for the Sys-tem matrix optimisation method.

149

Page 170: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

4.3.4. Polynomial Projection

This approach works for system which are represented by polynomials in thestate vector [111, 325–327]. Let us for simplicity assume that only the stiffnessmatrix is nonlinear, then in Einstein notation, a second order model reads:

M(1)ij xj + E

(1)ij xj + K

(1)ij xj + K

(2)ijkxjxk + K

(3)ijklxjxkxl + · · · = B

(1)ij uj

yi = C(1)ij xj + D

(1)ij uj

In case the system is not in this form, a Taylor expansion of the nonlinearitiesat a certain operation point is performed.

Now, projection matrices W and V are found. For the matrices M(1), E(1),K(1), B(1) and C(1) we proceed as in equation (4.5) on page 123. For thematrices K

(p)ijk..., we can write:

WjiK(p)jkl...xkxl · · · ≈ WjiK

(p)jkl... (Vkmxr,m) (Vlnxr,n) · · ·

=(K

(p)jkl...WjiVkmVln · · ·

)xr,mxr,n · · ·

= K(p)r,imn...xr,mxr,n · · · .

The projected system then reads

M(1)r,ijxr,j + E

(1)r,ijxr,j+

K(1)r,ijxr,j + K

(2)r,ijkxr,jxr,k + K

(3)r,ijklxr,jxr,kxr,l + · · · = B

(1)r,ijuj

yi = C(1)r,ijxr,j + D

(1)ij uj

Again, there are different methods to find W and V. In the system we sim-ulated with this method, one can assume that the main information of thesystem is in the matrix K(1), so that the reduced solution almost lies in asubspace that is spanned by reducing the linear system, i.e., by finding theprojection matrices for the system [104]

M(1)ij xj + E

(1)ij xj + K

(1)ij xj = B

(1)ij uj

yi = C(1)ij xj + D

(1)ij uj

and then projecting the nonlinear system. As proposed in [327], we will usethe Arnoldi method for this purpose, which turns out to yield excellent results.

150

Page 171: Complexity Reduction Techniques for Advanced MEMS

4

4.3. MOR for Nonlinear Systems

Extensions and recent developments:

• One problem with this method is that matrices can become rather large(K(p) ∈ R

npr ) and are dense. The ideas proposed in this thesis are to

truncate the higher degree matrices or to delete small elements. Theelements of those matrices basically are a measure for the coupling of“modes” due to the nonlinear behaviour. This means that very smallvalues represent pairs (triples,. . . ) which do not couple and thus do notcontribute to the nonlinearity. Thus it is possible to crop all values lowerthan a certain number and so maintain only the mode couplings whichare important for the description of the model.

• Another idea which was not further tested is to use the Arnoldi vectorsas the inputs of a new Krylov subspace, e.g.,

Krrq(K

−1M, [K−1B V])

or using the Arnoldi vectors as expansion point for a new linearisation.

• A related idea is bilinearisation [328]. The idea of bilinearisation is toincorporate the higher degree matrices into the Krylov subspace. Forsimplicity, we show here the SISO case only.

The system is first Carleman bilinearised; for the representation

x = f (x) + Bu

y = Cx ,

this results in the system

x = Ax + Nxu + Bu

y = Cx .

By applying the multi-dimensional Laplace transform, it can be shownthat the kth degree transfer function of the bilinear system is [104, 325]

Hk(s1, . . . , sk) = C (skI − A)−1N · · · (s2I − A)−1N(s1I− A)−1B .

Following the path of the Krylov subspace methods discussed before, wecan define the multi-moments of this transfer function as

ml1,l2,...,lk = (−1)nCA−lkN · · ·A−l2NA−l1B . (4.19)

This yields a k-dimensional matrix of moments, which can be used asbasis for a Krylov subspace. To combine those to a common space, thespaces are nested, i.e.:

151

Page 172: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

1. Generate the first Krylov subspace Krrq1

(A−1,A−1B) by, e.g., theArnoldi process or Lanczos process. This results in a basis whichis written as column vectors in a matrix P1.

2. Use P1 for generating the next Krylov subspace Krrq(A

−1,A−1NP1)

3. Repeat step 2 up to Pk

This process results in a matrix V which can then be used as projectionmatrix for the bilinearised system.

Again, we see a rapid growth in the power series (4.19), so that althoughthe matrices are extremely sparse, the number of terms is limited inpractical applications.

Advantages Disadvantages

• Structure preserving

• Can capture a large part of theweakly nonlinear behaviour

• Computational complexity ofcalculation of nonlinear loadsWT f (Vx r,Vx r, t) is reducedand independent of the orderof the original model

• Expansion is local, i.e., for tra-jectories far away from the ex-pansion point, the error be-comes larger

• Matrices can become ratherlarge (K(p) ∈ R

npr) and are

dense

⇒ In practice Taylor expansion or-der limited to 3

4.3.5. Other MOR Methods for Nonlinear Systems

Trajectory Piecewise-Linear (TPWL): The trajectory piecewise-linear me-thod represents the reduced nonlinear system as a weighted combination oflinearised models with different operating points [112] which need to be cho-sen before the reduction process. Usually, they are taken from the (possiblyapproximate) trajectory of the full system for a particular training input .

Let the system be

x (t) = f(x (t)

)+ Bu(t)

y(t) = Cx (t)

and assume we have generated s linearised models with expansions around the

152

Page 173: Complexity Reduction Techniques for Advanced MEMS

4

4.3. MOR for Nonlinear Systems

states x 1, . . . ,x s:

x (t) = f (x i) + Ai(x (t) − x i) + Bu(t) ∀i = 1, . . . , s

y(t) = Cx (t).(4.20)

The reduced model is then represented as a weighted combination of thosemodels,

x (t) =s∑

i=1

wi

(x (t)

)(f (x i) + Ai(x (t) − x i)

)+ Bu(t) (4.21)

where the weights are chosen such that∑

i wi(x ) = 1.The individual linearised systems are then reduced with one of the methods

for linear model order reduction, e.g., the Arnoldi method. It is important thatall systems are projected onto the same subspace, which is the union of allindividual subspaces, such that the states of the individual models correspondto each other. The reduced version of equation (4.20) reads

x r(t) = WT f (x i) + WTAiVx r(t) − WTAix i + WTBu(t)

y(t) = CVx r(t).(4.22)

or

x r(t) = WT f (x i) + Ar,ix r(t) −WTAix i + Bru(t)

y(t) = Crx r(t).

The choice of the training trajectory is crucial for the accuracy of the reducedmodel. Another disadvantage is that the same subspace must be used for allmodels, and thus the size of the reduced system is larger than necessary.Further, the algorithm is not structure preserving. As advantages, a largevariety of strong nonlinearities can be considered, and the storage requirementsare reasonable.

Energy Method Energy methods try to express nonlinearities by calculatingthe potential energy along a given trajectory, given that the nonlinearities donot depend on the velocities. The procedure is as follows [329]:

• Reduce the linear part of the system

• Use a quasi-static simulation driven over a design range that is computedby a superposition of the columns of the projection matrix V. Use anonlinear (e.g, polynomial) analytic expression whose parameters arefitted to the data to describe the potential energy in terms of modal(i.e., reduced) coordinates.

153

Page 174: Complexity Reduction Techniques for Advanced MEMS

4. Model Order Reduction

• Replace the nonlinear terms by the suitable derivatives of that potentialenergy function.

This only doubles the amount of equations; they are still nonlinear, but thenumber of nonlinearities is strongly reduced and is only dependent on the sizeof the reduced system, but not on the size of the original system. A largevariety of nonlinearities can be approximated, but they must be well approxi-matable by the analytic energy function. Modal approaches in general have theproblem that they fail with large geometric nonlinearities (e.g., contact over alarge area) or for problems without normal modes (e.g., fluid dynamics). Thisis also true for other methods.

Chapter summary

• For linear model order reduction, many research results exist, and thetheory and implementation is highly developed.

– Control theory methods have error bounds, but are at the momentonly applicable to small systems.

– Padé approximants are computationally fast enough to use for largesystems, but lack a good error estimate.

– For our work we have chosen the Arnoldi method, a Krylov subspace-based Padé-type approximation, which combines computational ef-ficiency with the preservation of stability. Usually, the method onlyrequires very few vectors for low-frequency problems.

• For nonlinear model order reduction, there are also a number of ap-proaches.

– The vast amount of possible expressions of nonlinearity howevermakes a general approch difficult.

– Most algorithms are based on training trajectory.– Polynomial projection algorithms do not require a trajectory, but

require a special (polynomial) form of the system.– We have chosen to base our model order reduction on the poly-

nomial projection, since it does not require a backprojection toevaluate the nonlinear terms as in other approaches.

– The drawback of this method is that the system has to be expressedin polynomial form before.

154

Page 175: Complexity Reduction Techniques for Advanced MEMS

4

Part III.

Implementation

155

Page 176: Complexity Reduction Techniques for Advanced MEMS
Page 177: Complexity Reduction Techniques for Advanced MEMS

5

5. EDEW – a Tool for Simulation andOptimisation of Electrowetting

Electricity is the power that causes all natural phenomena notknown to be caused by something else.

Ambrose Bierce (1842–1914?), The Devil’s Dictionary

In looking at a drop of water under a microscope, we find thereare twice as many H’s as O’s.

Unknown middle school student

If a designer plans to create a device, sooner or later she will get to apoint where analytical models come to their limits and questions arise that

are not possible to answer with simplified assumptions. In this chapter, wepresent the implementation details of our EDEW software (Evolve droplets byelectrowetting), providing a numerical tool for the simulation of electrowettingeffects.

5.1. Surface Evolver Model

We implemented the electrowetting model with the Surface Evolver, a powerfulprogram for the numerical modelling of minimal surfaces.

The Surface Evolver by K. A. Brakke is an interactive program for the studyof surface shapes arising from surface tension effects and other energies. It“evolves” the surface to an energy minimum by a gradient descent or conjugategradients minimisation. It is possible to introduce spatial constraints as wellas global integral constraints like a fixed volume [77, 78]. By formulatingappropriate energy terms, the effect of non-uniform surface tension can beintegrated.

157

Page 178: Complexity Reduction Techniques for Advanced MEMS

5. EDEW–Tool for Simulation and Optimisation of Electrowetting

5.1.1. Numerical Representation

In the Surface Evolver, the droplet is represented by its bounding facets, whichare flat triangles defined by three vertices (points in the Euclidean R

3 space)and three connecting edges.

The basic operation for the evolution of the surface is the iteration stepwhich moves the vertices along the energy gradient. The actual displacementis the product of the energy gradient of the respective degree of freedom anda global scale factor, which can be specified by the user or optimised by theSurface Evolver. An additional quantity correcting motion enforces globalquantity constraints.

For a facet with edges s0 and s1, the facet energy due to surface tension γcan be calculated by

E =γ

2|s0 × s1|. (5.1)

The gradient gi = ∂E/∂xi for the first edge s0 is then

gs0=

γ

2

s1 × (s0 × s1)

|s0 × s1|. (5.2)

Summing up all gradient parts of the adjacent faces yields the total free energygradient of the vector motion [77].

5.1.2. Substrate-Liquid Interfaces

The interface of the droplet to air is modelled by a triangle mesh as described.For the interface to the substrate, a mesh is inappropriate for a number of rea-sons: First, on those parts of the interface with constant interfacial tension,there is no gradient for the vertices sitting on the interface; this could lead tonumerical problems and mesh degradation. Second, to model a varying inter-facial tension as needed for electrowetting, the surface energy of the triangleswould have to be updated whenever the triangle changes its position. Finally,it would be a waste of resources since there is a very elegant way to solvethis problem: Instead of an explicit representation of the interface betweendroplet and substrate, the energy is added to the total energy by transformingthe surface integral (equation (3.39) on page 109) into a line integral over thesurface boundary [78, 330, 331]. This boundary is represented by the edges ofthe triangles at the contact line. With the Green-Gauss theorem, we have

AγSLndA′ =

∂Agdl (5.3)

158

Page 179: Complexity Reduction Techniques for Advanced MEMS

5

5.1. Surface Evolver Model

with normal vector n and γSLn = ∇× g .Since on the bottom surface dA′ = kdA′, where k is the unit vector in

the z direction, we require a g such that the third component of its rotation,fz = dgy/dx−dgx/dy, is equal to the interfacial tension γSL. Choosing gx = 0,we get

g =

0∫

x γSL(x, y)dx0

. (5.4)

On the top (confined droplet case), the sign is inverted.If only the interface on the bottom is replaced, the volume calculation is left

to the Surface Evolver. For a confined droplet, the removed interface at thetop must also be manually integrated in the volume calculation, as shown in[78, 330, 332].

5.1.3. Electrowetting Model

Electrowetting effects are modelled by using equation (3.44) on page 113 andsetting up γSL(x, y) such that at the electrode the second (electrowetting) termis switched on, and is left zero otherwise. Multiple electrodes with differentvoltages can be treated analogously. γSL(x, y) is then integrated according toequation (5.4) and written to the Surface Evolver script file. With the use ofparameters, the voltage can be changed during runtime.

Typically, the edges of the electrodes for this kind of electrowetting pumpfeature spikes reaching into the adjacent electrode. The reason for this ar-rangement is that the dynamics at the start of the droplet motion is essen-tially determined by the shape of the potential energy curve at the adjacentelectrode, and thus, by the drag force on the contact line. For a flat electrodeedge, the interfacial tension is likewise approximately flat with a transition atthe pad boundary (figure 5.1 on the next page). According to equation (3.39)on page 109, this results in a flat potential curve as long as the contact linedoes not touch the actuated pad, and thus in a zero force. In reality, due tofringing fields the force is finite.

With the jagged pad edge, interdigital structures are possible which are alsoin touch with a droplet on the adjacent electrode. Thus, there exists an energygradient, resulting in a driving force. The shape of these interdigital structuresdetermines the drag force, and thus, the character of the initial motion. Byoptimising its shape, it is possible to account for different droplet sizes and

159

Page 180: Complexity Reduction Techniques for Advanced MEMS

5. EDEW–Tool for Simulation and Optimisation of Electrowetting

γ γ

Figure 5.1: Droplet on a square electrode (left) and on an electrode with a jaggededge (right).

chemical contaminations on the substrate. Those contaminations can lead toa contact angle hysteresis [237] and even inhibit the motion of the droplet.

These shapes are not implemented in detail, because to resolve a jaggedelectrode shape in all its complexity would require a very fine mesh resolutionof the contact line; further mesh degeneracy and instabilities were observed innumerical experiments. Instead, we assume that a spikes’ size is small enoughso that its effect can be averaged along the edge direction [5]:

γ(x) =

∫ y2

y1

γ(x, y)dy

(y2 − y1)(5.5)

5.2. EDEW

To implement a simulation, some experience in writing of Surface Evolverscript files is required to specify the model along with constraints and sur-face energies. Writing new models can so slow down the design process whereready-made solutions for standard problems could be used. We therefore pro-vide a tool, EDEW (Evolve droplets by electrowetting), to simplify this pro-cess: A script template library is provided along with a user friendly graphicaluser interface (GUI) for all relevant model parameters. For experienced users,direct interaction with the Surface Evolver remains still possible. The frontendis written in Java for portability reasons. Figure 5.2 shows the main com-ponents of the program: The panel on the left allows entering parameters forthe template library. Then, after starting the simulation, the control window(top right) opens, which allows interactive control of the simulation process.

Each template set provides its own parameter and control panel. Currently,three models are implemented; extending the library is easily done by extend-ing the Simulation Java class. Details of the available models and the Javaclass are provided in the user manuals [15, 16].

160

Page 181: Complexity Reduction Techniques for Advanced MEMS

5

5.2. EDEW

Figure 5.2: EDEW user interface. Left: Simulation parameters; Top: SurfaceEvolver control window; Bottom: Graphics window (provided by theSurface Evolver).

The first model (1DPath) provides a line of electrode pads both for confinedand non-confined droplets (figure 5.3 on the following page). It allows oneto test basic operations of an electrowetting array like moving, dispensing,merging and splitting. Since the topology of the droplet remains unchangedduring the simulation, splitting and merging is detected by the designer usingthe graphical output. This model is also useful to explore the exact dropletshape.

Another very interesting question is evaporation [333], which is highly de-pendent on the local curvature. This helps to solve practical questions, e.g.,how long it takes until the droplet is evaporated, and how fast measurementsmust be done until the decrease of volume affects the results.

Further, the force of the droplet on the structure is of interest when thedroplet is used as actuator, i.e., the force caused on the droplet is used to pulla structural element. In [67], this is used to change the angle of a plate; in

161

Page 182: Complexity Reduction Techniques for Advanced MEMS

5. EDEW–Tool for Simulation and Optimisation of Electrowetting

...

Pad gap

Spike lengthPad size x

Pad size y

Number of pads

y

x

Startposition

Figure 5.3: The 1DPath model and the adjustable geometry parameters.

addition, this is a nice example of an optical element: The liquid serves bothas electrowetting actuator and as optical element.

The electrode edge structure is averaged as described above but still indi-cated in the graphical output for visualisation.

To give the designer the possibility to optimise these interdigital structures,an extended version of the 1DPath model is provided. The SpikeShape modelallows to either select from a number of predefined spike shapes (sinusoidal,triangular, rectangular and rectangular with user definable pulse width, seefigure 5.4) or define additional shapes.

−1 −0.5 0 0.5 1x [arbitrary units]

0

0.2

0.4

0.6

0.8

1

[arb

itrar

y un

its]

d)

c) 25%

c) 10%

b)

a)

a)

c)γ

d)

b)

Figure 5.4: Variation of the interfacial tension γ(x) at the pad edge for differentshapes.

For the latter, two steps are necessary:

1. Find the function for γEW (x) and normalise its support to the interval[0, 1] such that the normalised new function f(x) fulfils f(0) = 0 andf(1) = 1.

2. According to (5.4), find the integral F (x) =∫ x0 f(x′)dx′.

162

Page 183: Complexity Reduction Techniques for Advanced MEMS

5

5.3. Limits

These functions can also feature parameters, which can even be changedduring runtime.

It is possible to operate the model in a free motion mode, where the dropletmoves only according to the electrowetting forces, or in a constrained mode,which is the recommended mode for spike shape optimisation: Here, the cen-troid of the droplet is forced to a given position, resulting in an potentialenergy over centroid curve. Based on this curve, a dynamic model can beextracted that also allows the estimation of inertial effects.

A third model simulates a liquid meniscus in a rectangular channel. Themesh consists only of the meniscus area; the liquid volume is modelled throughsurface integrals and constraints. For all four channel walls different propertiesand voltages can be specified. This model can be interesting if electrowettingserves for priming a fluidic structure by placing electrodes on one of the walls,e.g., for estimating the minimum voltage for wetting the complete channelwall. To avoid numerical problems, the voltages should be changed in smallsteps.

5.3. Limits

As already indicated in the introduction, the chosen approach results in anumber of limitations. In this section, we discuss the consequences for the useof the presented model.

Inertia and damping: The model evolves the droplet shape and position toa point of minimal potential energy. The trajectory of the degrees of free-doms is not necessarily the path a fully dynamic simulation would take. Thisalso means that inertia and damping effects are not included in the model.However, under some assumptions, there is nevertheless a close relation to amore complete simulation due to the way the Surface Evolver calculates themotion of the mesh vertices. Their motion is proportional to the (negative)energy gradient, i.e., the resulting force f acting on the vertex, subject toconstraints [77]:

xn+1 = xn + d f(x), (5.6)

where d is the scale factor chosen by the Surface Evolver. By using x ≈(xn+1 − xn)/d, linearising and reordering,

x + Kx = fext. (5.7)

163

Page 184: Complexity Reduction Techniques for Advanced MEMS

5. EDEW–Tool for Simulation and Optimisation of Electrowetting

Now let us have a look at a mass/damper/spring system subject to anexternal force:

fI + fD + fS = fext, (5.8)

where fI = Mx is the reaction force of the inertial mass M subject to ac-celeration, fD = Cx is the damping force of the system, fS = Kx is thereaction force of the stiffness K, and fext is the external force. x may also bevector-valued; M , C and K then turn into matrices.

The external force is balanced by the inertial, damping, and stiffness force.The work applied by the external force is converted into kinetic energy, poten-tial energy and dissipation by the damping. At the beginning of the motion,energy mainly goes into the acceleration of the mass (kinetic energy), whichcan drive the system beyond the equilibrium point where Kx = fext, leadingto an oscillation [334–338]. This is true if the ratio of the damping force overthe inertia force is small enough.

For a massless or strongly damped system where the damping force is muchhigher than the inertial force, fI ≈ 0 and the remaining ordinary differentialequation (ODE) reads

fD + fS = fext, (5.9)

or,

Cx + Kx = fext. (5.10)

With C = 1, this is the same formula as for the Surface Evolver evolution stepexcept for the provision of constraints [77] and the timestep.

The result is a damped motion [339] – similar to what can be seen frommovies of droplets moved by electrowetting [340], which is indicated by thescale effects discussed in the introduction. Damping was also found to beimportant in the context of droplet vibrations [341–344] and motion in mi-crochannels [345].

This damping should not considered to be the real damping of the physicalsystem, which is influenced by the fluid motion and other friction effects; yetthe equilibrium position after long time is the same. The main trait of sucha damped system is the absence of overshooting effects which can push thesystem to a state which is not reachable in the quasi-static limit. One exampleis a droplet which is accelerated and moved to an electrode which is much largerthan the droplet. In a full dynamic model, the droplet may end up further

164

Page 185: Complexity Reduction Techniques for Advanced MEMS

5

5.3. Limits

in the interior of the electrode. Droplet splitting is another example, whereinertia may lead to an augmented droplet motion. The numerical experimentmust therefore be carefully checked if it is necessary to include such effects –analogous to a RF switch consisting of two beams which are attracted to eachother by electrostatic actuation: In one case, one would like to find a minimalvoltage where the switch will close independent from inertial effects and fromthe applied voltage curve which may be distorted by parasitic line capacities;in another, one wants to find the maximum voltage one can apply with a givencurve such that no switching occurs. It is the first case where the main valueof a quasi-static simulation lies: Even if due to a slow actuation the inertiais not as high as expected, there is still the wanted effect, and the masslesssystem gives a conservative design rule for these circumstances.

Energy dissipation: There is no information on energy dissipation by damp-ing. Therefore, the energy needed for a strongly damped process cannot becalculated by this simulation. We assume that the voltage source is capableof delivering all the energy needed to reach the equilibrium state.

Peripheral/fringing electric field: The electrostatic energy is calculated onlybelow the droplet/substrate interface. Peripheral electric fields and the electricfield in the air are not considered. However, the contribution in the air to theenergy is small due to the fact that the field strength is smaller due to thelower dielectric constant (usually by a factor of 2 or 3) and the longer lengthof the electric field lines (the potential difference remains constant). Whereasthe thickness of the dielectric layer is in the micrometer range or even below,the lengths of aerial flux lines are in millimetre dimensions. More errors couldcome from the region near the contact line, both from the contribution of theperipheral field inside the dielectric layer as well as in the surrounding air.We have performed a finite element simulation to investigate the effect on thecalculation of the modified interfacial tension.

The simulation shows the region close to the contact line of a droplet. Weassume a potential of 1 V at the droplet boundary and 0 V at the bottom elec-trode. The dielectric layer with a relative permittivity of 2 is 1 µm thick. Theresult (figure 5.5 on the following page) shows that, except for a small regionaround the contact line, the electric energy density is close to the assumedvalues of 0 away from the interface and 8.85 J/m3 just below it.

Near the contact line singularity, there is a small region where large valuesof the electrostatic energy are observed; nevertheless, this region is small com-

165

Page 186: Complexity Reduction Techniques for Advanced MEMS

5. EDEW–Tool for Simulation and Optimisation of Electrowetting

ANSYS 8.0PLOT NO. 1ELEMENT SOLUTION

SENESMN =.249E−19SMX =.249E−12

1.0 VMX

0.500E−14.100E−13.150E−13.200E−13.250E−13.300E−13.350E−13.400E−13.450E−13.500E−13.550E−13.600E−13.650E−13.700E−13.750E−13.800E−13.850E−13.900E−13.950E−13.100E−12

0.0 V1 mµ

Figure 5.5: FEM solution for electrostatic energy near contact line. The indicatedvalues must be multiplied by 1014 J/m3 to obtain the energy density.

pared to the remainder of the droplet. In conclusion, we observe a distortion ofthe electric field only at a region in the order of the size of the layer thickness,which is small compared to the droplet dimensions.

Further, since only the energy difference of two systems (or the energy gradi-ent) is of interest, we expect an influence of these distortions only if the lengthof the contact line or its curvature experience a large change. This happens,e.g., on that point where it intersects with the electrode boundary; still, thechange of effective diameter is small for a small dielectric layer thickness anda large droplet.

Effects of very high voltages as, for example, satellite droplets [346], exter-nal field influences [347] or the elastic deformation of the substrate are notresolved. Further, the droplet may deform near the contact line due to theperipheral field.

Charge trapping: If the dielectric layer is penetrable by charged particles andthe voltage is applied for a certain time, charges may be trapped inside [243].This is often seen as one reason for the so called contact angle saturation;further, it impedes the reversibility of the interfacial tension change, leadingto contact angle hysteresis. This could be modelled by an additional voltagecontribution, such that instead of turning the voltage “off”, it is set to a finitevalue which models the trapped charges.

Charged biomolecules: A further distortion of the process can come fromlarge charged molecules – or molecules with a nonuniform charge distribution,

166

Page 187: Complexity Reduction Techniques for Advanced MEMS

5

5.3. Limits

which distort the Helmholtz layer of the droplet and modify the capacitance ofthe droplet/electrode system. This could also cause a contact angle hysteresiswhen the molecules remain attached to the substrate. This can be modelledby an additional “off” voltage as discussed above and by a modified layerthickness. However, these approaches need further experimental validation.

Topological changes: Droplet splitting and merging is not fully implementedin the model, manual inspection remains necessary. This is due to the explicitsurface representation; with a levelset or volume of fluid approach, this is onlya minor issue. However, in these methods, the determination of the contactline and the surface reconstruction is more difficult, which is important for,e.g., optical applications [348]. For droplet merging, on the other hand, it iseasy to see from the graphical output whether the operation was successfuland whether the droplets touch. Droplet splitting is more difficult to see, itoccurs when the liquid bridge connecting the two parts collapses to a line oreven overlap and interpenetration occurs. Due to the implementation of theenergy calculations, this singularity poses no numerical problems.

Contact angle hysteresis: Contamination and surface roughness causes adifference of several degrees between the advancing and receding contact angle,the contact angle hysteresis. Since this simulation assumes a perfectly flatsurface, there is no difference between the two. The effect could be emulatedby introducing stripes with a different interfacial tension to allow for contactline pinning or by introducing noise on the interfacial tension, where the noiseamplitude and spectrum is matched to experimental data. An extended modelcould also introduce the effect of kinetic energy, since a slower droplet is moreaffected by pinning effects.

Chapter summary

• The EDEW tool is a surface-evolver based program for the simulationof electrowetting effects

• The droplet is represented by a surface mesh, interfaces are representedby line integrals.

• Modules for a number of different electrowetting setups are included.

• A Java GUI simplifies the handling of the models.

167

Page 188: Complexity Reduction Techniques for Advanced MEMS

5. EDEW–Tool for Simulation and Optimisation of Electrowetting

• The model does not consider internal fluid flow and thus there is no realtransient response; on the other hand, the energy configuration of thesetup is easily recorded.

• Possible applications are the simulation of droplet handling and optimi-sation of the setup and operating parameters.

168

Page 189: Complexity Reduction Techniques for Advanced MEMS

6

6. Polynomial Model Order ReductionFramework

Entia non sunt multiplicanda praeter necessitatem (entities shouldnot be multiplied beyond necessity).

William of Ockham (1285–1347?)

We have implemented a tool chain starting from the full model in the com-mercial finite element program ANSYS resulting in a reduced model

expressed in the hardware description language Verilog-A which then can beused in a number of system simulators (see figure 6.1 on the next page). Thecomplete tool chain is implemented in the symbolic computer algebra pack-age Mathematica and part of the open source toolbox IMTEK MathematicaSupplement (ims) [349].

ANSYS is a powerful software package for the simulation of multiphysicsdevices. A wide range of domains like structural, thermal, mechanical andelectromagnetic calculations and computational fluid dynamics are supported,also geometric non-linearities, non-linear material properties, coupling, con-tacts, plastic deformation, topology optimisation and model order reduction(using the Guyan method). The software is used at industry and many uni-versities for a variety of design applications, thus in our opinion it is beneficialto find ways to interact with this software. Since it can be controlled by ascript language, the ANSYS Parametric Design Language (APDL), it is pos-sible to perform most task in an automatic manner and to retrieve useful databy APDL macros.

Mathematica is a fully integrated environment for technical computing in-volving algebraic, numerical, graphical and many other tasks. Based on asmall number of basic primitives, complex operations like symbolic integra-tion or symbolic solving can be performed [350]. However, it lacks some highlevel functions which are needed in everyday’s life of a simulation developer.This is where the IMTEK Mathematica supplement jumps in and providesadditional IMTEK-developed Mathematica packages for computation, visu-alisation and simulation. It covers many important areas as Computational

169

Page 190: Complexity Reduction Techniques for Advanced MEMS

6. Polynomial Model Order Reduction Framework

Prepare ANSYS data

Read linear element matrices(delete nonlinear element contributions)

Read TRANS126 element data

Assemble system, includeseries expansion and contact element

Reduce linear part

Use projection matrices forcomplete system

Postprocess matrices (truncation)

Export to VerilogA Solve system

Figure 6.1: Flow chart for reduction of the nonlinear cantilever model.

Geometry, useful data structures, differential equation systems and game the-ory, and it provides interfaces to external programs and file formats.

The first step of this tool chain is the conversion of the ANSYS modelto a Mathematica model. ANSYS stores its information in binary files in asomewhat documented format; unfortunately, extracting all information weneed is hard. In particular, it is possible to read out the linearised systemmatrices in a straightforward way; on closer inspection, it turned out thatthere are inconsistencies if the files are used on their own due to old simulationruns. We use the .emat and .full files for this purpose. The nonlinear partsare not so easily accessible, therefore we decided to use a small ANSYS macroto extract the necessary data of the transducer elements (see section D.3 onpage 244).

170

Page 191: Complexity Reduction Techniques for Advanced MEMS

6

6.1. Scanning-Probe Data Storage Device Model

After reading in the nonlinear model, it is converted to a polynomial system.The cantilever system we are dealing with has one more important property:The computational nodes are divided into parts whereas the voltage on eachpart is an own input to the system. Thus, a procedure is necessary to generateinput vectors. Another complication is that the nonlinear force term is bothdependent on states and inputs, and thus the polynomial coupling matricesneed to reflect this fact. We will describe in detail later how to transformthose constraints into a useful model.

Then, the model order reduction is performed. We decided to use a polyno-mial projection method (section 4.3.4 on page 150) together with the Arnoldimethod (section 4.2.3 on page 132). This decision is based on (a) the wishto provide generality, because the actual trajectory might be unknown to theuser, (b) the observation that there is a number of systems which are per sepolynomial – starting from the geometrical nonlinearities (see section 3.8.3 onpage 101) up to the Navier Stokes equations, and (c) that the resulting systemsize is independent of the size of the original system.

The converted model is then written out into a Verilog-A file. To limit thenumber of terms in the final model, a simplification of the nonlinear matricesis performed.

6.1. Scanning-Probe Data Storage Device Model

The scanning-probe data storage device model was created in ANSYS. Thedevice consists of a cantilever having a special shape that is modelled withshell elements (ANSYS element type SHELL181, see figure 6.2 on the followingpage). The tip is modelled with a single pyramidal SOLID95 element. Themodel has 9441 degrees of freedom.

On the entire bottom of the beam, TRANS126 transducer elements are usedto couple the mechanical model to the electrostatic domain; every node on thetop gets assigned its own transducer. The storage polymer is situated betweenthe cantilever and the counter electrode and its dielectric permittivity is higherthan that of air, thus the gap size is adapted to compensate for this effect:Let us assume that the distance from cantilever to the counter electrode isdt, the polymer’s thickness is dp and the relative dielectric permittivity of thepolymer is εr. The capacitance of two parallel plates is εrε0A/d, where A isthe area of the plates. The total capacitance of two capacitors in series is

1

C=

1

C1+

1

C2.

171

Page 192: Complexity Reduction Techniques for Advanced MEMS

6. Polynomial Model Order Reduction Framework

Figure 6.2: The scanning-probe data storage device ANSYS model. Left: The FEMmesh. Right: Close-up of the tip with transducer elements.

We can now split the capacitor with two materials into two capacitors in series,so that we have

1

C=

1

C1+

1

C2

=dt − dp

ε0A+

dp

ε0εrA

=(dt − dp) + dp/εr

ε0A

=dt + (1/εr − 1)dp

ε0A

=deq

ε0A.

We thus set the equivalent gap length to dt + (1/εr − 1)dp.The transducer elements can also serve as 1D contact elements, which can

be used to study the touchdown of the cantilever onto the polymer. Theminimal gap, which represents the point of contact, must then likewise beadapted because we are now using its equivalent (“dielectric”) length, so thatis must be multiplied by 1/εr.

The capacitance of the transducer elements is calculated with the formula

C(d) =C0

d,

i.e., the polynomial terms are left zero.

172

Page 193: Complexity Reduction Techniques for Advanced MEMS

6

6.2. Representation of Polynomial Systems

The nodes are then manually partitioned into leverage groups. Each leveragegroup is treated as separate input. The reason is that the electroresistive modelis quite complex, and the designers should be able to exchange it easily; thusit was decided not to implement it into the reduced model.

Finally, four monitor nodes are defined as system outputs; one is placed atthe tip, two on the legs and one on the capacitive platform (figure 6.3). Thosewill be the nodes we use for plotting the simulation results and calculating thereduction errors.

Figure 6.3: Position of the four monitor nodes (marked with circles).

6.2. Representation of Polynomial Systems

For the implementation of polynomial model order reduction, the first taskwas to find a good representation for equations with higher-rank matrix vec-tor products (polynomial matrices). In general, a system with nonlinear sys-tem matrices could be represented by matrix elements with state variables,e.g.,

[1 x1

x2 1

]x . However, for the purpose of model order reduction with the

173

Page 194: Complexity Reduction Techniques for Advanced MEMS

6. Polynomial Model Order Reduction Framework

polynomial projection method it is better to have an explicit polynomial rep-resentation like

x + Ax + xTWx = Bu ,

where A and B would be matrices (tensor rank 2), W = Wijk a tensor withtensor rank 3, x the state variables (unknown quantities), x their time deriva-tives and u the inputs to the system. We call this system polynomial becausethe states only occur in the form xn1

i1xn2

i2· · · with natural numbered nj.

In Einstein notation, xTWx reads Wijkxixjxk. If in addition matricesare used which couple inputs and states and in addition are parametric, adata structure for polynomial matrices should be able to represent somethinglike pi(Wi)jklxkul, where the pi are parameters for the system. The indexj represents the rows, i.e., the equations of the system. In the case of non-parametric matrices, this is the first index.

The main work on this topic was done in Mathematica, therefore we nowpresent a Mathematica implementation a framework to treat polynomial sys-tems. The implementation is done as an extension of Oliver Rübenkönig’sSystem package in the IMTEK Mathematica Supplement framework, whosepurpose is to give a datastructure for systems of the form

Mx + Ex + Kx = Bu .

Let us call the matrices in this equation (i.e., the stiffness, damping, mass andload scattering matrices) the “common” matrices.

We now represent the sequence of variables, inputs, parameters and pos-sibly further symbols by a list of lists. Every sublist stands for one symbol.The components of the sublist are for the time derivatives. For example, thesequence pixjxkxlumun would be denoted as 1, 2,0,1, 1,1, tellingus that p occurs one time, x occurs two times, its second time derivativeone time, u occurs one time and u also one time. Usually we use the orderparameter, state, input.

Trailing zeros and trailing empty lists are omitted for uniqueness: pixjxkxl

would be 1, 2,0,1 instead of 1, 2,0,1, 0,0 or 1,

2,0,1, (without the trailing empty list). The stiffness matrix can bedescribed with , 1, the parametric stiffness matrices by 1, 1.In the same way, we have , 0,1 and 1, 0,1 for the dampingand , 0,0,1 and 1, 0,0,1 for the inertia matrix. The loadscattering matrix is , , 1 but with a sign change, since all matri-ces are put to the left hand side. We call this the canonical form form theexponents.

174

Page 195: Complexity Reduction Techniques for Advanced MEMS

6

6.2. Representation of Polynomial Systems

Together with the tensor W, we can create an object to wrap these expo-nents and the actual tensor, which we call imsPolynomMatrix[ exponents,

values ], for example, imsPolynomMatrix[ , 0,0,1, W ]. A sum ofthose imsPolynomMatrices yields an imsSystem; the Plus operator is over-loaded to behave as expected, i.e., if a matrix is added to a system and anothermatrix with the same exponents is available, those matrices are added. Alsomultiplying a imsPolynomMatrix by a scalar is possible. To retrieve a matrix,pattern matching on the exponents can be employed; for convenience, for thecommon matrices there exist special functions to retrieve them from a system.With this framework, it is also easy to check if a system is, e.g., of secondorder in time or features quadratic matrices by checking for the occurrenceof exponent signatures (for example, if the length of the second sublist of theexponents is 2, then the system is second order in time).

Sometimes, it is better to revert this representation to a representation withthe common matrices, which now have non-constant entries. The functionsimsPolynomMatrixToMatrix and imsToSimpleSystem do exactly this for asingle matrix and all matrices of a system.

The next important procedure for model order reduction is to project certainlevels of the tensor using a projection matrix V. If a vector v is used insteadof a matrix, the tensor rank of W will decrease by one. Further, it is usefulto apply the projection to, e.g., all instances of the states and their timederivatives. For this purpose, the functions imsPolynomMatrixContract andimsPolynomMatrixContractAll can be used.

As described in section 4.3.4 on page 150, it is necessary to have a linearisedversion of a system. The function imsLinearizeSystem takes an operatingpoint vector and returns a linearisation at this state. It can also handle non-constant matrix entries. The function tries to maintain as much of the dynamicbehaviour as possible, even in the case of mixed time derivatives.

Finally, for the export to Verilog-A, the function imsStiffnessToIdentity

was implemented which left-multiplies the system with the inverse of the stiff-ness matrix so that the system can be written in the form

x = f (t,x , x , x , . . . ).

The full documentation is available in the respective Help Browser entries ofthe ims [349].

175

Page 196: Complexity Reduction Techniques for Advanced MEMS

6. Polynomial Model Order Reduction Framework

6.3. ANSYS Interface

The millipede model was set up in ANSYS and discretised by the IBM Re-search Labs. This means that a system of ordinary differential equations isalready present in the program and can be transfered to our simulation en-vironment. There is already software available to extract this informationand perform linear model order reduction, namely the mor4ansys package byEvgenii Rudnyi [351] written in C++. It turns out that the time for generat-ing a linear reduced model is the the order of twice the time for a stationarysolution in ANSYS. The computational cost for the solution of the reducedsystem is actually almost negligible. In Mathematica, these excellent perfor-mance figures are not possible, but due to its powerful language it is excellentas prototyping tool for later application in a compilable language.

The challenge is that ANSYS stores the system’s matrices in a linearisedform, which is unsuitable for our purpose of nonlinear model order reduction.We thus use a hybrid approach.

The ANSYS binary files store information on the system matrices. TheWRFULL command in combination with SOLVE ensures that the .full file iswritten (see section D.2 on page 244, available from ANSYS version 8).

Binary files always start with a header with basic information on the file.The fields are listed in table D.1 on page 241. Then, the data part followswhich in stored in records. The format of the records can be retrieved fromthe ANSYS documentation, especially from the file format descriptions in theinclude/ subdirectory. They start with a 32bit integer word describing thelength of the record and then the data. Unfortunately, the file format haspartly changed in version 10 of ANSYS, so that the following statements areonly true up to version 9.

The .full file stores information about the assembled system, for example,the assembled stiffness matrix, nodal boundary conditions as nodal forces orDirichlet boundary conditions and degrees of freedoms per node. Further,there are pointers which serve as an index to speed up the reading of thefile. The data which are available through the interface are listed in table D.2on page 242 in the appendix (we leave out the indices/pointers in the table).The items which are of special interest for our application are emphasised.Constraint equations are not yet considered, and the interface is limited tothe symbolic .full file format.

The load vector is taken from the .full file, therefore if multiple loads areto be considered, different files must be specified. The mor4ansys manual [352]has some remarks about generating multiple .full files.

176

Page 197: Complexity Reduction Techniques for Advanced MEMS

6

6.3. ANSYS Interface

The file contains the assembled stiffness matrix; mass and damping matricesare not always written, but sometimes the matrix is a linear combination ofstiffness and mass, depending on the previous analysis. Further, the matricesinclude the linearised contribution of nonlinear elements which we want toavoid. We therefore use the .emat file to extract the element matrices.

The .emat file stores information about the individual element matrices(see table D.3 on page 244 in the appendix). The order of elements and nodesin the files is different than expected from their enumeration. The nodal andelement equivalence tables serve as converters between the element numberingand their actual order in the file.

For each element, the stiffness, damping and mass matrix may be stored.Further, the stress stiffening matrix and Newton-Raphson solution data isavailable. The element data section is repeated for each element.

Matrices may be stored in a number of different formats: Asymmetric ma-trices are stored in full form (column wise); symmetric matrices are storedin lower triangular form, and finally, there is a format for diagonal matrices.Depending on the keys for symmetry and lower triangular form and on thenumber of actual entries, the format can be determined.

The Mathematica package which implements this interface features func-tions to read the binary files and assemble them to a imsSystem. The usercan choose whether to include Dirichlet boundary conditions, and whether totake the stiffness matrix from the full file or from the element file (for linearelements, there is a little, but not effectual difference concerning very smallnumbers in the matrices). Further, we implemented the possibility to excludeelements from assembly, which is crucial for the deletion of spurious entriesfrom the linearisation in the stiffness matrix. As a side note, in the docu-mentation, it is stated that depending on the variable kan which specifies theanalysis type, the data is complex or real; we observed that this is not alwaystrue, and as a consequence very bizarre results may occur.

With those options, we have the possibility to read the linear parts of allmatrices and extract basic data about the model. What is missing are thenonlinear elements, in our case the transducer elements.

The easiest possibility is to write out the required data with an ANSYSmacro in its scripting language APDL. The small script printed in section D.3on page 244 writes out the element numbers and node indices, informationabout its orientation settings, and the capacitance and gap data. Then, theforce on each node is calculated as discussed in section 3.7.1 on page 76:

F = − C0

2x2V 2,

177

Page 198: Complexity Reduction Techniques for Advanced MEMS

6. Polynomial Model Order Reduction Framework

where C0 is the value as given in the ANSYS model and V the input voltage.These nonlinearities are then assembled to the B matrix; x is replaced by theappropriate gap, which is calculated from the initial gap and the degree offreedom of z displacement such that the force points to the right direction.By assembling to different columns, we can specify multiple inputs. In thiscase, the inputs are the squared values of V , which is an easy way to reducethe nonlinearity of the system. Still, the system is nonlinear due to the 1/x2

terms in B.

6.4. Series Expansion of Nonlinearities

To apply the polynomial model order approach, the transducer capacities arenow approximated by a Taylor expansion:

C(x) =C0

x≈ C0

x0− C0

x20

(x−x0)+C0

x30

(x−x0)2 − C0

x40

(x−x0)3 +O

((x−x0)

4)

The force for node i with applied voltage Vj is then approximated (leavingout the rest term) by

Fi(xi) = −1

2

C0

x2i

V 2j ≈ − C0i

x20,i

V 2j + 2

C0i

x30,i

(xi − x0,i)V2j − 3

C0i

x40,i

(xi − x0,i)2V 2

j

= −6C0i

x20,i︸ ︷︷ ︸

Bij

V 2j +8

C0i

x30,i︸ ︷︷ ︸

Ktrans,jii

xiV2j −3

C0i

x40,i︸ ︷︷ ︸

Wjiii

x2i V

2j ,

where Bij is the ith row of the jth load scattering vector, Ktrans,jii can beseen as the jth parametric stiffness matrix’ diagonal element at position (i, i),and Wjiii the jth parametric quadratic stiffness matrix’ diagonal element atposition (i, i, i). It is thus a bit unclear whether V 2

j should be called an inputor a parameter, at least if we use the nomenclature for the linear case. Since Vj

always occurs squared, we should use V 2j as input instead of Vj to not further

complicate the model.As a result, we have two matrices Ktrans and W which we may include into

our model as parametric and nonlinear terms. The model is thus a quadraticapproximation of the full model.

The question is now how to choose the x0,i. The typical gap is in the rangefrom 0 to 1.75 (this is where contact would occur), so a good expansion point issomewhere in between. We found that 1.25 gives quite a good approximationto the curve (see figure 6.4).

178

Page 199: Complexity Reduction Techniques for Advanced MEMS

6

6.5. Model Order Reduction and Time Integration

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

0.6 0.8 1 1.2 1.4 1.6 1.8 0

0.02

0.04

0.06

0.08

0.1

0.12

0.14

C/C

0

Dif

fere

nce

Gap

1/xExpansionDifference

Figure 6.4: Polynomial approximation of the capacitance.

In addition to these load vectors there is another load vector with a valueof 1 at the z degree of freedom of the tip; with this load, we can connect anexternal contact model. The equation of the contact model is

u = −max(0, 100(−0.8 − xtip)

).

It is also possible to find a no-penetration model by calculating the forcerequired to force the tip to a zero gap. For simplicity, let us calculate thestatic case, in which the force on the tip by the remaining structure is

ftip = (Kx )tip;

this is the force which the contact element should apply (in the opposite di-rection) to balance the force of the structure.

6.5. Model Order Reduction and Time Integration

The model order reduction is performed in a straightforward way. The Arnoldimethod is applied to the linearised system at the operating point. Since we useRayleigh damping, at the frequency expansion point 0 we can use the matricesof the undamped system for generating the Krylov subspace. With the helpof the new functions implemented in the imsSystem package, the projection

179

Page 200: Complexity Reduction Techniques for Advanced MEMS

6. Polynomial Model Order Reduction Framework

matrix V is applied to all matrices at those levels which either stand for therows of the equation (this is the WT part) and to all those matrix levels whichare multiplied by x or its time derivatives.

For better comparability with the ANSYS results, we use a Newmark algo-rithm with nonlinear Newton equation solver as described above. The advan-tage over Mathematica’s built-in NDSolve is that (a) it can handle second-ordersystems, and (b) that we have full control over the algorithm. This is necessarybecause transient responses are always difficult to compare since integrationerrors accumulate over time. This is easier with harmonic simulations, butunfortunately only possible for the linear case.

The problem with this approach is that the Wr matrix is of size n3rm, where

nr is the size of the reduced system and m is the number of parameters, inour case the number of lever groups. So if we assign nv Arnoldi vectors toeach input, the size of the final matrix is n3

vm4. Since in the current model

there are 14 lever groups and 1 tip input, we have a size of n3v · 154 = 50625n3

v .This matrix is dense. We experimented with two novel approaches to reducethe number of entries: discarding of small couplings and non-uniform blockArnoldi .

Discarding of small couplings deletes all entries of W which are lower than acertain threshold. This is based on the assumption that this matrix stores thecoupling of Arnoldi “modes”; a lower number means that the coupling betweentwo modes is low. The limit of this approach is that it assumes that the modalamplitudes are of the same magnitude. A better enhancement would thereforebe to give the entries at lower indices a higher weight and start the deletionat the part of the matrix with higher indices; the reason is that the vectorswith higher indices are, because of the Gram-Schmidt orthogonalisation, onlycorrections to the vectors with lower indices, and therefore the expected modelamplitude is smaller.

Non-uniform block Arnoldi assumes that – for the same reason – after a fewblock Arnoldi iterations only small corrections are necessary, and that thereare inputs which have a lower importance. Therefore, the number of Arnoldivectors for those inputs is chosen lower than for the “important” inputs, sincewe assume that (a) the modal amplitudes are low, and (b) these modes arealready contained quite well in the previous subspace. One enhancement ofthis method then would be to check for the norm of the Arnoldi vector beforeorthonormalisation and then decide when to stop for this particular input.

180

Page 201: Complexity Reduction Techniques for Advanced MEMS

6

6.6. Verilog-A Export

Both approaches are heuristic and currently lack a sound mathematicalfoundation, but in numerical experiments they showed a very good perfor-mance.

6.6. Verilog-A Export

After reduction, the file is exported to the hardware description languageVerilog-A. Section D.4 on page 245 shows an example of such a file. First, thesystem is converted to a “simple” system, i.e., with only the common (nowpossibly non-constant) matrices, and then converted to first order in time.Then, it is converted such that the stiffness matrix is the identity matrix, sothat we can write the equations in the form xi = . . .

The header of the Verilog-A function holds all inputs and outputs and com-mon grounds. Then, the energy domains are defined, a special feature ofVerilog-A that serves as a kind of type checking for variables. Next follow theassignments from the conversion to first order, the equations and finally theoutput matrix.

6.7. Limits

The approach we have chosen has several limits. We have identified four mainpoints which should be considered when using this approach.

Transducer modelling: The approximation of the electrostatic-structural cou-pling is the first part where generality is lost. Currently, this imple-mentation relies on the use of TRANS126 elements, which do not takeinto account stray fields. The current ANSYS import to Mathematica isquite constrained to the particular problem, especially the use of rotatedcoordinate system is not possible, but this is no principal problem.

Polynomial approximation: The approximation is only valid in the vicinity ofthe expansion point and only possible for weak nonlinearities (e.g., notfor contact). Thus, a possible touch down of the electrostatic platform(in contrast to a touch down of the tip only) is not adequately modelled.

Polynomial matrices: The resulting matrices turn out to become rather big.Still, they are independent on the number of degrees of freedom of theoriginal system, but are dependent on the number of inputs. A pos-sible remedy could be tangential interpolation or the reduction of the

181

Page 202: Complexity Reduction Techniques for Advanced MEMS

6. Polynomial Model Order Reduction Framework

number of inputs. Since in our case, the lever group voltages are notcompletely independent, it may be possible to use some sort of reducedbasis for these inputs and adapt the resistor model in such a way that itreproduces the amplitudes of those basis vectors.

Model order reduction: All limits concerning the Arnoldi algorithm and poly-nomial reduction still apply, especially the lack of a priori error estima-tors. Thus, a trial and error approach is still required to estimate thequality of the reduction.

However, for our particular problem these limits had been acceptable, andthere are a lot of applications where some of them do not apply. One exampleare systems which are per se polynomial, e.g., geometrical nonlinearities asdescribed in section 3.8.3 on page 101 or Navier-Stokes simulations. Thepolynomial model order reduction has the advantage that it is not based on aspecific trajectory and thus more general.

Chapter summary

• The model order reduction framework provides, together with othermodules from the Imtek Mathematica Supplement, means to import,model order reduce and simulate discretised – possibly nonlinear – mod-els.

• The IBM scanning-probe data storage device is taken as example.

• The model is implemented in the commercial tool ANSYS and read intoMathematica using binary files.

• Transducer and contact elements are added; they introduce the nonlin-earities.

• Symbol isolation and polynomial model order reduction are applied.

• Finally, different approaches can be used to reduce the number of termsin the reduced equations.

182

Page 203: Complexity Reduction Techniques for Advanced MEMS

6

Part IV.

Results

183

Page 204: Complexity Reduction Techniques for Advanced MEMS
Page 205: Complexity Reduction Techniques for Advanced MEMS

7

7. EDEW

The weaker the data available upon which to base one’s conclusion,the greater the precision which should be quoted in order to give thedata authenticity.

Norman R. Augustine (born 1935)

In this section, we show the results of a number of simulations performed withour model. All of them with the exception of the curved channel and the

tube model can be performed with the EDEW tool; the pinch-off simulationmakes use of the possibility to give manual command-line input.

7.1. Droplet Motion

a)

b)

c)

Figure 7.1: Simulation results for moving droplet: a) after actuation of electrode;b) moved to second pad, electrode actuated; c) relaxed after groundingelectrode.

185

Page 206: Complexity Reduction Techniques for Advanced MEMS

7. EDEW

Figure 7.1 on the preceding page shows the simulation of a non-confineddroplet moved by electrowetting with the material and operation data of ta-ble 7.1. There is no other external force to the droplet except for the changeof interfacial energy.

Table 7.1: Parameters for the simulation in figure 7.1 on the previous page.

Surface tension 72 J/m2

Contact angle bottom 110

Droplet volume 1 nlActuation voltage 40 VLayer thickness 1 µmRelative dielectric constant 3

At the beginning of the motion (a), the change of the hydrophobic to hy-drophilic behaviour of the pad is clearly visible at the contact line on theactuated electrode. The droplet then moves, only because of the change ininterfacial energy, to the next pad. After turning off the voltage, the dropletrelaxes to its initial state. Another simulation, where the droplet was notoverlapping the adjacent electrode in the start, showed no motion.

We used the commercial CFD program CFDRC [353] to simulate the flowinside the droplet which is moving at a speed of 1 (speed boundary condition atthe bottom) and calculated the heat generation. It turned out that the energydissipation is proportional to the square of the droplet motion. Figure 7.2shows the resulting flow.

Figure 7.2: Fluid flow in a moving droplet.

186

Page 207: Complexity Reduction Techniques for Advanced MEMS

7

7.2. Droplet Splitting

7.2. Droplet Splitting

Figure 7.3 shows the successful splitting of a confined droplet. We repeatthe experiment in [50] using the values in table 7.2. We place the droplet offcentre so that unbalanced splitting occurs as is sometimes seen in experiments.Another simulation with a centred droplet (not shown) resulted in an evenpartition.

Table 7.2: Parameters for the simulation in figure 7.3.

Surface tension 72 J/m2

Contact angle 120

Vertical spacing between substrates 80 µmDroplet volume 62.8 plActuation voltage 25 VLayer thickness 0.1µmRelative dielectric constant 2

Figure 7.3: Splitting of a droplet by electrowetting. The dark electrodes are actu-ated with a voltage of 25 V.

The procedure for splitting is as follows:

1. Spread the droplet over a number of electrodes (e.g. 3) by activating allof them.

187

Page 208: Complexity Reduction Techniques for Advanced MEMS

7. EDEW

2. Switch off electrodes in the centre of the droplet. While the outer activeelectrodes still attract the droplet, the central inactive electrode repelsthe droplet due to its natural hydrophobicity. If the parameters are wellchosen, the droplet splits and two single droplets, each with half thevolume, remain.

We stop the simulation just before topological changes occur due to pinch-off, resulting in the shape shown in figure 7.3. The computation time for thissimulation was about 3.5 minutes on an AMD Athlon 64 3000+ (1.8 GHz),the surface is discretised using about 1000 vertices.

7.3. Rising Fluid in Tube

Figure 7.4 shows a liquid column rising in a vertical cylindrical tube due tocapillary action. The capillary forces are balanced by gravity:

Fc = Fg

2πrγ = πr2gh

⇒ h =2γ

rg,

where Fc, Fg are capillary and gravitational force, r is the tube radius, γ =γSW − γSL(V ) the effective interfacial tension to the wall of the tube, thefluid density, g the gravity constant and h the height of the meniscus.

The interfacial tension to the wall of the tube can be varied by electrowet-ting. Since an analytical solution is available, we can use this example as averification for our approach.

Figure 7.4 shows a comparison between the analytical result and the SurfaceEvolver result (height average of meniscus vertices), yielding a very close matchbetween the two.

7.4. Pinch-Off in Confined Setup

In figure 7.5 , the case of a confined droplet losing volume, e.g., by evapora-tion, is considered. A failure of a confined setup can occur because of twogeometrical effects. The first danger is that the droplet becomes smaller thanthe electrode size. If it is then sitting in the interior of the electrode, withno overlap with an adjacent electrode, it is not possible any more to movethe droplet away from this spot (see figure 1.3 on page 12 and figure 5.1 on

188

Page 209: Complexity Reduction Techniques for Advanced MEMS

7

7.4. Pinch-Off in Confined Setup

5

6

7

8

9

10

0 5 10 15 20 25 30 35 40 45 50 0

5

10

15

20

25

30M

enis

cus

heig

ht [

mm

]

Dif

fere

nce

[µm

]

Voltage [V]

SimulationAnalyticalDifference

h

Figure 7.4: Height of a liquid column in a tube subject to electrowetting.

0

0.5

1

1.5

2

2.5

3

70 80 90 100 110 120 130 140 150

Vol

ume[

10-1

2 m3 ]

Contact angle [degrees]

Surface EvolverSufficient volume

Figure 7.5: Minimal transportable volume of a droplet in a sandwich structure.Left: Simulated minimal volume compared to sufficient transport con-dition for a plate distance of 100µm and a constant contact angle of110 on one plate. Right: Development of the droplet shape with de-creasing volume.

189

Page 210: Complexity Reduction Techniques for Advanced MEMS

7. EDEW

page 160). This problem can be easily tackled by making the electrodes smallerthan the considered “worst case” droplet volume. The second issue is that theconfined setup only works properly as long as the droplet is in contact withboth substrates. Figure 7.6 shows two isochore possible droplet morphologies;

a) b)

Figure 7.6: Droplet morphologies with same volume in confined setup.

electrowetting in the considered setup is only possible with morphology b), sopinch-off must be avoided at all circumstances. Assuming a contact angle θat the substrate and a distance of h between top and bottom covers, we cancalculate that the sufficient volume, where contact is always guaranteed, is:

v ≥ πh3

(1

1 − cos θ− 1

3

).

At that volume, even morphology a) touches the upper electrode and thuscontact is ensured. If the contact angles on the substrates differ, the smallerof the two must be used.

Table 7.3: Parameters for the simulation in figure 7.5 on the previous page.

Surface tension 72 J/m2

Contact angle at top 110

Contact angle at bottom 70

Substrate distance 100 µm

Fortunately, there is a safety margin between the theoretical value and theactual pinch-off. As can be seen in figure 7.5 on the preceding page (using thevalues in table 7.3), the shape of the evaporating droplet just before pinch-offis almost cylindrical near the hydrophobic part. This corresponds to a localenergy minimum, which traps the surface in this shape. A further decreasein droplet volume finally results in the system leaving the local minimum.However, once the droplet has detached, recovery is impossible.

This margin is clearly visible in figure 7.5, with minimal transportable vol-ume where the contact angles of both substrates are equal. The curve shows

190

Page 211: Complexity Reduction Techniques for Advanced MEMS

7

7.5. Channels

a certain symmetry, because the morphologies are flipped at the horizontalplane as soon as the contact angle of the lower plate passes 110 .

7.5. Channels

When electrowetting is performed in channels, there is an additional constraintto the droplet motion: The surfaces of the channel walls heavily influence thedroplet shape and thus the balance of surface tension and interfacial ener-gies [354]. This becomes especially important if the channel changes its crosssection or ends at a larger reservoir: The fluid might get stuck, because a largeforce is necessary to modify the surface. Figure 7.7 shows a series of picturesof a liquid meniscus in such a channel with a varying cross section. The fluiditself is not discretised, but included by surface integral transformations as inequation (5.4) on page 159. The voltage on the meniscus is increased from leftto right, but still the meniscus stops at a certain point, and more voltage isneeded for a further shift.

Figure 7.7: Liquid meniscus in a curved channel for increasing voltage.

Table 7.4: Parameters for the simulation in figure 7.8 on the next page.

Surface tension 72 J/m2

Contact angle 110

Channel width and height 100 µmLayer thickness 1µmRelative dielectric constant 3

On the other hand, for a straight channel as implemented in the EDEWmodel library, we observed that at a certain voltage we get a large increase inthe proceeding of the contact line in the channel; its position increases furtherand further, such that complete wetting takes place. Figure 7.8 shows thedifferent states of the meniscus for the system given in table 7.4: The first

191

Page 212: Complexity Reduction Techniques for Advanced MEMS

7. EDEW

a) b)

c)

Figure 7.8: Meniscus in a rectangular channel. a) Meniscus at low voltage. b) andc) Meniscus at higher voltage; a contact angle of 0 occurs.

graph shows the equilibrium state for zero voltage. The other two graphsshow the meniscus for a voltage of 86 V. This is not the equilibrium state;since complete wetting occurs for this value, the contact line proceeds furtherand further into the channel, until the finite resolution of the mesh leads tonumerical instabilities.

7.6. Optimisation of Electrode Fine Structure

We calculated the free energy of a droplet being moved over actuated electrodeswith different shapes of interdigital structures [5]. We studied the shapesshown in figure 5.4 on page 162 for a structure length of 100 µm and 400 µm.The parameters of the model are shown in table 7.5.

Table 7.5: Parameters for the electrode fine structure optimisation.

Surface tension 72 J/m2

Contact angle bottom 110

Droplet volume 2 µlActuation voltage 33 VLayer thickness 1 µmRelative dielectric constant 2.1

Initially, the droplet resides next to the pad to which the voltage is applied

192

Page 213: Complexity Reduction Techniques for Advanced MEMS

7

7.6. Optimisation of Electrode Fine Structure

such that it does not touch the pad edge structure of the actuated pad at all.We assume that only one pad is actuated at a time. We further assume thatthe motion happens on a much larger time scale than the fluidic relaxation ofthe droplet, i.e., the fluid shape follows the movement adiabatically.

The droplet is then moved manually onto the pad. For every simulation step,the energy minimum for the droplet surface is calculated, with the constraintthat the centroid of the droplet is fixed at a increasing location. The surfaceenergy is evaluated and is plotted versus the centroid position.

We compare the results to a geometric model, for which the following as-sumptions have been made:

• The liquid-air interface does not contribute to the energy change, i.e.,its area is approximately constant

• The base radius of the contact line does not change

• The contact line always forms a circle (see figure 7.9)

γ−γ

0

EWγ

0

lengthSpike

rB

Figure 7.9: Schematic drawing of the geometric model.

The potential energy change can then be calculated by evaluating

∆E(xc) =

∫ rB

−rB

2√

r2B − ξ2 γ (ξ + xc) dξ, (7.1)

where rB is the radius of the contact line and xc is the position of the centreof the contact line circle. The radius of the droplet base for a contact angle θand volume v can be calculated with

rB = sin θ · 3

√3v

π(1 − cos θ)2(2 + cos θ). (7.2)

The results of the Surface Evolver model are shown in figures 7.10–7.12.White circles indicate where the contact line arrives at the interdigital edgestructure and where it arrives on the bulk pad.

193

Page 214: Complexity Reduction Techniques for Advanced MEMS

7. EDEW

7.6.1. Influence of the Spike Shape

The difference of the potential energy for the different shapes is clearly visible.The rectangular shape shows a very steep energy descent from the beginning,which indicates rapid acceleration.

The triangular shape shows a very shallow decrease and thus a vanishingenergy gradient at the beginning, even the 10% spike shape performs better.However, the curve recovers very fast, and in the long run, the curves of b)and d) coincide.

The sinusoidal shape lies in between. The energy gradient is larger than forthe triangular shape at the beginning, but lower after passing its first half.

The thin rectangular shapes show a very low energy gradient. We alsosee that the energy curve is shifted to the right, because the structure doesnot cover half of the area as for the other examples, but only 10% and 25%,respectively.

A thick rectangular shape seems to be optimal with respect to the acceler-ation of the droplet; however, since the adjacent interdigital edge structureswould touch at this pulse ratio of 50%, the fabrication of this ideal case ischallenging and expensive. The limit here is the distance where sparkover orcapacitive coupling becomes relevant. Since a small pulse ratio would impairthe performance of the structure – as is visible for the c) shapes – either thesinusoidal shape or a mix of the triangular and the rectangular shape shouldbe preferred.

−4

µm

−10

10%25%

Centroid x position [10 m]

−2.5

−2

−1.5

−1

−0.5

0

0.5

−8.6 −8.4 −8.2 −8 −7.8 −7.6

Pot

entia

l ene

rgy

diff

eren

ce [1

0

J]

a)b)c)c)d)

Length: 100

Figure 7.10: Potential energy for different pad edge shapes with a spike length of100µm.

194

Page 215: Complexity Reduction Techniques for Advanced MEMS

7

7.6. Optimisation of Electrode Fine Structure

−4

µm−

10

x=6.44E=−18.87

10%25%

Centroid x position [10 m]

−14

−12

−10

−8

−6

−4

−2

0

−10 −9.5 −9 −8.5 −8 −7.5 −7 −6.5 −6 −5.5

Pot

entia

l ene

rgy

diff

eren

ce [1

0

J]

a)b)c)c)d)

Length: 400

Figure 7.11: Potential energy for different pad edge shapes with a spike length of400µm. The shape of the curves is similar to figure 7.10.

7.6.2. Influence of the Spike Length

The curves for different spike lengths show good congruence for different sizes;the length does not affect the shape of the energy curve deformation, only itsextent (figure 7.12 on the next page). The contact line above the structuremoves faster than the remaining part of the droplet, thus the effective struc-ture length is smaller than the true length. The overall energy decrease isindependent of the spike structure. Again, the c) shapes show a large shifttowards positive x values.

Since the initial energy gradient becomes lower the larger the spikes are,there is a tradeoff between a large size to reach small drops and a small sizefor a large gradient.

7.6.3. Comparison with Geometric Model

Figure 7.13 on the following page shows the potential energy difference cal-culated with the geometric model. The curves are in excellent agreementwith figure 7.11, showing the same features for the different shapes. For thedroplet further on the pad, the curves were found to slightly diverge from theSurface Evolver curves; however, the overall curve shapes remain identical.Due to the assumptions taken, however, this model does not allow to, e.g.,retrieve the deformed droplet shape, so that it cannot replace the numericalmodel completely. Its strength lies in the possibility to instantly estimate theperformance of a set of given edge shapes.

195

Page 216: Complexity Reduction Techniques for Advanced MEMS

7. EDEW

µmµm

µmµm

−10

−4

−30

−25

−20

−15

−10

−5

0

−10 −9 −8 −7 −6 −5 −4

Pot

entia

l ene

rgy

diff

eren

ce [1

0

J]

Centroid x position [10 m]

a), 100a), 400c) 10%, 100c) 10%, 400

Figure 7.12: Potential energy for different spike lengths. The curves for sinusoidalshapes coincide after an initial energy difference; the curves for the c)shapes show a clear shift to the right.

−10 −9 −8 −7 −6 −5Centroid x position [10 m]

−14

−12

−10

−8

−6

−4

−2

0

Pot

entia

l ene

rgy

diffe

renc

e [1

0

J]

d)

c) 25%

c) 10%

b)

a)

−4

−10 Length: 400 mµ

Figure 7.13: Potential energy for different pad edge shapes with length 400 µm,calculated with the geometric model.

196

Page 217: Complexity Reduction Techniques for Advanced MEMS

7

7.7. Results Summary

7.7. Results Summary

In summary, we present the following results for the electrowetting simulation:

• Development of a model based on energy minimisation for the simulationof droplets subject to electrowetting-on-dielectrics

• Development of a graphical user interface for the Surface Evolver andthe template library

• Derivation of analytical models for verification

• Application to a variety of typical geometries

• Treatment of electrode edge fine structure and their optimisation

• Calculation of the potential energy landscape during the electrowettingprocess.

197

Page 218: Complexity Reduction Techniques for Advanced MEMS

198

Page 219: Complexity Reduction Techniques for Advanced MEMS

8

8. Model Order Reduction

Il semble que la perfection soit atteinte non quand il n’y a plus rienà ajouter, mais quand il n’y a plus rien à retrancher (perfection isachieved, not when there is nothing more to add, but when there isnothing more left to take away).

Antoine de Saint-Exupéry (1900-1944), Terre des Hommes, III:L’Avion

In this chapter, we present results of numerical experiments for differentreduction methods. Since the Guyan method (section 4.2.1 on page 123) is

still commonly used in the engineering community, we start with a comparisonto the Arnoldi method; in this chapter, we also demonstrate the theoreticalresults on damping (section 4.2.4 on page 137). Then, we show results forthe polynomial approximation and reduction of the millipede model. Finally,results of other nonlinear model order reduction approaches are presented.

8.1. Arnoldi vs. Guyan – Second Order Case

In [250], a comparison between Arnoldi and Guyan reduction for first ordersystems has been presented. It turned out that there is a distinct advantage ofthe Krylov subspace methods in the sense that a better match of the transferfunction is yielded for the same number of degrees of freedom of the reducedsystem; other properties like the preservation of interface nodes in the Guyanmethod may however change this picture.

We found the same to be true for second order systems coming from struc-tural mechanics. We used three benchmarks: the Imego butterfly [7], thebond wire model [8] and an RF switch [6]. All models are linear. TheArnoldi model order reduction has been performed using Evgenii Rudnyi’stool mor4ansys [351], the Guyan reduction has been performed in ANSYSwith automatic selection of master nodes. Postprocessing was performed inMathematica.

199

Page 220: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

Butterfly Gyro Results: We start with comparing the model order resultsagainst the results of a simulation of the full model which we assume is the“true” solution. The left column of figure 8.1 shows the results of a transientsolution for the full model and some reduced models. With iterative algorithmslike the Arnoldi process is that it is enough to generate the “large” model with40 degrees of freedom; all “smaller” models can then easily be recovered bysimple truncation of the reduced matrices. As input, a step load is applied toone of the wings, and the response at that position is plotted.

-10

0

10

20

30

40

0 0.5 1 1.5 2 2.5 3

Am

plitu

de [

µm]

1e+04 1e+05 1e+061e-10

1e-09

1e-08

1e-07

1e-06

1e-05

1e-04

Am

plitu

de [

m]

ANSYSOrder 5

Order 10

-1.5

-1

-0.5

0

0.5

1

1.5

0 0.5 1 1.5 2 2.5 3

Am

plit.

dif

f. [

µm]

Time [ms]

Ord. 10-ANS.

a) Transient behaviour.

1e+04 1e+05 1e+061e-10

1e-09

1e-08

1e-07

1e-06

1e-05

1e-04

Am

plitu

de [

m]

Frequency [Hz]

ANSYSOrder 15Order 20Order 40

b) Transfer function.

Figure 8.1: Comparison of full and reduced model for butterfly.

We see that while order 5 is not good enough (figure 8.1a) for this excita-tion, the reduced model of order 10 is already very close to the true solution(figures 8.1b,c).

The right column of figure 8.1 shows a comparison of the transfer functions.

200

Page 221: Complexity Reduction Techniques for Advanced MEMS

8

8.1. Arnoldi vs. Guyan – Second Order Case

While the reduced models of order 5 up to 15 show considerable deviationsfor the low frequency range, the model with order 20 shows a perfect matchfor a larger extend. The large improvement between 15 and 20 is remarkable,which indicates that some of the lower eigenfrequencies are of lower importancefor the considered excitation. The order 40 model is even closer for higherfrequencies, though this is not so important for the gyroscope. For examplefor a step input with its large portion of low frequencies, and the timescaleconsidered in figure 8.1, order 10 yields already very satisfying results.

Bondwire results: For this model, we investigated the treatment of the damp-ing matrix as proposed in section 4.2.4 on page 137: The damping matrix isreinserted later in the reduced model as a linear combination of mass andstiffness with the same Rayleigh damping coefficients as for the full model,

-0.6

-0.5

-0.4

-0.3

-0.2

-0.1

0

Am

plitu

de [

µm]

α=0, β=1 µs

ANSYSArnoldi order 1Arnoldi order 2Arnoldi order 3

-0.6

-0.5

-0.4

-0.3

-0.2

-0.1

0

Am

plitu

de [

µm]

α=0, β=0.01 µs

ANSYSArnoldi order 1Arnoldi order 3Arnoldi order 5

-0.6

-0.5

-0.4

-0.3

-0.2

-0.1

0

100 200 300 400

Am

plitu

de [

µm]

Time [µs]

α=0, β=1 µs

ANSYSGuyan order 7

Guyan order 15Guyan order 20

a) Strong damping, β = 1 µs.

100 200 300 400-0.6

-0.5

-0.4

-0.3

-0.2

-0.1

0

Am

plitu

de [

µm]

Time [µs]

α=0, β=0.01 µs

b) Low damping, β = 0.01 µs.

Figure 8.2: Comparison of full and reduced bondwire model, transient simulation

201

Page 222: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

Er = αMr + βKr. It is not included in the reduction step, since it does notcontain any other information.

We investigated different settings for β; α was set to zero for all simulations.Figure 8.2 on the preceding page shows the transient response at the outputnode (marked with an arrow in figure 2.13 on page 47) to a step load forthe ANSYS model and two reduced models. The damping is set to α = 0and β = 1µs (figure 8.2a) or β = 0.01µs (figure 8.2b). It is remarkable thateven a model with three (higher damping) or five (lower damping) degreesof freedom is able to catch the transient behaviour almost perfectly. The

1e-03

1e-02

1e-01

1e+00

1e+01

0.001 0.01 0.1

Am

plitu

de [

µm]

Frequency [MHz]

α=0, β=1 µs

ANSYSGuyan, order 5Guyan, order 10Arnoldi, order 3

0.001 0.01 0.11e-04

1e-03

1e-02

1e-01

1e+00

1e+01

1e+02

Am

plitu

de [

µm]

Frequency [MHz]

α=0, β=0.01 µs

ANSYSGuyan, order 5Guyan, order 10Arnoldi, order 5

-1.5

-1

-0.5

0

0.5

1

1.5

2

2.5

0.001 0.01 0.1 1 10

log 1

0|H

r|-lo

g 10|

H|

Frequency [MHz]

α=0, β=1 µs

Arnoldi, order 5Guyan, order 5

a) Strong damping, β = 1 µs.

0.001 0.01 0.1 1 10-2.5-2-1.5-1-0.500.511.522.53

log 1

0|H

r|-lo

g 10|

H|

Frequency [MHz]

α=0, β=0.01 µs

Arnoldi, order 5Guyan, order 5

b) Low damping, β = 0.01 µs.

Figure 8.3: Comparison of full and reduced bondwire model, harmonic simula-tion. The lower graphs show the logarithmic difference log10 |Hr(s)| −log10 |H(s)| between the transfer functions of the reduced and fullmodel.

202

Page 223: Complexity Reduction Techniques for Advanced MEMS

8

8.1. Arnoldi vs. Guyan – Second Order Case

curves for higher order reduced models are indistinguishable from the ANSYScurves. In contrast, the Guyan method was unable to reproduce the outputof the strongly damped model even for 20 master DOFs, and also for the lowdamping the order of the reduced system needs to be considerably higher thanfor the Arnoldi method.

Figure 8.3 shows the results of a harmonic simulation, where the differenceof the two approaches is also clearly visible. The figures show the response ofthe output node for harmonic excitation of the beam at the arrow location.While the Guyan model of order 10 in figure 8.3a leaves the curve of the fullmodel near the second peak, the Arnoldi model of order 3 matches the curvefor a wide range of frequencies. The same behaviour can be seen for lowerdamping. However, for lower damping, the deviations are usually larger thanfor stronger damping because of the slower decay of high frequency modes.On the other hand, very high frequencies are at some point resonances of thefinite element mesh and thus not physical any more, so that a match of thosefrequencies does not contribute to the accuracy of the model.

RF switch results: We also performed the same experiments for the RFswitch. Now, we want to turn our attention on degrees of freedom with lowamplitude. In this example, the main motion of the device happens in theout-of-plane z direction; through Poisson’s ratio, a coupling to other in-planedirections happens (see section 3.6 on page 67).

We performed transient and harmonic simulations; Figure 8.4 on the fol-lowing page and figure 8.5 on page 205 show the results for a node on thebar of the switch. Although the match for the y direction is not as good asfor the other directions, it becomes very close as the number of vectors in thereduced model increases. Considering that the displacement scale of the ygraph is several orders of magnitudes smaller than for the z graph, it is stillvery remarkable that even those states are approximated in a very good way.Since small xy-plane displacements are negligible and do not contribute to theperformance of the device, the 5 degrees of freedom model does by far describethe device good enough.

The results for the harmonic analysis also show a good agreement up to1 MHz. Since the operating frequency of the switch is in the range of severaltens of kHz, the number of degrees of freedom is far enough for a reducedorder model to be used in a system design software. The computation of areduced order model takes roughly the same order of magnitude of time as thecalculation of the full FE-model requires for one timestep.

203

Page 224: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

-5e-11

0

x-di

spla

cem

ent [

m]

0

5e-11

1e-10

y-di

spla

cem

ent [

m]

0 0.05 0.1 0.15Time [ms]

0

5e-06

1e-05

z-di

spla

cem

ent [

m]

0 0.05 0.1 0.15Time [ms]

Figure 8.4: Step response of the RF switch for a force applied to one node at thecentre of the switch. The displacements in plane (x- and y-direction,upper two viewgraphs) are shown together with the displacement per-pendicular to the switch’s plane. The first column shows the results for5 degrees of freedom (dashed) compared to the full model (solid) whilethe second column shows the comparison with 30 degrees of freedom.

204

Page 225: Complexity Reduction Techniques for Advanced MEMS

8

8.2. Polynomial Approximation

1e-12

1e-10

1e-08

x-di

spla

cem

ent

1e-12

1e-10

1e-08

y-di

spla

cem

ent [

m]

1e+05 1e+06Frequency [Hz]

1e-08

1e-06

1e-04

z-di

spla

cem

ent [

m]

1e+05 1e+06Frequency [Hz]

1.0

1.5

ratio

0

5

ratio

0

5

10

15

ratio

Figure 8.5: Harmonic response of the RF switch for a force applied to one node atthe centre of the switch. The first column shows the 30 DOF modelcompared to an FE model, while the second column shows ratio ofdisplacements of the reduced order model over the full FEM results.

8.2. Polynomial Approximation

Now we want to present our results for nonlinear models, in particular theIBM storage device. This model has different sources of nonlinearity: Alreadythe purely mechanical model may introduce geometrical nonlinearities. Then,the contact of the tip to the substrate produces a very strong nonlinearity;finally, the electrostatic actuation is also highly nonlinear. The model orderreduction was performed in Mathematica.

Our results were compared to results obtained with ANSYS, where largedisplacement calculation was turned on. It turned out that the geometrical

205

Page 226: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

nonlinearities are negligible, even though the tip experiences a large deforma-tion; but since the structure is only clamped on one side, axial stresses andstrain are small and thus a linear structural model is justified. This is verydifferent for the clamped-clamped beam case [143]; in that case, geometricalnonlinearities must be included.

The contact nonlinearity is treated outside of the reduced model. Whatremains are the transducer elements with their 1/x2 nonlinearity in the force.

Our reduction procedure requires the model to be polynomial, so we expandthe nonlinear terms into a Taylor series of degree 2 as described in section 6.4on page 178. Then, we perform a simulation to compare the trajectory of thepolynomial approximation to the trajectory of the full model. The excitationvoltage is 7.45 V.

Figure 8.6 shows a comparison of the original nonlinear model to the poly-nomial approximation for the four monitor nodes. This process is one of themajor sources of error in this simulation; there are some noticable deviationsafter the touchdown of the tip at 3.5 µs; the approximation works in generaland the timing of the operation is maintained. Further, other effects which arenot included in the original model (like thin film damping, etc.) are expectedto overweigh the error introduced here.

The solution in Mathematica of the full system for 200 timesteps took 5128seconds, the solution of the polynomial system took about the same time.

8.3. Polynomial Reduction

Now, the polynomial model order reduction is applied. For the tip, we usefive Arnoldi vectors, and for each voltage input one additional vector. Thisnumber is quite low, but the subspace already contains sufficient informationso that the voltage input vectors merely serve as a small correction.

The model order reduction turns out to be still quite fast: After about 3sec1, the process is finished, resulting in a system with 19 degrees of freedom(instead of 9441 of the full model) and 15 inputs. We then perform the samesimulation as above. Figure 8.7 shows a comparison between the model-order-reduced system and the polynomial system. It is remarkable that the curvesof the reduced and full polynomial model match so closely that they are in-distinguishable in the graph (there are indeed two lines lying on top of eachother). The maximum deviation of the two models is at 3.65 µs and is about

1We found that the CPU times Mathematica reports can vary substantially, but still cangive a clear trend showing the benefits of model order reduction

206

Page 227: Complexity Reduction Techniques for Advanced MEMS

8

8.3. Polynomial Reduction

-0.9

-0.8

-0.7

-0.6

-0.5

-0.4

-0.3

-0.2

-0.1

0

0 2 4 6 8 10

Dis

plac

emen

t [µm

]

Real solutionPolynomial solution

-30

-20

-10

0

10

20

30

40

0 2 4 6 8 10

Err

or [

nm]

0 2 4 6 8 10-6

-4

-2

0

2

4

6

8

Rel

ativ

e er

ror

[%]

Time [µs]

Figure 8.6: Comparison of nonlinear model and polynomial approximation. Top:transient solution; bottom: error. From top to bottom, the curves are:monitor nodes on the side supports (blue/dotted and violet/dotted inthe error graphs), monitor node on the capacitive platform (green/-dashed), and monitor node on tip (red/solid).

1 nm in magnitude; the amplitude at this time is 650 nm for this data point,so that the relative error is negligible. In contrast, the relative error is largeat the beginning of the motion, where the gap is far from the expansion point;in absolute numbers, however, the deviation is very low. In conclusion, themodel order reduction itself is very successful and introduces almost no errorsin this particular example.

Like the full polynomial system, the reduced system features a stiffness,mass and damping matrix, the input scatter matrix, one bilinear matrix cou-pling states and inputs, W

(1)ijl xjul, and a four-dimensional matrix coupling the

207

Page 228: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

-0.9

-0.8

-0.7

-0.6

-0.5

-0.4

-0.3

-0.2

-0.1

0

0 2 4 6 8 10

Dis

plac

emen

t [µm

]

Polynomial solutionReduced solution

-0.6-0.4-0.2

0 0.2 0.4 0.6 0.8

1 1.2

0 2 4 6 8 10

Err

or [

nm]

0 0.5

0

2

4

6

8

Rel

ativ

e di

spl.

erro

r [%

]

0 5 10

Time [µs]

Figure 8.7: Comparison of reduced system and polynomial system.

products of two state variables and one input, W(2)ijklxjxkul:

Mijxj + Eijxj + Kijxj + W(1)ijl xjul + W

(2)ijklxjxkul = Bikuk.

The largest matrix W(2), is of dimension 19 × 19 × 19 × 15 with 96026nonzeros (no entries for the tip force input, thus the 6859 zeros). However, asthe histogram of absolute matrix component values (figure 8.8 ) shows, therea only few entries that are significant; the median is at 3.12 × 10−10, whichmeans that half of the entries is at least three orders of magnitude lowerthan the maximum at 4.82 × 10−7. Thus, we experimented with deleting allelements having an absolute value below 3× 10−9, which reduced the numberof nonzeros to exactly 16000. We call this the “simplified” system.

208

Page 229: Complexity Reduction Techniques for Advanced MEMS

8

8.3. Polynomial Reduction

0

5000

10000

15000

20000

25000

30000

35000

1e-16 1e-14 1e-12 1e-10 1e-08 1e-06 1

10

100

1000

10000

100000

Occ

uran

ces

Matrix entry size

Figure 8.8: Distribution of matrix entries in W(2). The bars are in linear scale, thepoints in logarithmic scale.

Solving the reduced system with the simplified W(2) took 68.8 sec whilebefore the deletion it took 290 sec. Figure 8.9 on the next page shows therelative error of the simplified system compared with the reduced system,which is still quite acceptable compared with the error introduced by thepolynomial approximation. In absolute numbers, the maximum deviation is45 nm. The question how to choose the adequate threshold value for deletionremains; for this result, it was chosen manually, but a good error estimatecould be very valuable.

As a conclusion, figure 8.10 on page 211 gives a comparison between the fullsystem and the reduced system in which small couplings have been discarded.The solution for the reduced system was achieved in roughly about 80 sec(including reduction and polynomialisation), which is about 1.5% of the timerequired for the solution of the full system (about 5100 sec). It was verifiedthat the full solution is correct by a comparison with the output of ANSYS.

The main error in this result is due to the polynomialisation of the full non-linear system; both errors in timing and amplitude were observed. The max-imum significant relative error (ignoring errors at small amplitude) is about6%, with the benefit of a considerably lower simulation time, which enablesthe use in circuit simulators. Due to the large increase of nonzeros in W(i),

209

Page 230: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

-0.9

-0.8

-0.7

-0.6

-0.5

-0.4

-0.3

-0.2

-0.1

0

0 2 4 6 8 10

Dis

plac

emen

t [µm

]

Reduced solutionReduced, simplified solution

-10

-5

0

5

10

15

20

0 2 4 6 8 10

Err

or [

nm]

0 2 4 6 8 10-2

-1.5

-1

-0.5

0

0.5

1

1.5

Rel

ativ

e er

ror

[%]

Time [µs]

Figure 8.9: Comparison of the reduced, simplified solution and the reduced solution.

expanding the Taylor series further will improve the error but largely increasethe number of terms.

8.4. Results with Other Reduction Approaches

We also conducted a study of different other reduction approaches for thenonlinear heat transfer in a 1D beam using 400 elements so that 400 degreesof freedom need to be considered (see section 3.8.1 on page 87). This study wasjoint work with Amirhossein Yousefi [10], whom we like to thank for providingthe graphs for the reduced model.

Figure 8.11a shows the steady state temperature at node 10 (that is, thenode at 1/40 of the beam length) for different inputs of heat flux. The node waschosen to avoid numerical problems due to inconsistent initial conditions (tem-

210

Page 231: Complexity Reduction Techniques for Advanced MEMS

8

8.4. Results with Other Reduction Approaches

-0.9

-0.8

-0.7

-0.6

-0.5

-0.4

-0.3

-0.2

-0.1

0

0 2 4 6 8 10

Dis

plac

emen

t [µm

]

Real solutionReduced, simplified solution

-30-20-10

0 10 20 30 40 50

0 2 4 6 8 10

Err

or [

nm]

0 2 4 6 8 10-8-6-4-2 0 2 4 6 8

Rel

ativ

e er

ror

[%]

Time [µs]

Figure 8.10: Reduced, simplified solution versus full solution.

perature is zero everywhere) and boundary conditions (temperature gradientdue to heat flux). All temperatures are relative to the ambient temperature300 K. The corresponding temperature profiles are shown in Fig. 8.11b. Forthe higher inputs the nonlinear profile is clearly visible.

Figure 8.12 on the following page shows two views of a transient simulationwith a implicit backward Euler solver for an input of 5 · 104 W/m2. The curvefeatures three interesting properties:

• A delay for the start of the temperature rise. This is because the mea-surement node is not at the end of the beam, where the heat input isplaced. The heat has first to be transported to this node until an effectis observable.

• An exponential temperature rise at the beginning of the curve.

211

Page 232: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

0

100

200

300

400

500

600

700

0 0.5 1 1.5 2 2.5 3 3.5

Tem

per

ature

-300 [

K]

Input heat flux [105 W/m2 ]

Node 10

0.02 0.04 0.06 0.08 0.1

100

200

300

400

500

600

700 Input heat flux:

5⋅10 - 3.5⋅10 W/m4 5 2

Position on beam [m]

Tem

per

ature

-300 [

K]

Figure 8.11: Results from the simulation of the full model. Left: steady state tem-perature for different inputs. Right: temperature profile along thebeam for different inputs.

0.05 0.1 0.15 0.2 0.25

0.2

0.4

0.6

0.8

1

Time [s]

Tem

per

ature

-300 [

K]

50 100 150 200 250 300

5

10

15

20

25

30

Time [s]

Tem

per

ature

-300 [

K]

Figure 8.12: Transient simulation for an input of 5 · 104 W/m2. The pictures showthe same curve on different time scales.

• Saturation after a longer time. The temperature approaches the steadystate temperature profile shown in Fig. 8.11b.

We now apply different nonlinear reduction methods: Proper orthogonaldecomposition, system matrix optimisation and balancing and optimisation.The simulation results (plotted for the node at 1/40 of the beam length and aheat flux of 5 · 104 W/m2) show that reduced order systems of order eight lie inthe acceptable range of accuracy. Figure 8.13(a, b and c) show the results ofreduced order systems of order eight. Fig. 8.13d shows the transient responseof the original model and the three reduced order systems in one plot.

The simulations show that all reduced models have good performance whilemodelling just with eight elements was found to have poor quality. Accordingto the simulations with different inputs the balancing & optimisation reductionmethod resulted in the best performance in comparison with the other applied

212

Page 233: Complexity Reduction Techniques for Advanced MEMS

8

8.4. Results with Other Reduction Approaches

0 0.05 0.1 0.15 0.2 0.25

– 6

– 4

– 2

0

2

4

6

8x 10

-4

Time [s]

Tem

per

atu

re e

rro

r [K

]

a) Proper Orthogonal Decomposition

0 0.05 0.1 0.15 0.2 0.25

– 6

– 4

– 2

0

2

4

6

8 x 10-4

Time [s]

Tem

per

ature

err

or

[K]

b) System Matrix Optimisation

0 0.05 0.1 0.15 0.2 0.25

– 6

– 4

– 2

0

2

4

6

8 x 10-4

Time [s]

Tem

per

atu

re e

rro

r [K

]

c) Balancing & Optimisation

0 2 4 6 8 10 12

0

4

8

12

16x 10

-3

Time [ms]

Tem

per

atu

re -

30

0 [

K]

d) Transient response of original system

Figure 8.13: The error yoriginal − yreduced between the reduced order models of or-der 8 and original model of order 400. d) shows the transient responseof the original system (solid) and the reduced order systems usingProper Orthogonal Decomposition (dotted), System Matrix Optimi-sation (dot-dashed), and Balancing & Optimisation (dashed)

methods. A disadvantage of all reduction methods is keeping the originalnonlinear vector (of order four hundred) that has major effect on the simulationtime. One further step for reducing the simulation time which can be taken issimplifying the nonlinear part in size and length and reducing the computationeffort needed for evaluating this part.

213

Page 234: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

8.5. Combined Approaches

In section 4.3 on page 144, we discussed what can be done in the case of a sys-tem with few nonlinearities which can be represented as new (state-dependent)inputs of the otherwise linear system. This approach is now applied to theelectrostatically actuated beam as discussed in section 3.8.2 on page 92. Theresults presented here are joint work with Behnam Salimbahrami [9], whomwe like to thank for providing the graphs of the reduced models.

The beam model is special in two ways: It features a large number of non-linearities since there is a lot of coupling between the individual degrees offreedom, and due to the calculation of charges it is a differential-algebraicequation (DAE), i.e., the mass and damping matrices are singular since thelower half is completely zero. The reason is that, although also the elec-trostatic part of the system is dynamic in its nature, the timescales are sodifferent that the electrostatic part changes almost instantaneous comparedto the remaining system.

It was observed that not all nonlinearities are really important for the over-all behaviour of the system; while some are larger in magnitude, others re-main small enough so that it is possible to replace them by their steady statevalue. For example, the nonlinearity

(1.235 · 10−4 + x2

1

)−1/2x20 is replaced by

(1.235 · 10−4 + x2

1,ss

)−1/2x20 where x1,ss is the steady state value of x1 for a

typical input.To perform the order reduction, the following steps are performed:

• Convert the ODE part to first order

• Replace the non-dominant nonlinearities by their steady-state value lin-earisation and move them to the system matrices

• Model order reduce the now linear system, e.g., with Arnoldi

• Project the original nonlinear system.

For results presented here, a model with 20 differential equations and 18algebraic equations was reduced to 15 differential equations and one algebraicequation. Already for the very low dimension of the original system, the num-ber of nonlinearities is immense since it grows quadratically with the numberof nodes.

Figure 8.14, left graph, shows a comparison of the step response (amplitude100 V) of the original model, the reduced model and the reduced model withsimplified nonlinear function.

214

Page 235: Complexity Reduction Techniques for Advanced MEMS

8

8.6. Results Summary

0 0.05 0.1 0.15 0.2 0.25 0.3-1.5

-1

-0.5

0 x 10-4

Time [s]

OriginalApproximatedReduced

Dis

pla

cem

ent

[m]

0 0.04 0.08 0.12 0.16

- 1

- 0.8

- 0.6

- 0.4

- 0.2

0x 10

-4

Time [s]

OriginalApproximated

Reduced

Dis

pla

cem

ent

[m]

Figure 8.14: Left: Step response of the electrostatically actuated beam, originaland reduced models. Right: Response to a 5 Hz harmonic excitation.

The right graph of figure 8.14 shows the response to a frequency of 5 Hzwith the same amplitude. Again, the same behaviour is observed, but in thiscase, the timing is much closer to the original model.

In [281], some further results using the beam model are presented.

8.6. Results Summary

In summary, we present the following results for the model order reduction:

• Creation of linear and nonlinear, first and second order benchmarks formodel order reduction and application of different reduction methods

• Implementation of an interface to ANSYS binary files for the extractionof the system of equations

• Implementation of a framework for the treatment of large nonlinear sys-tems of equations in Mathematica consisting of

– Container for nonlinear systems– Linearisation– Projection of polynomial systems– Model order reduction for nonlinear systems– Time integrators– Verilog-A export

215

Page 236: Complexity Reduction Techniques for Advanced MEMS

8. Model Order Reduction

– More utilities like unstructured grid field plotters, PoVRAY exportetc.

• Definition of a system description file format for nonlinear systems

• Treatment of model order reduction with Rayleigh damping

• Application of Arnoldi reduction for polynomial systems to the IBMscanning-probe data storage device, including source-out of the contactnonlinearity

216

Page 237: Complexity Reduction Techniques for Advanced MEMS

9

9. Conclusions and Outlook

The most exciting phrase to hear in science, the one that heraldsnew discoveries, is not “Eureka!” but “That’s funny...”

Isaac Asimov (1920–1992)

If I have not seen as far as others, it is because giants were standingon my shoulders.

Hal Abelson

In this thesis we have investigated two different approaches to reduce thecomplexity of the computer simulation of MEMS actuators. The first ap-

proach is useful for the simulation of free-surface fluidic systems where certainassumptions about the transient behaviour can be made. The complexity wasreduced by only considering the surface of the droplet; all forces and con-straints (like volume constraints) are expressed in terms of surface and lineintegrals. This makes it possible to represent the model by a surface mesh andthereby drastically reduce the number of unknowns.

The method was applied to the simulation of electrowetting, where the influ-ence of the electric field was modelled by line integrals. Various electrowettingsetups have been implemented to explore the possibilities and limits of themethodology. The main potential of the method is the calculation of dropletshapes and insight into the energy configuration of electrowetting arrays, whichis useful for the dimensioning and layout of biochips. This enable us to obtaina clear picture of the potential energy landscape for a specific electrode setuptogether with a moving droplet. In this way, we can go back and reshape theelectrodes until the obtained energy landscape is of a configuration that al-lows controlled behaviour of the functional unit we are implementing with theelectrode, be it a mover, splitter or merger. The application to the calculationof energy curves for the fine structure of electrodes was presented and used forthe optimisation of spike shapes for interdigital edge structures, which helpto make the electrowetting process more reliable. The comparison with ananalytic model confirms the resulting energy curves.

217

Page 238: Complexity Reduction Techniques for Advanced MEMS

9. Conclusions and Outlook

The implementation is based on the Surface Evolver program for which ascript template library was created. Parametrised scripts for various geome-tries and applications in the field of electrowetting are available. A graphicaluser interface allows for easy operation of the code. Finally, the limits of theapproach were identified and ways to work around those limits were proposed.

The second approach works on the already discretised ordinary differentialequation system. The Arnoldi process is used to extract the most importantfeatures of the transfer function by finding a representation where the first mo-ments of its Taylor expansion match. The system of equations is projected toa subspace resulting in a much smaller number of degrees of freedom while thetransient behaviour of the resulting system is close to that of the full system.We applied this approach to a number of MEMS devices and investigated howRayleigh damping parameters can be preserved.

It is possible to extend this projection approach to nonlinear systems ina number of ways. During the work on this thesis, we created linear andnonlinear, first and second order benchmark models to test MOR algorithms,for example, a model of an electromechanical beam actuator and a model fornonlinear heat conduction which both can be represented in closed form.

In cooperation with the IBM Research GmbH in Rüschlikon, Switzerland, weimplemented a method to transfer an electromechanical model of a scanning-probe data-storage system from the commercial FEM simulator ANSYS to anonlinear symbolic model. This model was transfered to a polynomial repre-sentation, and this representation was successfully order reduced from 9441degrees of freedom to 19 degrees of freedom. It turned out that the main errorsource is the polynomial approximation, whereas the error introduced by theorder reduction itself is marginal.

Since the polynomial model order reduction approach returns dense matricesand thus the number of terms per equation is rather large, we investigated theeffect of dropping small matrix entries.

During this task, a number of useful Mathematica packages were createdwhich extend the IMTEK Mathematica Supplement by functions for the han-dling of polynomial systems, linearisation of nonlinear systems, their modelorder reduction and auxiliary functions for time integration and Verilog-A ex-port. For the representation of nonlinear systems in computer readable form,a new file format was developed.

218

Page 239: Complexity Reduction Techniques for Advanced MEMS

9

9.1. Conclusions

9.1. Conclusions

Complexity reduction for advanced MEMS simulation can be achieved in anumber of different ways, and on many different levels during the simulationprocess. Starting from the physical model through the use of numerical meth-ods and ending at the level of purely mathematical equations, there are manypossibilities. Some sources of complexity will never be visible in nature or areeven completely artificial, for example, the “mesh modes” of a finite elementgrid, or very high frequency modes of a device which is usually operated atlow frequencies only; modes which are not observable, or degrees of freedomwhich are not of interest. Those parts can be removed from a model withoutchanging the outcome. The model order reduction methods try to identifyand remove these parts in an automatic or semiautomatic way. For methodswith global a priori error estimate, this is very convenient for the user, butat the moment computational cost inhibits the use of those methods. Fornonlinear systems (and almost all real systems exhibit some nonlinearity), itis even more difficult since very few mathematical results exist. Still, we haveseen that model order reduction for weakly nonlinear systems is possible, andthat the output is acceptable for a certain range of applications. However,reduced nonlinear models are often limited to a certain operating range.

One has to be careful where to reduce complexity. Some sources of com-plexity carry a major contribution to the behaviour of a device. When thoseparts are removed, the outcome of a simulation may change, or the capabilitiesof the model are also reduced. For example, the neglection of internal fluidflow in the electrowetting model makes exact statements about the transientbehaviour impossible. This kind of handmade models needs a careful investi-gation of its limits; not only the operating range is limited, but also the rangeof effects which can be simulated. These methods however are necessary forsystems where automatic compact modelling is not possible any more due tothe complexity of the equations.

With those limits in mind, complexity reduced models can bring an enor-mous facilitation to the simulation process because of their lower resourceconsumption (for example, memory or CPU time requirements).

9.2. Outlook

For model order reduction for thermal and low-frequency structural devices,many results are available and the existing algorithms turn out to work ex-

219

Page 240: Complexity Reduction Techniques for Advanced MEMS

9. Conclusions and Outlook

tremely well. There are on the other hand many other systems where it is stillnecessary to research on the best way to perform model order reduction, forexample fluidic systems, high-frequency structural systems like surface acous-tic wave devices, systems with many parameters and systems incorporatingstrong nonlinearities.

The methods we used are lacking some important features such as globalerror estimates, especially in the nonlinear case; to widen their reliable oper-ating range and to be able to trust their result without double checking withthe full model, attention from mathematicians is required. Further, there arereduction methods which we did not try with our models; a comparison to ourapproach would be interesting.

The possibilities are numerous as all computer based simulations profit fromthe possibilities of fast solvers. Especially when the number of simulation stepsis large, for example for transient or harmonic simulations or optimisation,the time to solve the full system is much longer than for the reduction andsubsequent solution of the reduced system. Reduced models can be used forreal-time controlling or visualisation applications, for extremely large modelswhere the simulation of the full model would be impossible in finite time, andoptimisation purposes; for the latter one, the inclusion of parameters is veryvaluable.

For the electrowetting model, a comparison to a full computational fluiddynamics simulation of the same setup should be performed to have a clearerpicture of the differences of the two approaches, especially concerning thetiming behaviour. The model can be applied to more setups than the onesimplemented. For example, a 2D grid could be implemented to demonstratethe motion of two or more droplets.

The next step would be the inclusion of internal fluid flow, for example witha boundary element method. However, this would require a rewrite of theunderlying Surface Evolver code.

Finally, we considered only a small number of possibilities of complexityreduction; many more possibilities exist, and they all are worth an evaluation.

220

Page 241: Complexity Reduction Techniques for Advanced MEMS

Appendices

221

Page 242: Complexity Reduction Techniques for Advanced MEMS
Page 243: Complexity Reduction Techniques for Advanced MEMS

A

A. Model Data of NumericalExamples for MEMS

Table A.1: Properties of the Imego butterfly gyro model.

Elastic moduli (169 GPa, 130 GPa, 169 GPa)Major Poisson’s ratio (0.36, 0.28, 0.06)Shear moduli (80 GPa, 80 GPa, 51 GPa)Density 2329 kg/m3

Element type SOLID187Degrees of freedom 17361

Table A.2: Properties of the IRST RF switch model.

Elastic modulus E1 80 GPaMinor Poisson’s ratio ν1 0.42Thickness t1 1 µmElastic modulus E1 180 GPaMinor Poisson’s ratio ν1 0.2Thickness t1 0.13 µmDensity 2000 kg/m3

Damping β = 10−5

Element type SHELL181Degrees of freedom 9462

223

Page 244: Complexity Reduction Techniques for Advanced MEMS

A. Model Data of Numerical Examples for MEMS

Table A.3: Properties of the bondwire model.

Young’s modulus 78 GPaMinor Poisson’s ratio 0.44Density 19300 kg/m3

Element type SOLID187Degrees of freedom 32877

Table A.4: Geometry and material properties for the heat transfer model. Thepolynomial approximation is valid for a temperature range from 300Kto 700K.

Length L 0.1 mCross-sectional area A 10−4 m2

Density ρ 2330 kg/m2

Heat capacity cp 720 J/kgKHeat conductivity a0 144.495 W/mK

a1 -0.54534 W/mK2

a2 9.27496 · 10−4 W/mK3

a3 −8.28691 · 10−7 W/mK4

a4 3.18727 · 10−10 W/mK5

224

Page 245: Complexity Reduction Techniques for Advanced MEMS

B

B. Theory

B.1. Lagrangian Mechanics

In this section, we describe the origins and derivation of the Lagrangian me-chanics formalism given in section 3.5 on page 65.

B.1.1. Coordinates

The first step is to choose n right generalised coordinates qj. They do notnecessarily need to be the Cartesian coordinates, they may also be local, butthey must be complete (i.e., it must be possible to describe all configurationsof the system) and independent. Then, the degrees of freedom need to bedetermined, i.e., the possible infinitesimal motions of the system. The motioncan be restricted by constraints:

• Holonomic constraints couple the coordinates (and possibly time); theyare of the form

fi(q1, . . . , qn, t) = 0 for i = 1 . . . k.

They reduce both the number of independent coordinates and the num-ber of degrees of freedom.

• Non-holonomic constraints are not described in the form above. They in-clude inequalities and constraints which can only be given in differentialform, i.e.,

aijdqj + at,idt = 0 for i = 1 . . . k.

They do in general not reduce the number of coordinates, but reduce thenumber of DOFs. Note that holonomic constraints can also be writtenin differential form, but need to fulfil integrability conditions.

If the number of DOFs is equal to the number of coordinates, the system iscalled holonomic and can be treated with the framework as shown here.

225

Page 246: Complexity Reduction Techniques for Advanced MEMS

B. Theory

B.1.2. Virtual Displacements

Let us now consider the equations of motion of a many body system withN particles with mass mi and position r i subject to external forces F i andconstraints which respond with the forces F constr

i :

mir i = F i + F constri for i = 1 . . . N.

Virtual displacements δr i are displacements with the following properties:

• They fulfil all constraints.

• They are infinitesimal.

• They are instantaneous, thus completed in zero time. This is importantwhen constraints vary in time; they are treated as constant.

Generalised coordinates qj are related to (virtual) displacements r i by thefollowing relation:

r i = r i(q1, . . . , qn, t) for i = 1 . . . N.

Then,

δr i =

n∑

j=1

∂r i

∂qjδqj . (B.1)

For the time derivative of the position, we have

r i =dr i

dt=

n∑

j=1

∂r i

∂qjqj +

∂r i

∂t

from which we can conclude that

∂r i

∂qj=

∂r i

∂qj. (B.2)

B.1.3. Equations of Motion

D’Alembert’s principle [355] states that the constraint forces F constri do not

contribute any virtual work:

N∑

i=1

F constri · δr i = 0.

226

Page 247: Complexity Reduction Techniques for Advanced MEMS

B

B.1. Lagrangian Mechanics

This is a postulate, which can be motivated from Newton’s third law (actio =reactio). For the virtual work, this results in

N∑

i=1

(mir i − F i) · δr i = 0 (B.3)

and using (B.1),

N∑

i=1

F i · δr i =n∑

j=1

[N∑

i=1

F i ·∂r i

∂qj

]δqj =

n∑

j=1

Ξqjδqj . (B.4)

The Ξqjare the generalised forces. After some algebraic reordering and using

(B.2) we can write for the first term in (B.3):

N∑

i=1

mir i · δr i =

n∑

j=1

[N∑

i=1

mir i ·∂r i

∂qj

]δqj

=

n∑

j=1

[N∑

i=1

d

dt

(mir i ·

∂r i

∂qj

)− mir i ·

d

dt

∂r i

∂qj

]δqj

=n∑

j=1

[d

dt

(∂

∂qj

N∑

i=1

1

2mir

2i

)− ∂

∂qj

N∑

i=1

1

2mir

2i

]δqj

=

n∑

j=1

[d

dt

∂T ∗

∂qj− ∂T ∗

∂qj

]δqj

with the kinetic coenergy T ∗ =1

2mir

2i .

so that (B.3) together with (B.4) yields

n∑

j=1

[d

dt

∂T ∗

∂qj− ∂T ∗

∂qj− Ξqj

]δqj = 0.

Since the generalised coordinates and thus the virtual displacements are inde-pendent, each of the summands must vanish:

d

dt

∂T ∗

∂qj− ∂T ∗

∂qj− Ξqj

= 0. (B.5)

227

Page 248: Complexity Reduction Techniques for Advanced MEMS

B. Theory

Often, the acting forces are due to a potential V , e.g., gravity or an electricfield. This potential can be position dependent, or, in the case of electromag-netic fields, also be a function of the velocities, such that

F i = −∂V (r1, . . . , r2, r1, . . . , r2, t)

∂r i+

d

dt

∂V (r1, . . . , r2, r1, . . . , r2, t)

∂r i

and thus

Ξqj=

N∑

i=1

(− ∂V

∂r i+

d

dt

∂V

∂r i

)∂r i

∂qi

Let Ξqibe those generalised forces from Ξqi

which are not from such a poten-tial. We observe that if, instead of using T ∗, we use the Lagrange functionL = T ∗ − V in (B.5), we can write

d

dt

∂L∂qj

− ∂L∂qj

− Ξqj= 0 ∀j = 1 . . . n. (B.6)

In conclusion, to obtain the equations of motion, we have to:

1. Choose a set of generalised, independent and complete coordinates.

2. Find the kinetic coenergy and potential energy of the system.

3. Transform the nonconservative forces which cannot be formulated asgradient of the potential energy to generalised forces using (B.4).

4. Insert all of that into (B.6).

Another derivation of the same equation is done in the framework of thevariational calculus: The integral of L over time is called the action S; thepath of natural motion in coordinate space minimises the Lagrange function,or in the words of Hamilton’s principle:

The system follows the trajectory whose action has a stationaryvalue: δS = 0.

B.2. Structural Continuum Mechanics

In this section, we derive the components of the Green-Saint Venant straintensor .

In the following, we will use Einstein notation and assume coordinates inthe Euclidian R

3.

228

Page 249: Complexity Reduction Techniques for Advanced MEMS

B

B.2. Structural Continuum Mechanics

The differential of the displacement can be expressed as

dR =∂R

∂xi

dxi = Gixi

with

Gi = e i +∂u

∂xi=

(δij +

∂uj

∂xi

)e j, (B.7)

where e i are the unit vectors. The Gi are tangents on the deformed coordi-nate lines. Now let us apply this transformation to the deformed infinite lineelement:

ds2 = Gidxi ·Gjdxj = G i ·Gjdxidxj

= Gijdxidxj. (B.8)

The Gij are the components of Green’s deformation tensor . Due to the com-mutativity of the dot product, Gij = Gji. Now, taking the difference of thearea element to the undeformed system, we can write:

ds2 − dS2 = Gijdxidxj − dxidxjδij

= (Gij − δij)dxidxj

= 2γijdxidxj

with

γij =1

2(Gij − δij).

At least one of those is nonzero iff the body is in a state of strain. By (B.7)and (B.8), we find that

Gij = Gi ·Gj = δij +∂ui

∂xj+

∂uj

∂xi+

∂um

∂xi

∂um

∂xj

and thus we end up with the strain-displacement relations

γij =1

2

(∂ui

∂xj+

∂uj

∂xi+

∂um

∂xi

∂um

∂xj

). (B.9)

229

Page 250: Complexity Reduction Techniques for Advanced MEMS

B. Theory

B.3. Arnoldi method

Here, we reproduce the proof that the Arnoldi method matches at least qmoments. We consider the vector

VA−1r br = V(WT AV)−1WTb .

where Ar = Ar − s0Er = WT AV is the reduced system matrix, the leftprojection matrix W is such that Ar is nonsingular and the columns of V

form a basis for the Krylov subspace Krrq(A

−1E, A

−1b).

By construction, the vector A−1

b is in this subspace, i.e., there is a (unique)linear combination

A−1

b = Va0,

and thus

A−1r br = (WT AV)−1WTb

= (WT AV)−1WT (AA−1

)b

= (WT AV)−1WT AVa0

= a0

and consequently

VA−1r br = Va0 = A

−1b.

With this statement, we can prove by induction that

V(A−1r Er)

iA−1r br = (A

−1E)iA

−1b : (B.10)

Assume that the above statement is true for all i = 0, 1, . . . , k. Then fori = k + 1,

V(A−1r Er)

i+1A−1r br = VA

−1r Er(A

−1r Er)

iA−1r br

= V(WT AV)−1WTEV(A−1r Er)

iA−1r br

(B.10)= V(WT AV)−1WTE(A

−1E)iA

−1b

= V(WT AV)−1WT AA−1

E(A−1

E)iA−1

b

= V(WT AV)−1WT A(A−1

E)i+1A−1

b . (B.11)

230

Page 251: Complexity Reduction Techniques for Advanced MEMS

B

B.3. Arnoldi method

For all i ≤ q − 2, the vector (A−1

E)i+1A−1

b is contained in the Krylovsubspace and can thus be written as

(A−1

E)i+1A−1

b = Va i+1.

Inserting this into equation (B.11) , we get

V(A−1r Er)

i+1A−1r br = V(WT AV)−1WT A(A

−1E)i+1A

−1b

= V(WT AV)−1WT AVa i+1

= V ai+1 = (A−1

E)i+1A−1

b .

This proof is valid for all i = 0, 1, . . . , q − 1. By multiplying both sides ofequation (B.10) with C, we recover the definition of the moments for theoriginal and for the reduced system and can conclude that the q momentsfrom m0 up to mq−1 match.

231

Page 252: Complexity Reduction Techniques for Advanced MEMS

232

Page 253: Complexity Reduction Techniques for Advanced MEMS

CC. DSI Format

C.1. General

The file is a plain ASCII text. All numbers are integers or real numbers infloating point or scientific exponential notation (e.g., 5, 0.1, 8.8542e-12).Comments start with a “%” character; they are allowed everywhere in the file,also in the middle of a line. They stop at the next line break:

% This is a commenta = 1 % + 2% a will be 1

The file format is sensitive to line breaks; to continue a line, use “ ...” atits end (note the leading whitespace):

a = 1 ...

+ 2

% a will be 3

If there is a “%” on the line before the continuation, the latter will be ignored.Statements are ended by linebreaks or by “;”.

Matrices are enclosed by “[ ]”. Elements in a row are separated by either“,” or whitespace (space or tab). Matrix rows are separated by either “;” orline breaks:

a = [1, 2 ...

3; 4 5 6

7 8 9]

% a will be% 1 2 3% 4 5 6% 7 8 9

Vectors are matrices where one dimension is 1.Functions are written in lower case letters, with their argument between

round parentheses:

233

Page 254: Complexity Reduction Techniques for Advanced MEMS

C. DSI Format

a = sin(3.14159265)a = sin(x(3)+u(1))

We recommend the use of the functions in table C.1; the list is essentiallybased on the ISO C99 standard [356]. If necessary, own functions may beintroduced, but their implementation and properties must be documentedelsewhere. Only functions from R

n 7→ R or subsets thereof are possible.All identifiers are case sensitive.

Table C.1: Recommended mathematical functions for the DSI format

a+b a + b (addition)a-b a − b (subtraction; missing a means negation)a*b a × b (multiplication)a/b a ÷ b (division)aˆb or a**b ab (power)cond?a:b If cond is true, return a else babs(a) |a| (absolute value)acos(a) cos−1 a ∈ [0, π] (inverse cosine)acosh(a) cosh−1 a ∈ [0,∞] (inverse hyperbolic cosine)asin(a) sin−1 a ∈ [−π/2, π/2] (inverse sine)asinh(a) sinh−1 a (inverse hyperbolic cosine)atan(a) tan−1 a ∈ [−π/2, π/2] (inverse tangent)atan2(y,x) tan−1(y/x) ∈ [−π, π] (inverse tangent: returns the angle

whose tangent is y/x. Full angular range)cbrt(a) 3

√a ∈ [−∞,∞] (real cubic root)

ceil(a) ⌈a⌉ (smallest integer ≥ a)cos(a) cos a (cosine)cosh(a) cosh a (hyperbolic cosine)erf(a) erf a (error function)erfc(a) erfc a (complementary error function)exp(a) ea (exponential)floor(a) ⌊a⌋ (largest integer ≤ a)lgamma(a) ln |Γ(a)| (natural logarithm of the absolute value of the

gamma function)log(a) ln a (natural logarithm)log10(a) log10 a (base-10 logarithm)log2(a) log2 a (base-2 logarithm)max(a,b,...) the largest of a, b, etc.

234

Page 255: Complexity Reduction Techniques for Advanced MEMS

C

C.2. File Header

min(a,b,...) the smallest of a, b, etc.mod(a,b) a − ⌊a/b⌋b (the remainder of the integer division of a by b)

pow(a,b) ab (power)round(a,b) nearest integer, or value with larger magnitude if a is exactly

in between two integers, i.e., n + 0.5, n ∈ Z

sign(a) sign of a or 0 if a = 0sin(a) sin a (sine)sinh(a) sinh a (hyperbolic sine)sqrt(a)

√a (square root)

tan(a) tan a (tangent)tanh(a) tanh a (hyperbolic tangent)tgamma(a) Γ(a) (gamma function)trunc(a) nearest integer not larger in magnitude (towards zero)

The functions may take the time t, elements of the state vector x(i), thetime derivatives (velocities) v(i) and the input vector u(i) as argument, withi the index of the element.

C.2. File Header

The first line of the file is a version string to distinguish between the differentversion having occured during the development:

DSIF_version=’0.1.0’

This is followed by a few lines describing the dimensions of the system:

n = 3

m = 2

p = 1

r = 2

s = 1

q = 3

o = 2

The parameters have the following meaning:

235

Page 256: Complexity Reduction Techniques for Advanced MEMS

C. DSI Format

n State space size(number of components of state vector x)

m Number of control input signals(number of components of input vector u)

p Number of output variables(number of components of output vector y)

r Number of state nonlinearities(number of components of vector f)

s Number of output nonlinearities(number of components of vector g)

q Number of equations(most times q=n)

o Maximum order of time derivatives; 1 for a system of form of (3.1),2 for a system of form of (3.2), 0 if no time derivative occurs at all.

C.3. System matrices and vectors

Following the header, the actual system data is given. Depending on the orderof the system the nomenclature and number of matrices to be given changes.Matrices and vectors not given take default values; matrices with zero sizein one dimension should also not be specified. The matrices required forequation (3.1) on page 56 and equation 3.2 and the default values are shownin table C.2 on page 238. E, A, B, b, F, C, D, d, G, M, K, x0 and v0 should beconstant, i.e. with explicitly given values. f and g can contain functions oftime, states, velocities and input. They should not contain any linear part tosimplify linearization.

A number of macros can be used to facilitate entering some special matrices.The macros are described in table C.3.

Table C.3: Macros for entering matrices in a DSIF file. All forms with both N and M

in their arguments return a possibly rectangular matrix with N rows andM columns; with N only, a square matrix is returned. In the following, N,M and D are scalars, V, R and C are row vectors, and A is matrix

eye(N,M)

eye(N)

Returns the identity matrix

veye(D,N,M)

veye(D,N)

Returns a matrix with D on the diagonal and 0 elsewhere

236

Page 257: Complexity Reduction Techniques for Advanced MEMS

C

C.4. Example

zeros(N,M)

zeros(N)

Returns a matrix whose elements are all 0

ones(N,M)

ones(N)

Returns a matrix whose elements are all 1

rep(D,N,M)

rep(D,N)

Returns a matrix whose elements are all D

repmat(A,N,M)

repmat(A,N)

Returns a block matrix with a copy of matrix A as eachelement.

diag(V,D,N,M)

diag(V,D,N)

diag(V,D)

diag(V)

Returns a diagonal matrix with vector V on diagonal D(D > 0 is above the main diagonal, D < 0 below). If N

and M are omitted, the matrix size is the minimal size tocontain V. If D is omitted, it is assumed to be 0.

ndiag(A,V,N,M)

ndiag(A,V,N)

ndiag(A,V)

The first argument of this function is a matrix of rowvectors to be included as diagonals to the final matrix.Trailing unused places must be filled with zeros. Thesecond argument is a row vector, whose elements spec-ify at which diagonal to include them. Returns a matrixwith each of the vectors in matrix A at the correspond-ing diagonal represented by the entry in vector V. If thematrix size is omitted, it is the minimal size to containthe diagonals.

sparse(R,C,V,N,M)

sparse(R,C,V,N)

sparse(R,C,V)

This function allows to specify a sparse matrix. R, C andV list the row and column numbers and the correspond-ing nonzero value such that the resulting matrix m ismR(k),C(k) = Vk.

A’

V’

Transpose of a matrix or vector

C.4. Example

Assume we have the following system of equations:

1 0.2 0

0.2 1 0.20 0.2 1

x +

0.1 0 00 0.1 00 0 0.1

x +

1 0 0−1 2 00 −1 2

x

=

1 00 10 0

u +

1 01 00 1

(

sin(u1 + x2)exp(u2/x1)

) (C.1)

237

Page 258: Complexity Reduction Techniques for Advanced MEMS

C. DSI Format

Table C.2: Matrices required to describe a system of first order in time (left) andsecond order in time (right)

Matrix Dimensions Default

E q×n eye(q,n)

A q×n eye(q,n)

B q×m eye(q,m)

b q×1 zeros(q,1)

F q×r eye(q,r)

C p×n eye(p,n)

D p×m zeros(p,m)

d p×1 zeros(p,1)

G p×s eye(p,s)

f r×1 zeros(r,1)

g s×1 zeros(s,1)

x0 n×1 zeros(n,1)

Matrix Dimensions Default

M q×n eye(q,n)

E q×n eye(q,n)

K q×n eye(q,n)

B q×m eye(q,m)

b q×1 zeros(q,1)

F q×r eye(q,r)

C p×n eye(p,n)

D p×m zeros(p,m)

d p×1 zeros(p,1)

G p×s eye(p,s)

f r×1 zeros(r,1)

g s×1 zeros(s,1)

x0 n×1 zeros(n,1)

v0 n×1 zeros(n,1)

y =[

0 1 0]x + (⌊exp(x3t)u1⌋) . (C.2)

A possible file describing this system could look like the following:

DSIF_version=’0.1.0’

n = 3

m = 2

p = 1

r = 2

s = 1

q = 3

o = 2

M = [ 1 0.2 0; 2e-1 1 2E-1; 0 0.2 1 ]

E = veye( 0.1, 3 )

% could also be E = diag( [0.1 0.1 0.1] )K = ndiag( [-1 -1 0; 1 2 2], [-1 0] )

B = eye( 3, 2 )

F = sparse( [ 1 2 3 ], [ 1 1 2 ], [ 1 1 1 ] )

C = sparse( [ 1 ], [ 2 ], [ 1 ], 1, 3 )

D = [ 1 ]

238

Page 259: Complexity Reduction Techniques for Advanced MEMS

C

C.4. Example

f = [ sin( u(1) + x(2) ); exp( u(2) / x(1) ) ]

g = [ floor( exp( x(3) * t ) * u(1) ) ]

x0 = zeros( 3, 1 )

v0 = [ 0 0 0 ]’

239

Page 260: Complexity Reduction Techniques for Advanced MEMS

240

Page 261: Complexity Reduction Techniques for Advanced MEMS

D

D. ANSYS Interface

D.1. ANSYS Binary File Fields

Table D.1: Contents of the binary header.

Short name Long Name

fnum file numberfformat file formattimedateunits units of measurementANSYSrel ANSYS releaseANSYSreldate ANSYS release datemachid machine identifierjobname jobnameANSYSpnam ANSYS product nameANSYSsverl ANSYS special version labeluser usernamesysrec system record sizeflenmax max file lengthrecmax max record Nrnoproc number of processorstitlesubtitle

Table D.2: Contents of the .full file which the interface can process.

Short name Long Name

fun04 unit number

241

Page 262: Complexity Reduction Techniques for Advanced MEMS

D. ANSYS Interface

Assemblyneqn number of equations on filenmrow number of rows in matricesnmatrx number of matrices on filekan analysis typewfmax maximum wavefrontlenbac number of nodesnumdof number of DOFs per nodelumpm lumped mass keynmrow2 number of rows in matricesntrmStif number of terms in K matrixkeyuns unsymmetric keyextopt mode extraction methodkeyse superelement keysclstf scale factor for matricesnxrows maximum rank for this solutionncefull number of constraint equations CE+CP on full filenNodes number of internal NodesntrmMass number of terms in Mass matrixntrmDamp number of terms in Damping matrixDOF degrees of freedom per nodeBAC nodal equivalence table

mmat mass matrixdmat damping matrixstmat stiffness matrixlvec load vectornextvec Number of DOFs at nodesdofvec DOF vectordofimp DOFs with imposed value (Dirichlet)impval Imposed values

Table D.3: Contents of the .emat file which the interface can process.

Short name Long Name

fun02 unit numbernume number of elements

242

Page 263: Complexity Reduction Techniques for Advanced MEMS

D

D.1. ANSYS Binary File Fields

numdof number of DOFs per nodelenu total DOFs of modellenbac number of nodesmaxn maximum node numbernodref actual number of nodes referencedlumpm lumped mass keykygst global stiffness matrix calculate keykygm global mass matrix calculate keykygd global damping matrix calculate keykygss global stress stiffening matrix calculate keykygaf global applied force vector calculate keykygrf global restoring force vector calculate keynumCE number of internal CEsmaxLeng maximum length of any internal CEtimval current timetiminc time incrementfrqval current frequencytimbeg start time for the analysistimend end time for the analysisDOF degrees of freedom per nodeBAC nodal equivalence tableELM element equivalence tableFST first element at DOF tableLST last element at DOF tableIDX Element index table

stkey stiffness matrix keymkey mass matrix keydkey damping matrix keysskey stress stiffening matrix keyakey applied load vector keynrkey Newton-Raphson load vector keyikey imaginary load vector keynmrow number of rows in matriceslowtri lower triangular formdofidx DOF index tablestmat stiffness matrixmmat mass matrixdmat damping matrix

243

Page 264: Complexity Reduction Techniques for Advanced MEMS

D. ANSYS Interface

ssmat stress stiffening matrixlvec load vectornrvec Newton-Raphson load vectorilvec imaginary load vector

D.2. Binary File Write-Out Script

This script is taken from [352].

/solu ! Enter solution module

allsel ! Select everything

antype,static ! Choose static analysis

eqslv,sparse ! Choose sparse solver

nsubst,1 ! 1 substep

wrfull,1 ! Stops solve after assembling global matrices

ematwrite,yes ! Write .emat file

solve ! Perform write

finish ! Exit solution processor

D.3. TRANS126 Export Script

ESEL,ALLenum=-1

*CFOPEN,trans126data,dat

*GET,enum,ELEM,enum,NXTH*DOWHILE,enum

*GET,eltyp,ELEM,enum,ATTR,TYPE*GET,enam,ETYP,eltyp,ATTR,ENAM*IF,enam,EQ,126,THEN*GET,rcset,ELEM,enum,ATTR,REAL*GET,rgap,RCON,rcset,CONST,3

*GET,rgapmin,RCON,rcset,CONST,4

*GET,rkn,RCON,rcset,CONST,5

*GET,rc0,RCON,rcset,CONST,7

*GET,rc1,RCON,rcset,CONST,8

*GET,rc2,RCON,rcset,CONST,9

*GET,rc3,RCON,rcset,CONST,10

244

Page 265: Complexity Reduction Techniques for Advanced MEMS

D

D.4. Verilog-A Export Example

*GET,rc4,RCON,rcset,CONST,11

*GET,rkop2,ETYP,eltyp,ATTR,KOP2

*GET,rkop3,ETYP,eltyp,ATTR,KOP3

*GET,rkop4,ETYP,eltyp,ATTR,KOP4

*GET,rkop6,ETYP,eltyp,ATTR,KOP6

*VWRITE,enum,NELEM(enum,1),NELEM(enum,2),rkop2,rkop3,\

rkop4,rkop6,rgap,rgapmin,rkn,rc0,rc1,rc2,rc3,rc4

%I %I %I %I %I %I %I %G %G %G %G %G %G %G %G

! Element settings: Number %I, node 1 %I, node 2 %I, DOF Set %i,! Capacitance−gap opt %i, DC voltage drop opt %i,! Stiffness method %I! Element parameters: gap %G, gapmin %G, rkn %G, c0 %G, c1 %G, c2 %G,! c3 %G, c4 %G*ENDIF*GET,enum,ELEM,enum,NXTH*ENDDO*CFCLOS

D.4. Verilog-A Export Example

‘include "constants.vams"

‘include "disciplines.vams"

module imsSystem(in1, out1, out2, gndkinematic);

input in1;

output out1, out2;

inout gndkinematic;

kinematic in1;

kinematic out1;

kinematic out2;

kinematic gndkinematic;

kinematic x1, x2;

kinematic_v xvel1, xvel2;

analog begin@(initial_step) begin

Vel(xvel1) <+ 0;

Vel(xvel2) <+ 0;

245

Page 266: Complexity Reduction Techniques for Advanced MEMS

D. ANSYS Interface

Pos(x1) <+ 0;

Pos(x2) <+ 0;

endVel(xvel1) <+ ddt(Pos(x1));Vel(xvel2) <+ ddt(Pos(x2));Pos(x1) <+ -1.0989010989010988*ddt(Vel(xvel1)) +

0.3296703296703296*ddt(Vel(xvel2)) +

1.0989010989010988*F(in1,gndkinematic);Pos(x2) <+ 0.3296703296703296*ddt(Vel(xvel1)) -

1.0989010989010988*ddt(Vel(xvel2)) -

0.3296703296703296*F(in1,gndkinematic);Pos(out1,gndkinematic) <+ Pos(x1);Pos(out2,gndkinematic) <+ Pos(x2);

endendmodule

246

Page 267: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[1] J. Lienemann, A. Greiner, J. G. Korvink, Modeling, simulation andoptimization of electrowetting, IEEE T Comput Aid D, Special Issue onDesign Automation Methods and Tools for Microfluidics-Based Biochips25 (2) (2006) 234–247.

[2] J. Lienemann, B. Salimbahrami, B. Lohmann, J. G. Korvink, A file for-mat for the exchange of nonlinear dynamical ODE systems, in: P. Ben-ner, G. Golub, V. Mehrmann, D. Sorensen (Eds.), Dimension Reductionof Large-Scale Systems, Vol. 45 of LNCSE, Springer-Verlag, Berlin/Hei-delberg, 2005, pp. 317–326.

[3] J. Lienemann, A. Yousefi, J. G. Korvink, Nonlinear heat transfer mod-elling, in: P. Benner, G. Golub, V. Mehrmann, D. Sorensen (Eds.), Di-mension Reduction of Large-Scale Systems, Vol. 45 of LNCSE, Springer-Verlag, Berlin/Heidelberg, 2005, pp. 327–331.

[4] J. Lienemann, E. B. Rudnyi, J. G. Korvink, MST MEMS model or-der reduction: Requirements and benchmarks, Linear Algebra and itsApplications 415 (2–3) (2006) 469–498.

[5] J. Lienemann, A. Greiner, J. G. Korvink, Electrode shapes for elec-trowetting arrays, in: Proc. nanotech, Vol. 1, San Francisco, CA, USA,2003, pp. 94–97.

[6] J. Lienemann, A. Greiner, E. B. Rudnyi, J. G. Korvink, L. Ferrario,M. Zen, Automatic order reduction for finite element models, in: Proc.AISEM 2004, Vol. 9, World Scientific, Ferrara, Italy, 2005, p. 94.

[7] J. Lienemann, D. Billger, E. B. Rudnyi, A. Greiner, J. G. Korvink,MEMS compact modeling meets model order reduction: Examples ofthe application of Arnoldi methods to microsystem devices, in: Proc.nanotech, Vol. 2, Bosten, MA, USA, 2004, pp. 303–306.

247

Page 268: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[8] E. B. Rudnyi, J. Lienemann, A. Greiner, J. G. Korvink, mor4ansys:Generating compact models directly from ANSYS models, in: Proc.nanotech, Vol. 2, Bosten, MA, USA, 2004, pp. 279–282.

[9] B. Salimbahrami, J. Lienemann, B. Lohmann, J. G. Korvink, A simu-lation free reduction scheme and nonlinear modelling of an electrostaticbeam, in: Proc. IFAC LSS, IFAC, Osaka International Convention Cen-ter, Osaka, Japan, 2004, pp. 747–752.

[10] A. Yousefi, B. Lohmann, J. Lienemann, J. G. Korvink, Nonlinear heattransfer modelling and reduction, in: Proc. MED, Mediterranean Con-ference on Control and Automation, Kusadasi, Aydin, Turkey, 2004.

[11] C. Hagleitner, T. Bonaccio, H. Rothuizen, D. Wiesmann, J. Lienemann,J. G. Korvink, G. Cherubini, E. Eleftheriou, Modeling, design, and ver-ification for the analog front-end of a MEMS-based parallel scanning-probe storage device, in: Proc. IEEE CICC, IEEE, San Jose, CA, USA,2006, accepted for publication.

[12] J. Lienemann, A. Greiner, J. G. Korvink, Linear 1D beam model,Tech. rep., IMTEK, University of Freiburg, available online at http://

www.imtek.de/simulation/benchmark/?li=30&nr=38861 (September2004).

[13] J. Lienemann, A. Greiner, J. G. Korvink, Beam actuated by elec-trostatic force, Tech. rep., IMTEK, University of Freiburg, avail-able online at http://www.imtek.de/simulation/benchmark/?li=30&nr=38882 (January 2005).

[14] J. Lienemann, A. Yousefi, J. G. Korvink, Nonlinear heat trans-fer modelling, Tech. rep., IMTEK, University of Freiburg, avail-able online at http://www.imtek.de/simulation/benchmark/?li=30&nr=38883 (January 2005).

[15] J. Lienemann, A. Greiner, J. G. Korvink, EDEW Version 1.0, A simula-tion tool for fluid handling by electrowetting effects, IMTEK, Universityof Freiburg, Freiburg, Germany (January 2004).

[16] J. Lienemann, A. Greiner, J. G. Korvink, EDEW Version 2.0, A simu-lation and optimization tool for fluid handling by electrowetting effects,IMTEK, University of Freiburg, Freiburg, Germany (April 2004).

248

Page 269: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[17] S. Middlehoek, S. A. Audet, Silicon Sensors, Delft University Press,1994.

[18] Proc. IEEE MEMS 2006.

[19] D. J. Laser, J. G. Santiago, A review of micropumps, J MicromechMicroeng 14 (6) (2004) R35–R64.

[20] A. Ramos, A. González, A. Castellanos, N. G. Green, H. Morgan, Pump-ing of liquids with AC voltages applied to asymmetric pairs of micro-electrodes, Phys Rev E 67 (2003) 056302.

[21] Y. Nakamura, K. Kamada, Y. Katoh, A. Watanabe, Studies on sec-ondary electrocapillary effects: I. The confirmation of the Young-Duprèequation, J Colloid Interf Sci 44 (3) (1973) 517–524.

[22] Y. Nakamura, M. Matsumoto, K. Nishizawa, K. Kamada, A. Watanabe,Studies on secondary electrocapillary effects: II. The electrocapillaryphenomena in thin liquid film, J Colloid Interf Sci 59 (2) (1977) 201–210.

[23] N. G. Green, A. Ramos, A. González, A. Castellanos, H. Morgan, Elec-tric filed induced fluid flow on microelectrodes: the effect of illumination,J Phys D Appl Phys 33 (2000) L13–L17.

[24] N. G. Green, A. Ramos, A. González, A. Castellanos, H. Morgan, Elec-trothermally induced fluid flow on microelectrodes, Journal of Electro-statics 53 (2001) 71–87.

[25] T. B. Jones, On the relationship of dielectrophoresis and electrowetting,Langmuir 18 (11) (2002) 4437–4443.

[26] J. Zeng, Electrohydrodynamic modeling and simulation and its applica-tion to digital microfluidics, in: Lab-on-a-Chip, Vol. 5591 of Proc. SPIE,2004, pp. 125–142.

[27] B. Berge, Electrocapillarity and wetting of insulator films by water, C.R. Acad. Sci., Ser. II: Mec., Phys., Chim., Sci. Terre Univers 317 (1993)157–163.

[28] B. Janocha, H. Bauser, C. Oehr, H. Brunner, W. Göpel, Competitiveelectrowetting of polymer surfaces by water and decane, Langmuir 16 (7)(2000) 3349–3354.

249

Page 270: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[29] J. Lee, C.-J. Kim, Surface tension driven microactuation based on con-tinuous electrowetting (CEW), J Microelectromech S 9 (2) (2000) 171–180.

[30] C.-J. Kim, Micropumping by electrowetting, in: Proc. ASME IMECE,no. IMECE2001/HTD-24200, New York, NY, 2001.

[31] J. Lee, H. Moon, J. Fowler, T. Schoellhammer, C.-J. Kim, Electrowettingand electrowetting-on-dielectric for microscale liquid handling, SensorActuat A–phys 95 (2–3) (2002) 259–268.

[32] P. Dimitrakopoulos, J. J. L. Higdon, Displacement of fluid droplets fromsolid surfaces in low-Reynolds-number shear flows, J Fluid Mech 336(1997) 351–378.

[33] M. Vallet, M. Vallade, B. Berge, Limiting phenomena for the spreadingof water on polymer films by electrowetting, Eur Phys J B 11 (4) (1999)583–591.

[34] C. Decamps, J. D. Coninck, Dynamics of spontaneous spreading underelectrowetting conditions, Langmuir 16 (26) (2000) 10150–10153.

[35] M. Schneemilch, W. J. J. Welters, R. A. Hayes, J. Ralston, Electricallyinduced changes in dynamic wettability, Langmuir 16 (6) (2000) 2924–2927.

[36] J. A. Moriarty, L. W. Schwartz, E. O. Tuck, Unsteady spreading of thinliquid films with small surface tension, Phys Fluids A–Fluid 3 (5) (1991)733–742.

[37] R. Golestanian, E. Raphaël, Relaxation of a moving contact line and thelandau-levich effect, Europhys Lett 55 (2) (2001) 228–234.

[38] N. Ivošević, V. Žutić, Spreading and detachment of organic droplets atan electrified interface, Langmuir 14 (7) (1998) 231–234.

[39] A. I. Bykhovskii, Effects of external influences on the spreading of a liq-uid phase on a crystal surface – a review, translated from PoroshkovayaMetallurgiya 133 (1) (1974) 50–62.

[40] T. S. Sammarco, M. A. Burns, Thermocapillary pumping of discretedrops in microfabricated analysis devices, AIChE J 45 (2) (1999) 350–366.

250

Page 271: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[41] T. S. Sammarco, M. A. Burns, Heat-transfer analysis of microfabricatedthermocapillary pumping and reaction devices, J Micromech Microeng10 (1) (2000) 42–55.

[42] S. P. Gurrum, S. Murthy, Y. K. Joshi, Numerical simulation of thermo-capillary pumping using level set method, in: Proc. 5th ISHMT/ASMEHMTC, Kolkota, India, 2002.

[43] R. Karlsson, M. Karlsson, A. Karlsson, A.-S. Cans, J. Bergenholtz,B. Åkerman, A. G. Ewing, M. Voinova, O. Orwar, Moving-wall-drivenflows in nanofluidic systems, Langmuir 18 (11) (2002) 4186–4190.

[44] P. Y. Chiou, H. Moon, H. Toshiyoshi, C.-J. Kim, M. C. Wu, Light ac-tuation of liquid by optoelectrowetting, Sensor Actuat A–phys A104 (3)(2003) 222–228.

[45] B. J. Feenstra, R. A. Hayes, R. van Dijk, R. G. H. Boom, M. M. H.Wagemans, I. G. J. Camps, A. Giraldo, B. v.d. Heijden, Electrowetting-based displays: Bringing microfluidics alive on-screen, in: Proc. IEEEMEMS, Istanbul, Turkey, 2006, pp. 48–53.

[46] K.-C. Chuang, S.-K. Fan, Direct handwriting manipulation of dropletsby self-aligned mirror-EWOD across a dielectric sheet, in: Proc. IEEEMEMS, Istanbul, Turkey, 2006, pp. 178–181.

[47] T. B. Jones, An electromechanical interpretation of electrowetting, JMicromech Microeng 15 (6) (2005) 1184–1187.

[48] J. Lee, C.-J. Kim, Theory and modeling of continuous electrowettingmicroactuation, in: Proc. MEMS (MEMS-Vol.1), ASME IMECE, Vol. 1,Nashville, TN, 1999, pp. 397–403.

[49] S. K. Cho, H. Moon, J. Fowler, C.-J. Kim, Splitting a liquid dropletfor electrowetting-based microfluidics, in: Proc. ASME IMECE, no.IMECE2001/MEMS-23831, New York, NY, 2001.

[50] S. K. Cho, H. Moon, C.-J. Kim, Creating, transporting, cutting, andmerging liquid droplets by electrowetting-based actuation for digital mi-crofluidic circuits, J Microelectromech S 12 (1) (2003) 70–80.

[51] M. G. Lippmann, Relations entre les phénomènes électriques et capil-laires, Ann Chim Phys 5 (11) (1875) 494–549.

251

Page 272: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[52] Y. O. Popov, T. A. Witten, Characteristic angles in the wetting of anangular region: Surface shape, Eur Phys J E 6 (3) (2001) 211–220.

[53] D. R. Reyes, D. Iossifidis, P.-A. Auroux, A. Manz, Micro total analysissystems. 1. introduction, theory, and technology, Anal Chem 74 (12)(2002) 2623–2636.

[54] P.-A. Auroux, D. Iossifidis, D. R. Reyes, A. Manz, Micro total analysissystems. 2. analytical standard operations and applications, Anal Chem74 (12) (2002) 2637–2652.

[55] M. G. Pollack, R. B. Fair, A. D. Shenderov, Electrowetting-based ac-tuation of liquid droplets for microfluidic applications, Appl Phys Lett77 (11) (2000) 1725–1726.

[56] J. Ding, K. Chakrabarty, R. B. Fair, Reconfigurable microfluidic systemarchitecture based on two-dimensional electrowetting arrays, in: Proc.MSM, Hilton Head Island, South Carolina, USA, 2001, pp. 181–185.

[57] J. Ding, K. Chakrabarty, R. B. Fair, Scheduling of microfluidic opera-tions for reconfigurable two-dimensional electrowetting arrays, IEEE TCircuits Syst 20 (12) (2002) 1463–1468.

[58] J. Lee, H. Moon, J. Fowler, C.-J. Kim, T. Schoellhammer, Addressablemicro liquid handling by electric control of surface tension, in: Proc.IEEE MEMS, Interlaken, Switzerland, 2001, pp. 499–502.

[59] S. K. Cho, S.-K. Fan, H. Moon, C.-J. Kim, Toward digital microfluidiccircuits: Creating, transporting, cutting and merging liquid droplets byelectrowetting-based actuation, in: Proc. IEEE MEMS, Las Vegas, NV,2002, pp. 32–52.

[60] A. H. Tkaczyk, D. Huh, J. H. Bahng, Y. Chang, H.-H. Wei,K. Kurabayashi, J. B. Grotberg, C.-J. Kim, S. Takayama, Fluidic switch-ing of high-speed air-liquid two-phase flows using electrowetting-on-dielectric, in: Proc. µTAS, Squaw Valley, California, USA, 2003, pp.461–464.

[61] J. Lee, C.-J. Kim, Microactuation by continuous electrowetting phe-nomenon and silicon deep RIE process, in: Proc. ASME IMECE, Ana-heim, CA, 1998, pp. 475–480.

252

Page 273: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[62] J. Lee, C.-J. Kim, Liquid micromotor driven by continuous electrowet-ting, in: Proc. IEEE MEMS, Heidelberg, Germany, 1998, pp. 538–543.

[63] K.-S. Yun, I.-J. Cho, J.-U. Bu, G.-H. Kim, Y.-S. Jeon, C.-J. Kim,E. Yoon, A micropump driven by continuous electrowetting actuationfor low voltage and low power operations, in: Proc. IEEE MEMS, In-terlaken, Switzerland, 2001, pp. 487–490.

[64] J. Ni, C.-J. Zhong, S. J. Coldiron, M. D. Porter, Electrochemically actu-ated mercury pump for fluid flow and delivery, Anal Chem 73 (1) (2001)103–110.

[65] J. Fowler, H. Moon, C.-J. Kim, Enhancement of mixing by droplet-basedmicrofluidics, in: Proc. IEEE MEMS, Las Vegas, NV, 2002, pp. 97–100.

[66] A. Bernard, B. Michel, E. Delamarche, Micromosaic immunoassays,Anal Chem 73 (1) (2001) 8–12.

[67] A. Takei, E. Iwase, K. Hoshino, K. Matsumoto, I. Shimoyama, A tunableliquid wedge prism driven by electro-wetting, in: Proc. IEEE MEMS,Istanbul, Turkey, 2006, pp. 36–39.

[68] R. A. Hayes, B. J. Feenstra, Video-speed electronic paper based on elec-trowetting, Nature 425 (6956) (2003) 383–385.

[69] B. Berge, J. Peseux, Variable focal lens controlled by an external voltage:An application of electrowetting, Eur Phys J E 3 (2) (2000) 159–163.

[70] M. W. J. Prins, W. J. J. Welters, J. W. Weekamp, Fluid control inmultichannel structures by electrocapillary pressure, Science 291 (5502)(2001) 277–280.

[71] T.-H. Chen, Y.-J. Chuang, F.-G. Tseng, A wettability switchable sur-face driven by electrostatic induced surface morphology change withoutenergy interference on reagents in droplets, in: Proc. IEEE MEMS, Is-tanbul, Turkey, 2006, pp. 178–181.

[72] T.-T. Wang, P.-W. Huang, S.-K. Fan, Droplets oscillation and contin-uous pumping by asymmetric electrowetting, in: Proc. IEEE MEMS,Istanbul, Turkey, 2006, pp. 174–177.

[73] T. K. Jun, C.-J. Kim, Valveless pumping using traversing vapor bubblesin microchannels, J Appl Phys 83 (11) (1998) 5658–5664.

253

Page 274: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[74] F. Saeki, J. Baum, H. Moon, J.-Y. Yoon, C.-J. Kim, R. L. Garrell,Electrowetting on dielectrics (EWOD): Reducing voltage requirementsfor microfluidics, in: Proc. ACS National Meeting, Vol. 85 of PolymericMaterials: Science and Engineering, Chicago, USA, 2001, pp. 12–13.

[75] S. W. Walker, B. Shapiro, Modeling the fluid dynamics of electro-wettingon dielectric (EWOD), J Microelectromech S In press.

[76] V. Bahadur, S. V. Garimella, An energy-based model for electrowetting-induced droplet actuation, J Micromech Microeng 16 (8) (2006) 1494–1503.

[77] K. A. Brakke, The Surface Evolver, Exp Math 1 (2) (1992) 141–165.

[78] K. A. Brakke, Surface Evolver Manual, Version 2.26a, Susquehanna Uni-versity, Selinsgrove, PA 17870 (August 2005).

[79] E. B. Rudnyi, J. G. Korvink, Review: Automatic model reduction fortransient simulation of MEMS-based devices, Sensors Update 11 (1)(2002) 3–33.

[80] R. W. Freund, Krylov-subspace methods for reduced-order modeling incircuit simulation, J Comput Appl Math 123 (2000) 395–421.

[81] A. C. Antoulas, D. C. Sorensen, Approximation of large-scale dynamicalsystems: An overview, Int J Appl Math Comput Sci 11 (5) (2001) 1093–1121.

[82] R. M. Kielkowski, SPICE: practical device modeling, McGraw-Hill, NewYork, 1995.

[83] G. Kron, Equivalent circuits of electric machinery, Dover Publications,New York, 1967.

[84] G. Kron, Electric circuit models of the Schrödinger equation, Phys Rev67 (1) (1945) 39–43.

[85] G. K. Carter, G. Kron, A.C. network analyzer study of the Schrödingerequation, Phys Rev 67 (1) (1945) 44–49.

[86] A. E. Ruehli, Circuit oriented electromagnetic solutions in the time andfrequency domain, IEICE Trans Commun E80–B (11) (1997) 1594–1603.

254

Page 275: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[87] C. C. Enz, Y. H. Cheng, MOS transistor modeling for RF IC design,IEEE J Solid-St Circ 35 (2000) 186–201.

[88] A. A. Ati, M. Napieralska, A. Napieralski, Z. Ciota, A new compactphysical submicron MOSFET model for circuit simulation, Microelec-tron Eng 51-2 (2000) 373–392.

[89] S. M. Sze, Semiconductor Devices – Physics and Technology, John Wiley& Sons, New York, 1985.

[90] B. Brooks, Standardizing compact models for IC simulation, IEEE Cir-cuits Device 15 (4) (1999) 10–13.URL http://www.eigroup.org/CMC

[91] Z. Cui, Standardization of microsystem design and modelling, standard-ization for microsystems: The way forward, in: Proc. MEMSTAND,NPL, UK, Barcelona, 2003, pp. 55–61.

[92] T. Grasser, S. Selberherr, Mixed-mode device simulation, MicroelectronJ 31 (2000) 873–881.

[93] M. Celik, Simulation of multiconductor transmission lines using Krylovsubspace order-reduction techniques, IEEE T Comput Aid D 16 (5)(1997) 485–496.

[94] R. W. Freund, Reduced-order modeling techniques based on Krylov sub-spaces and their use in circuit simulation, in: B. Datta (Ed.), Appliedand Computational Control, Signals, and Circuits, Vol. 1, Birkhauser,Boston, MA, 1999, Ch. 9, pp. 435–498.

[95] H. Li, A. Woodbury, P. Aitchison, Application of the unsymmetric Lanc-zos method to radionuclide decay-chain transport in porous media, IntJ Numer Meth Eng 44 (1999) 355–372.

[96] R. W. Freund, Model reduction methods based on Krylov subspaces,Acta Numerica 12 (2003) 267–319.

[97] T. Mukherjee, G. K. Fedder, D. Ramaswany, J. White, Emerging sim-ulation approaches for micromachined devices, IEEE T Comput Aid D19 (2000) 1572–1589.

[98] C.-K. Cheng, J. Lillis, S. Lin, N. Chang, Interconnect Analysis andSynthesis, John Wiley & Sons, Inc, 2000.

255

Page 276: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[99] T. Penzl, A cyclic low-rank Smith method for large sparse Lyapunovequations, SIAM J Sci Comput 21 (2000) 1401–1418.

[100] J. R. Li, J. White, Low rank solution of Lyapunov equations, SIAM JMatrix Anal A 24 (1) (2002) 260–280.

[101] J. M. Badía, P. Benner, R. Mayo, E. S. Quintana-Ortí, Solving largesparse Lyapunov equations on parallel computers, in: Proc. Euro-Par,LNCS, Springer, 2002, pp. 687–690.

[102] J. M. Badía, P. Benner, R. Mayo, E. S. Quintana-Ortí, Parallel algo-rithms for balanced truncation model reduction of sparse systems, in:Proc. PARA 2004, Vol. 3732 of LNCS, Springer, 2006, pp. 267–275.

[103] P. Benner, E. S. Quintana-Ortí, G. Quintana-Ortí, State-space trunca-tion methods for parallel model reduction of large-scale systems, ParallelComput 29 (2003) 1701–1722.

[104] Z. J. Bai, Krylov subspace techniques for reduced-order modeling oflarge-scale dynamical systems, Appl Numer Math 43 (2002) 9–44.

[105] Z. J. Bai, R. D. Slone, W. T. Smith, Error bound for reduced systemmodel by Padé approximation via the Lanczos process, IEEE T ComputAid D 18 (2) (1999) 133–141.

[106] K. E. Willcox, J. Peraire, Balanced model reduction via the proper or-thogonal decomposition, AIAA J 40 (11) (2002) 2323.

[107] K. E. Willcox, J. Peraire, J. White, An Arnoldi approach for genera-tion of reduced-order models for turbomachinery, Computers and Fluids31 (3) (2002) 369–389.

[108] P. E. Barbone, D. Givoli, I. Patlashenko, Optimal modal reduction ofvibrating substructures, Int J Numer Meth Eng 57 (2003) 341–369.

[109] T. Bechtold, E. B. Rudnyi, J. G. Korvink, Automatic generation ofcompact electro-thermal models for semiconductor devices, IEICE TElectron E86C (2003) 459–465.

[110] L. Codecasa, D. D’Amore, P. Maffezzoni, Compact modeling of electricaldevices for electrothermal analysis, IEEE T Circuits-I 50 (2003) 465–476.

256

Page 277: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[111] J. R. Phillips, Projection-based approaches for model reduction ofweakly nonlinear, time-varying systems, IEEE T Comput Aid D 22(2003) 171–187.

[112] M. Rewienski, J. White, A trajectory piecewise-linear approach to modelorder reduction and fast simulation of nonlinear circuits and microma-chined devices, IEEE T Comput Aid D 22 (2003) 155–170.

[113] S. Sidi-Ali-Cherif, K. M. Grigoriadis, Efficient model reduction of largescale systems using Krylov-subspace iterative methods, Int J Eng Sci 41(2003) 507–520.

[114] T. Watanabe, H. Asai, A framework for macromodeling and mixed-modesimulation of circuits/interconnects and electromagnetic radiations, IE-ICE T Fund Electr 41 (2003) 507–520.

[115] J. G. Korvink, E. B. Rudnyi, A. Greiner, Z. Liu, MEMS: A PracticalGuide to Design, Analysis, and Applications, William Andrew Publish-ing, 2005, Ch. MEMS and NEMS Simulation, pp. 93–186.

[116] Z.-Q. Qu, Model Order Reduction Techniques: with Applications inFinite Element Analysis, Springer, London, New York, 2004.

[117] A. C. Antoulas, Approximation of Large-Scale Dynamical Systems, no. 6in Advances in Design and Control, SIAM, 2005.

[118] L. Daniel, O. C. Siong, L. S. Chay, K. H. Lee, J. White, A multiparame-ter moment-matching model-reduction approach for generating geomet-rically parametrized interconnect performance models, IEEE T ComputAid D 23 (5) (2004) 678–693.

[119] P. K. Gunupudi, R. Khazaka, M. S. Nakhla, T. Smy, D. Celo, Passiveparameterized time-domain macromodels for high-speed transmission-line networks, IEEE T Microw Theory 51 (12) (2003) 2347–2354.

[120] Yole Développement, “UMA”: ultimate MEMS market analysis (April2005).

[121] Texas Instruments, Digital light processing (DLP).URL http://www.dlp.com

[122] L. Hornbeck, W. Nelson, Bistable deformable mirror device, OSA Tech-nical Digest Series, Spatial Light Modulators and Applications 8 (1998)107.

257

Page 278: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[123] V. Pratt, Anatomy of the Pentium bug, in: Proc. TAPSOFT, Vol. 915of LNCS, Aarhus, Denmark, 1995, pp. 97–107.URL http://boole.stanford.edu/pub/anapent.pdf

[124] S. D. Senturia, CAD challenges for microsensors, microactuators, andmicrosystems, P IEEE 86 (8) (1998) 1611–1626.

[125] M. P. Bendsøe, O. Sigmund, Topology Optimization: Theory, Meth-ods and Applications, 2nd Edition, Springer Verlag, Berlin, Heidelberg,2003.

[126] Ansys, Inc., Ansys theory reference.URL http://www.ansys.com

[127] IntelliSense Software Corp., http://www.intellisense.com.

[128] J. M. Funk, J. G. Korvink, J. Bühler, M. Bächtold, H. Baltes, SO-LIDIS: a tool for microactuator simulation in 3-D, J MicroelectromechS 6 (1997) 70–82.

[129] Synopsys, Inc., http://www.synopsys.com.

[130] Coventor, Inc., http://www.coventor.com.

[131] W. Menz, J. Mohr, O. Paul, Microsystem Technology, Wiley-VCH,Weinheim, 2001.

[132] M. Gad-el-Hak (Ed.), The MEMS handbook, CRC Press, Boca Raton,2002.

[133] A. Nathan, H. Baltes, Microtransducer CAD, Springer, New York, 1999.

[134] S. D. Senturia, Microsystem design, Kluwer, Boston, 2001.

[135] G. Wachutka, Tailored modeling of miniaturized electrothermomechan-ical systems using thermodynamic methods, Micromechanical Systems,DSC 40 (1992) 183–198.

[136] G. Wachutka, Tailored modeling: a way to the ‘virtual microtransducerfab’?, Sensor Actuat A–phys 46–47 (1995) 603–612.

[137] E.-R. König, Modellierung und Simulation elektromechanischer Instabi-litäten bei Mikrobauteilen, Ph.D. thesis, Munich University of Technol-ogy (2005).

258

Page 279: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[138] M. Stehr, S. Messner, H. Sandmaier, R. Zengerle, The VAMP – a new de-vice for handling liquids or gases, Sensor Actuat A–phys A57 (2) (1996)153–157.

[139] C. Hagleitner, A. Hierlemann, O. Brand, H. Baltes, CMOS single chipgas detection systems – Part I, Sensors Update 11 (2003) 101–155.

[140] C. Hagleitner, A. Hierlemann, H. Baltes, CMOS single chip gas detectionsystems – Part II, Sensors Update 12 (2003) 51–120.

[141] M. Wautelet, Scaling laws in the macro-, micro- and nanoworlds, Eur JPhys 22 (2001) 601–611.

[142] L. Sedov, Similarity and Dimensional Methods in Mechanics, AcademicPress Inc., 1959.

[143] V. Rochus, Finite element modelling of strong electro-mechanical cou-pling in MEMS, Ph.D. thesis, Université de Liège (2006).

[144] S. A. Schaaf, P. L. Chambre, Flow of Rarefied Gases, Princeton Univer-sity Press, 1961.

[145] R. W. Barber, D. R. Emerson, Advances in Fluid Mechanics IV, WITPress, Southampton, UK, 2002, Ch. The influence of Knudsen numberon the hydrodynamic development length within parallel plate micro-channels, pp. 207–216.

[146] C. A. J. Fletcher, Computational Techniques for Fluid Dynamics, Vol.1&2, Springer-Verlag Telos, 1991.

[147] M. Gad-el-Hak, The fluid mechanics of microdevices — the Freemanscholar lecture, J Fluid Eng 121 (5) (1999) 5–33.

[148] H. Sagi, Y. Zhao, S. T. Wereley, Wide range flow sensor–vacuum throughviscous flow conditions, J Vac Sci Technol 22 (5) (2004) 1992–1999.

[149] S. F. Burlatsky, J. G. Berberian, J. Shore, W. P. Reinhardt, Spreadingof a macroscopic lattice gas, Phys Rev E 54 (2) (1996) 1489–1496.

[150] T. Belytschko, Y. Krongauz, D. Organ, M. Fleming, P. Krysl, Meshlessmethods: An overview and recent developments, Comput Method ApplM 139 (1996) 3–47.

259

Page 280: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[151] J. J. Monaghan, Smoothed particle hydrodynamics, Rep Prog Phys68 (8) (2005) 1703–1759.

[152] L. Pastewka, Additional degrees of freedom in modeling microfluidicswith dissipative particle dynamics, Diplomarbeit, Faculty of AppliedSciences, University of Freiburg (July 2005).

[153] G. Pini, G. Gambolati, Arnoldi and Crank-Nicolson methods for inte-gration in time of the transport equation, Int J Numer Meth Fl 35 (2001)25–38.

[154] G. Dost, G. Herrman, Taschenbuch Mikroprozessortechnik, Fach-buchverlag Leipzig, München, Wien, 1999, Ch. Entwurf und Technologievon Mikroprozessoren, pp. 357–359.

[155] J. A. Atwell, B. B. King, Proper orthogonal decomposition for reducedbasis feedback controllers for parabolic equations, Mathematical andComputer Modelling 33 (2001) 1–19.

[156] H. T. Banks, R. C. H. del Rosario, R. C. Smith, Reduced-order modelfeedback control design: Numerical implementation in a thin shell model,IEEE T Automat Contr 45 (7) (2000) 1312–1324.

[157] G. Zhou, K. K. L. Cheo, F. E. Tay, F. S. Chau, Neural network approachfor linearization of the electrostatically actuated double-gimballed mi-cromirror, Analog Integr Circ S 40 (2) (2004) 141–153.

[158] G. Shi, B. Hu, C.-J. R. Shi, On symbolic model order reduction, IEEET Comput Aid D 25 (7) (2006) 1257–1272.

[159] J. Müller, J. G. Korvink, Adaptive error control in multi-physicalthin-stucture MEMS FE-simulation, Journal of Computational Physics196 (1) (2004) 145–172.

[160] A. J. Buckler, S. V. Fogel, Method and associated apparatus for min-imizing the effects of motion in the recording of an image, US PatentNo. 5,030,984, Eastman Kodak Company (Rochester, NY, USA) (July19, 1990).

[161] IBM Research Zürich, http://www.zurich.ibm.com/st/storage/

millipede.html.

260

Page 281: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[162] H. Pozidis, W. Häberle, D. Wiesmann, U. Drechsler, M. Despont, T. R.Albrecht, E. Eleftheriou, Demonstration of thermomechanical recordingat 641 Gbit/in2, IEEE T Magn 40 (4) (2004) 2531–2536.

[163] M. H. Kryder, Magnetic recording beyond the superparamagnetic limit,in: Proc. INTERMAG, 2005, p. 575.

[164] P. Vettiger, M. Despont, U. Drechsler, U. Dürig, W. Häberle, M. I.Lutwyche, H. E. Rothuizen, R. Stutz, R. Widmer, G. K. Binnig, The“millipede”—more than one thousand tips for future AFM data storage,IBM J Res Develop 44 (3) (2000) 323–340.

[165] P.-C. Yen, Y.-J. Yang, Guidelines of creating Krylov-subspace macro-models for lateral viscous damping effects, in: Proc. nanotech, Vol. 2,Boston, MA, USA, 2004, pp. 307–310.

[166] R. Sattler, G. Wachutka, Compact models for squeeze-film damping inthe slip flow regime, in: Proc. nanotech, Boston, MA, USA, 2004, pp.243–246.

[167] L. Lorenzelli, K. J. Rangra, C. Collini, F. Giacomozzi, B. Margesin,F. Pianegiani, On-wafer electro-mechanical characterization of siliconmems switches, in: Proc. DTIP, 2003, pp. 281–285.

[168] A. Chatterjee, An introduction to the proper orthogonal decomposition,Curr Sci India 78 (7) (2000) 808–817.

[169] The MathWorks, Inc., Matlab 7.2, http://www.mathworks.com.

[170] Octave 2.9.6, http://www.octave.org.

[171] E. L. Allgower, K. Georg, Continuation and path following, Acta Nu-merica 2 (1993) 1–64.

[172] M. A. Crisfield, Non-Linear Finite Element Analysis of Solids and Struc-tures, John Wiley & Sons, 1991.

[173] E. L. Allgower, K. Georg, Handbook of Numerical Analysis, Vol. 5,North-Holland, Amsterdam, 1997, Ch. Numerical Path Following, pp.3–207.

[174] T. J. R. Hughes, Finite Element Method – Linear Static and DynamicFinite Element Analysis, Prentice-Hall, Inc., Englewood Cliffs, NJ, USA,1987.

261

Page 282: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[175] J. C. Butcher, The Numerical Analysis of Ordinary Differential Equa-tions: Runge-Kutta and general linear methods, Wiley-Interscience, NewYork, NY, USA, 1987.

[176] L. Verlet, Computer “experiments” on classical fluids. I. thermodynam-ical properties of Lennard-Jones molecules, Phys Rev 159 (1) (1967)98–103.

[177] L. Verlet, Computer “experiments” on classical fluids. II. equilibriumcorrelation functions, Phys Rev 165 (1) (1968) 201–214.

[178] P. F. Batcho, T. Schlick, Special stability advantages of position-Verletover velocity-Verlet in multiple-time step integration, J Chem Phys115 (9) (2001) 4019–4029.

[179] M. Dixon, S. Reich, Symplectic time-stepping for particle methods,GAMM 27 (1) (2004) 9–24.

[180] K. J. Bathe, Finite Element Procedures, Prentice-Hall, Englewood Cliffs,1996.

[181] O. C. Zienkiewicz, The Finite Element Method, McGraw-Hill Company,London, 1977.

[182] J. H. Williams, Jr., Fundamentals of Applied Dynamics, John Wiley &Sons, Inc., New York, 1996.

[183] F. Kuypers, Klassische Mechanik, 4th Edition, VCH, Weinheim, Ger-many, 1993.

[184] W. Weaver, Jr, S. P. Timoshenko, D. H. Young, Vibration problems inengineering, 5th Edition, Wiley Interscience, 1990.

[185] J. T. Oden, Finite Elements of Nonlinear Continua, Advanced Engineer-ing Series, McGraw-Hill Book Company, 1972.

[186] A. Kristensen, Lectures notes in nonlinear FEM (February 15, 2005).URL http://www.aaue.dk/bm/ansys/

[187] S. Cescotto, R. Charilier, Frictional contact finite elements based onmixed variational principles, Int J Numer Meth Eng 36 (1992) 1681–1701.

262

Page 283: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[188] S. Cescotto, Y. Y. Zhu, Large strain dynamic analysis using solid andcontact finite elements based on a mixed formulation - application tometalforming, J Mater Process Tech 45 (657–663) (1994) 657–663.

[189] E. Brusa, F. de Bona, A. Gugliotta, A. Som, Modeling and prediction ofthe dynamic behaviour of microbeams under electrostatic load, AnalogIntegr Circ S 40 (2) (2004) 155–164.

[190] J. Gaspar, V. Chu, J. P. Conde, Electrostatic actuation of thin-filmmicroelectromechanical structures, J Appl Phys 93 (12) (2003) 10018–10029.

[191] G. K. Fedder, Q. Jing, A hierarchical circuit-level design methodologyfor microelectromechanical systems, IEEE T Circuits-II 46 (10) (1999)1309–1315.

[192] F. Delfino, M. Rossi, A new FEM approach for field and torque simula-tion of electrostatic microactuators, J Microelectromech S 11 (4) (2002)362–371.

[193] M. Gyimesi, D. Ostergaard, I. Avdeev, Triangle transducer for microelectro mechanical systems (MEMS) simulation in ANSYS finite elementprogram, in: Proc. nanotech, Vol. 1, San Juan, Puerto Rico, 2002, pp.380–383.

[194] I. Avdeev, New formulation for finite element modeling electrostaticallydriven microelectromechanical systems, Ph.D. thesis, University of Pitts-burg, USA (2003).

[195] M. Bächtold, J. G. Korvink, H. Baltes, The adaptive, multipole-accelerated BEM for the computation of electrostatic forces, in: Proc.CAD for MEMS, Zürich, Switzerland, 1997, p. 14.

[196] M. Bächtold, J. G. Korvink, J. Funk, H. Baltes, New convergence schemefor self-consistent electromechanical analysis of iMEMS, in: Proc. IEEEIEDM, Washington DC, USA, 1995, pp. 605–608.

[197] M. Gyimesi, I. Tsukerman, D. Lavers, T. Pawlak, D. Ostergaard, Hybridfinite element-Trefftz method for open boundary analysis, IEEE T Magn32 (3) (1996) 671–674.

263

Page 284: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[198] K. Zeng, Automatic generation of compact models for the efficient calcu-lation of MEMS structures, Ph.D. thesis, Department of MicrosystemsEngineering, University of Freiburg (2005).

[199] H. Rong, Q.-A. Huang, M. Nie, W. Li, An analytical model for pull-involtage of clamped–clamped multilayer beams, Sensor Actuat A–phys116 (1) (2004) 15–21.

[200] M. I. Younis, A. H. Nayfeh, A study of the nonlinear response of aresonant microbeam to an electric actuation, Nonlinear Dynam 31 (1)(2003) 91–117.

[201] C. T.-C. Nguyen, Micromechanical circuits for communicationtransceivers, in: Proc. IEEE BCTM, Vol. 8.2, 2000, pp. 142–149.

[202] W. Zhang, R. Baskaran, K. L. Turner, Effect of cubic nonlinearity onauto-parametrically amplified resonant MEMS mass sensor, Sensor Ac-tuat A–phys 102 (2) (2002) 139–150.

[203] F. Najar, S. Choura, S. El-Borgi, E. M. Abdel-Rahman, A. H. Nayfeh,Modeling and design of variable-geometry electrostatic microactuators,J Micromech Microeng 15 (2005) 419–429.

[204] E. S. Hung, S. D. Senturia, Generating efficient dynamical models for mi-croelectromechanical systems from a few finite-element simulation runs,J Microelectromech S 8 (3) (1999) 1999.

[205] B. Affour, P. Nachtergaele, S. Spirkovitch, D. Ostergaard, M. Gyimesi,Efficient reduced order modeling for system simulation of micro electromechanical systems (MEMS) from FEM models, in: Proc. SPIE DTIP,Vol. 4019, Paris, France, 2000, pp. 50–54.

[206] A. H. Nayfeh, E. M. Abdel-Rahman, M. I. Younis, Reduced-order modelsfor MEMS applications, Nonlinear Dynamics 41 (2005) 211–236.

[207] N. R. Swart, S. F. Bart, M. H. Zaman, M. Mariappan, J. R. Gilbert,D. Murphy, AutoMM: automatic generation of dynamic macromodelsfor MEMS devices, in: Proc. IEEE MEMS, Heidelberg, Germany, 1998,pp. 178–183.

[208] E. M. Abdel-Rahman, M. I. Younis, A. H. Nayfeh, Characterizationof the mechanical behavior of an electrically actuated microbeam, JMicromech Microeng 12 (6) (2002) 759–766.

264

Page 285: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[209] E. M. Abdel-Rahman, A. H. Nayfeh, Secondary resonances of electricallyactuated resonant microsensors, J Micromech Microeng 13 (3) (2003)491–501.

[210] S. A. Emam, A. H. Nayfeh, Nonlinear responses of buckled beams tosubharmonic-resonance excitations, Nonlinear Dynam 35 (2) (2004) 105–122.

[211] F. E. H. Tay, A. Ongkodjojo, Y. C. Liang, Backpropagation approxima-tion approach based generation of macromodels for static and dynamicsimulations, Microsyst Technol 7 (3) (2001) 120–136.

[212] T. Mukherjee, G. K. Fedder, Hierarchical mixed-domain circuit simu-lation, synthesis and extraction methodology for MEMS, J VLSI SigProcess S 21 (3) (1999) 233–249.

[213] G. Zhou, F. E. H. Tay, F. S. Chau, Macro-modelling of a double-gimballed electrostatic torsional micromirror, J Micromech Microeng13 (5) (2003) 532–547.

[214] M. I. Younis, E. M. Abdel-Rahman, A. H. Nayfeh, A reduced-ordermodel for electrically actuated microbeam-based MEMS, J Microelec-tromech S 12 (5) (2003) 672–680.

[215] M. Gyimesi, D. Ostergaard, Electro-mechanical capacitor element forMEMS analysis in ANSYS, in: Proc. MSM, Puerto Rico, 1999, pp. 270–273.

[216] V. Rochus, G. Kerschen, J.-C. Golinval, Dynamic analysis of the nonlin-ear behavior of capacitive MEMS using the finite element formulation,in: Proc. ASME IDETC, 2005.

[217] H. H. Huang, A. S. Usmani, Finite Element Analysis for Heat Transfer,Springer, London, 1994.

[218] W. Batty, C. E. Christoffersen, A. J. Panks, S. David, C. M. Snowden,M. B. Steer, Electrothermal CAD of power devices and circuits with fullyphysical time-dependent compact thermal modeling of complex nonlin-ear 3-D systems, IEEE T Compon Pack T 24 (4) (2001) 566–590.

[219] M. Rencz, V. Székely, Studies on the nonlinearity effects in dynamiccompact model generation of packages, IEEE T Compon Pack T 27 (1)(2004) 124–130.

265

Page 286: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[220] L. Silverberg, L. Weaver, Jr, Dynamics and control of electrostatic struc-tures, J Appl Mech 63 (1996) 383–391.

[221] J. D. Jackson, Classical electrodynamics, 3rd Edition, Wiley, New York,1999.

[222] R. W. Clough, J. Penzien, Dynamics of Structures, 2nd Edition,McGraw-Hill, New York, 1993.

[223] G. Schrag, Modellierung gekoppelter Effekte in Mikrosystemen auf kon-tinuierlicher Feldebene und Systemebene, Ph.D. thesis, Munich Univer-sity of Technology (2003).

[224] R. D. Cook, Concepts and applications of finite element analysis, JohnWiley & Sons, New York, 2002.

[225] A. H. Nayfeh, H. N. Arafat, C.-M. Chin, W. Lacarbonara, Multimodeinteractions in suspended cables, J Vib Control 8 (3) (2002) 337–387.

[226] J. Israelachvili, Intermolecular and Surface Forces, 2nd Edition, Aca-demic Press, 1991.

[227] B. Widom, Some topics in the theory of fluids, J Chem Phys 39 (1963)2808–2812.

[228] F. London, The general theory of molecular forces, Trans Faraday Soc33 (1937) 8–26.

[229] L. D. Landau, E. M. Lifschitz, Quantum Mechanics, 3rd Edition, Vol. 3of Course of Theoretical Physics, Pergamon Press, Oxford, 1977.

[230] A. D. McLachlan, Three-body dispersion forces, Mol Phys 6 (4) (1963)423–427.

[231] F. F. Adamson, Physical Chemistry of Surfaces, 3rd Edition, Wiley, NewYork and London, 1976, Ch. 3 and 7.

[232] F. M. Fowkes, Attractive forces at interfaces, Ind Eng Chem 56 (12)(1964) 40–52.

[233] P. S. Swain, R. Lipowsky, Contact angles on heterogeneous surfaces: Anew look at Cassie’s and Wenzel’s laws, Langmuir 14 (23) (1998) 6772–6780.

266

Page 287: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[234] S. Herminghaus, Roughness-induced non-wetting, Europhys Lett 52 (2)(2000) 165–170.

[235] J. Kim, C.-J. Kim, Nanostructured surfaces for dramatic reduction offlow resistance in droplet-based microfluidics, in: Proc. IEEE MEMS,Las Vegas, NV, 2002, pp. 479–482.

[236] A. Shastry, S. Goyal, A. Epilepsia, M. J. Case, S. Abbasi, B. D. Ratner,K. F. Böhringer, Engineering surface micro-structure to control foulingand hysteresis in droplet based microfluidic bioanalytical systems, in:Proc. Hilton Head 2006, Hilton Head Island, NC, 2006.

[237] P. G. de Gennes, Wetting: Statics and dynamics, Rev Mod Phys 57 (3)(1985) 827–863.

[238] T. Pompe, S. Herminghaus, Three-phase contact line energetics fromnanoscale liquid surface topographies, Phys Rev Lett 85 (9) (2000) 1930–1933.

[239] L. D. Landau, E. M. Lifschitz, Fluid Mechanics, 2nd Edition, Vol. 6 ofCourse of Theoretical Physics, Pergamon Press, Oxford, 1982.

[240] J. U. Brackbill, D. B. Kothe, C. Zemach, A continuum method for mod-eling surface tension, J Comput Phys 100 (2) (1992) 335–354.

[241] M. Vallet, B. Berge, L. Vovelle, Electrowetting of water and aqueous so-lutions on poly(ethylene terephthalate) insulating films, Polymer 37 (12)(1996) 2465–2470.

[242] W. J. J. Welters, L. G. J. Fokkink, Fast electrically switchable capillaryeffects, Langmuir 14 (7) (1998) 1535–1538.

[243] H. J. J. Verheijen, M. W. J. Prins, Reversible electrowetting and trappingof charge: model and experiments, Langmuir 15 (20) (1999) 6616–6620.

[244] H. Dobbs, The modified Young’s equation for the contact angle of a smallsessile drop from an interface displacement model, Int J Mod Phys B13 (27) (1999) 3255–3259.

[245] V. Peykov, A. Quinn, J. Ralston, Electrowetting: a model for contact-angle saturation, Colloid & Polymer Science 278 (8) (2000) 789–793.

267

Page 288: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[246] P. J. Heres, Robust and effïcient Krylov subspace methods for modelorder reduction, Ph.D. thesis, Technische Universiteit Eindhoven (De-cember 2005).

[247] I. Troch, P. C. Müller, K.-H. Fasol, Modellreduktion für Simulationund Reglerentwurf, at–Automatisierungstechnik 40 (2/3/4) (1992) 45–53/93–99/132–141.

[248] K. J. Kerns, A. T. Yang, Stable and efficient reduction of large, multiportRC networks by pole analysis via congruence transformations, IEEE TComput Aid D 16 (7) (1997) 734–744.

[249] R. J. Guyan, Reduction of stiffness and mass matrices, AIAA J 3 (2)(1965) 138.

[250] T. Bechtold, Model order reduction of electro-thermal MEMS, Ph.D.thesis, University of Freiburg (2005).URL http://www.freidok.uni-freiburg.de/volltexte/1914

[251] Z. Q. Qu, Y. Shi, H. Hua, A reduced-order modeling technique for tallbuildings with active tuned mass damper, Earthquake Eng Struc 30(2001) 349–362.

[252] G. C. Archer, A technique for reduction of dynamic degrees of freedom,Earthquake Eng Struc 30 (2001) 127–145.

[253] K. Glover, All optimal hankel-norm approximations of linear multivari-able systems and their L∞-error bounds, Int J Control 39 (6) (1984)1115–1193.

[254] S. Gugercin, A. C. Antoulas, A survey of model reduction by balancedtruncation and some new results, Int J Control 77 (8) (2004) 748–766.

[255] B. C. Moore, Principal component analysis in linear systems: Control-lability, observability, and model reduction, IEEE T Automat ContrAC-26 (1) (1981) 17–32.

[256] P. Benner, E. S. Quintana-Ortí, G. Quintana-Ortí, Efficient numericalalgorithms for balanced stochastic truncation, Int J Appl Math ComputSci 11 (5) (2001) 1123—-1150.

[257] V. Mehrmann, T. Stykel, Balanced truncation model reduction for large-scale systems in descriptor form, Vol. 45 of LNCSE, Springer, Berlin,

268

Page 289: Complexity Reduction Techniques for Advanced MEMS

Bibliography

Heidelberg, 2005, Ch. Padé-Type Model Reduction of Second-Order andHigher-Order Linear Dynamical Systems, pp. 83–116.

[258] J.-R. Li, F. Wang, , J. White, An efficient Lyapunov equation-basedapproach for generating reduced-order models of interconnect., in: ProcIEEE/ACM DAC, New Orleans, Louisiana, 1999, pp. 1–6.

[259] A. C. Antoulas, D. C. Sorensen, Y. Zhou, On the decay rate of Han-kel singular values and related issues, Systems and Control Lett 46 (5)(2002) 323–342.

[260] MathWorks, Robust control box, http://www.mathworks.com.

[261] G. Obinata, B. D. O. Anderson, Model Reduction for Control SystemDesign, Springer, London, 2004.

[262] Y. Liu, B. D. O. Anderson, Singular perturbation approximation of bal-anced systems, in: Proc. IEEE CDC, Tampa, Florida, 1989, pp. 1355–1360.

[263] D. C. Sorensen, A. C. Antoulas, Projection methods for balanced modelreduction, Tech. Rep. 01-03, Electrical and Computer Engineering, RiceUniversity (March 2001).

[264] A. C. Antoulas, D. C. Sorensen, The Sylvester equation and approximatebalanced reduction, Linear Algebra Appl, Fourth Special Issue on LinearSystems and Control (2002) 351–352, 671–700.URL http://www-ece.rice.edu/~ca/crossgram.pdf

[265] Y. Chahlaoui, K. A. Gallivan, P. Van Dooren, Recursive calculationof dominant singular subspaces, SIAM J Matrix Anal A 25 (2) (2003)445–463.

[266] R. W. Freund, Passive reduced-order modeling via Krylov-subspacemethods, in: Proc. MTNS, Perpignan, France, 2000.

[267] L. T. Pillage, R. A. Rohrer, Asymptotic waveform evaluation for timinganalysis, IEEE T Comput Aid D 9 (4) (1990) 352–366.

[268] J. E. Bracken, D.-K. Sun, Z. Cendes, Characterization of electromagneticdevices via reduced-order models, Comput Methods Appl Mech Engrg169 (1999) 311–330.

269

Page 290: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[269] Y. I. Ismail, E. G. Friedman, DTT: direct truncation of the transferfunction—an alternative to moment matching for tree structured inter-connect, IEEE T Comput Aid D 21 (2) (2002) 131–144.

[270] Q. Su, V. L. Syrmos, D. Y. Y. Yun, A numerical algorithm for thediffusion equation using 3D FEM and the Arnoldi method, Circ SystSignal Pr 18 (3) (1999) 291–314.

[271] P. Feldmann, R. W. Freund, Efficient linear circuit analysis by Padéapproximation via the Lanczos process, IEEE T Comput Aid D 14 (5)(1995) 639–649.

[272] P. Feldmann, R. W. Freund, Efficient linear circuit analysis by Padéapproximation via the Lanczos process, in: Proc. EURO-DAC ’94 withEURO-VHDL ’94, 1994, pp. 170–175.

[273] D. Boley, M. Yeung, Transpose-free matrix Padé via Lanczos method,Tech. Rep. TR-98-024, Computer Science and Engineering Department,University of Minnesota (1998).

[274] P. Feldmann, R. W. Freund, Reduced-order modeling of large linearsubcircuits via a block Lanczos algorithm, in: Proc. ACM/IEEE DAC,San Francisco, CA, USA, 1995, pp. 474–479.

[275] R. D. Slone, R. Lee, Applying Padé via Lanczos to the finite elementmethod for electromagnetic radiation problems, Radio Sci 35 (2) (2000)331–340.

[276] H. Li, A. Woodbury, P. Aitchison, Eigenvalue translation method for sta-bilizing an unsymmetric Lanczos reduction process, Int J Numer MethEng 43 (2) (1998) 221–239.

[277] L. M. Silveira, M. Kamon, J. White, Efficient reduced-order modelingof frequency-dependent coupling inductances associated with 3-D inter-connect structures, IEEE T Compon Pack B 19 (2) (1996) 283–288.

[278] A. Odabasioglu, M. Celik, L. T. Pileggi, PRIMA: Passive reduced-orderinterconnect macromodeling algorithm, IEEE T Comput Aid D 17 (8)(1998) 645–654.

[279] I. M. Elfadel, D. D. Ling, Zeros and passivity of Arnoldi-reduced-ordermodels for interconnect networks, in: Proc. IEEE/ACM DAC, ACMPress, New York, NY, USA, 1997, pp. 28–33.

270

Page 291: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[280] I. M. Elfadel, D. D. Ling, A block rational Arnoldi algorithm for mul-tipoint passive model-order reduction of multiport RLC networks, in:Proc. IEEE/ACM ICCAD, IEEE Computer Society, Washington, DC,USA, 1997, pp. 66–71.

[281] B. Salimbahrami, Structure preserving order reduction of large scalesecond order models, Ph.D. thesis, Munich University of Technology(2005).

[282] K. H. A. Olsson, Model order reduction in FEMLAB by dual rationalArnoldi, Licentiate thesis in industrial mathematics, Chalmers, Göte-borg (2002).

[283] E. J. Grimme, D. C. Sorensen, P. Van Dooren, Model reduction of statespace systems via an implicitly restarted Lanczos method, NumericalAlgorithms 12 (1–2) (1995) 1–31.

[284] I. M. Jaimoukha, E. M. Kasenally, Implicitly restarted Krylov subspacemethods for stable partial realizations, SIAM J Matrix Anal A 18 (3)(1997) 633–652.

[285] Z. J. Bai, R. W. Freund, A partial Padé-via-Lanczos method for reduced-order modeling, Linear Algebra Appl 332–334 (2001) 139–164.

[286] B. N. Sheehan, ENOR: Model order reduction of RLC circuits usingnodal equations for efficient factorization, in: Proc. DAC, New Orleans,LA, USA, 1999, pp. 17–21.

[287] L. Knockaert, D. D. Zutter, Passive reduced order multiport model-ing: The Padé-Laguerre, Krylov-Arnoldi-SVD connection, Int J ElectronCommun 53 (5) (1999) 254–260.

[288] J. R. Phillips, Model reduction of time-varying linear systems using ap-proximate multipoint Krylov-subspace projectors, in: Proc. IEEE/ACMICCAD, San Jose, California, 1998, pp. 96–102.

[289] A. C. Antoulas, D. C. Sorensen, S. Gugercin, A survey of model reduc-tion methods for large-scale systems, Cont Math 280 (2001) 193–219.

[290] T.-J. Su, J. Craig, Roy R., Model reduction and control of flexible struc-tures using Krylov vectors, J Guidance 14 (2) (1991) 260–267.

271

Page 292: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[291] B. Häggblad, L. Eriksson, Model reduction methods for dynamic analy-ses of large structures, Comput Struct 47 (1993) 4/5.

[292] B. Lohmann, B. Salimbahrami, Reduction of second order systems usingsecond order Krylov subspaces, in: Proc. IFAC World Congress, Prag,Czech Republic, 2005.

[293] Z. J. Bai, Y. Su, SOAR: A second-order Arnoldi method for the solutionof the quadratic eigenvalue problem, SIAM J Matrix Anal A 26 (3)(2005) 640–659.

[294] Y. Chahlaoui, K. A. Gallivan, A. Vandendorpe, P. Van Dooren, Second-order balanced truncation, Linear Algebra Appl 415 (2–3) (2006) 373–384.

[295] A. Vandendorpe, Model reduction of linear systems, an interpolationpoint of view, Ph.D. thesis, Université Catholique de Louvain (December2, 2004).

[296] R. W. Freund, Dimension Reduction of Large-Scale Systems, Vol. 45 ofLNCSE, Springer, Berlin, Heidelberg, 2005, Ch. Padé-Type Model Re-duction of Second-Order and Higher-Order Linear Dynamical Systems,pp. 192–223.

[297] Z. J. Bai, K. Meerbergen, Y. Su, Dimension Reduction of Large-ScaleSystems, Vol. 45 of LNCSE, Springer, Berlin, Heidelberg, 2005, Ch.Arnoldi Methods for Second-Order Systems, pp. 173–189.

[298] R. R. Craig, Jr., A brief tutorial on substructure analysis and testing,in: Proc. IMAC, San Antonio, TX, 2000, p. 899ff.

[299] A. K. Noor, J. M. Peters, Convergence of the classical Rayleigh-Ritzmethod and the finite element method, AIAA Journal 18 (4) (1980)455–462.

[300] Y. Chahlaoui, K. A. Gallivan, A. Vandendorpe, P. Van Dooren, Dimen-sion Reduction of Large-Scale Systems, Vol. 45 of LNCSE, Springer,Berlin, Heidelberg, 2005, Ch. Model Reduction of Second-Order Sys-tems, pp. 149–172.

[301] K. A. Gallivan, A. Vandendorpe, P. Van Dooren, Model reduction viatangential interpolation, in: Proc. MTNS, South Bend, IN, USA, 2002.

272

Page 293: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[302] K. A. Gallivan, A. Vandendorpe, P. Van Dooren, Model reduction ofMIMO systems via tangential interpolation, SIAM J Matrix Anal A26 (2) (2004) 328–349.

[303] Z. Qi, H. Yu, P. Liu, S. X.-D. Tan, L. He, Wideband passive multi-port model order reduction and realization of RLCM circuits, IEEE TComput Aid D 25 (8) (2006) 1496–1509.

[304] M. Rewieński, A trajectory piecewise-linear approach to model orderreduction of nonlinear dynamical systems, Ph.D. thesis, MassachusettsInsitute of Technology (June 2003).

[305] G. Kerschen, J.-C. Golinval, K. Worden, Theoretical and experimen-tal identification of a non-linear beam, Journal of Sound and Vibration244 (4) (2001) 597–613.

[306] P. Li, L. T. Pileggi, NORM: compact model order reduction of weaklynonlinear systems, in: Proc. IEEE/ACM DAC, San Diego, CA, 2003,pp. 472–477.

[307] P. K. Gunupudi, M. S. Nakhla, Nonlinear circuit-reduction of high-speedinterconnect networks using congruent transformation techniques, IEEETrans Adv Pack 24 (3) (2001) 317–325.

[308] Y. C. Liang, W. Z. Lin, H. P. Lee, S. P. Lim, K. H. Lee, D. P. Feng, Aneural-network-based method of model reduction for the dynamic sim-ulation of MEMS, J Micromech Microeng 11 (3) (2001) 226–233.

[309] H. V. Ly, H. T. Tran, Modeling and control of physical processes usingproper orthogonal decomposition, Math Comput Model 33 (1–3) (2001)223–236.

[310] J. L. Lumley, Transition and turbulence, Academic Press, New York,1981, Ch. Coherent Structures and Turbulence, pp. 215–242.

[311] P. Holmes, J. L. Lumley, G. Berkooz, Turbulence, Coherent Structures,Dynamical Systems and Symmetry, Cambridge Monographs on Mechan-ics, Cambridge University Press, 1998.

[312] S. Banerjee, J. V. Cole, K. F. Jensen, Nonlinear model reduction strate-gies for rapid thermal processing systems, IEEE T Semiconduct M 11 (2)(1998) 266–275.

273

Page 294: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[313] S. Volkwein, Proper orthogonal decomposition and singular value de-composition, SFB-Preprint No. 153 (1999).

[314] S. Raimondeau, D. G. Vlachos, Low-dimensional approximations of mul-tiscale epitaxial growth models for microstructure control of materials,Journal of Computational Physics 160 (2000) 564–576.

[315] M. Fahl, Computation of POD basis functions for fluid flows with Lanc-zos methods, Math Comput Model 34 (2001) 91–107.

[316] W. Z. Lin, K. H. Lee, S. P. Lim, Y. C. Liang, Proper orthogonal de-composition and component mode synthesis in macromodel generationfor the dynamic simulation of a complex MEMS device, J MicromechMicroeng 13 (5) (2003) 646–654.

[317] U. Feldmann, E. Kreuzer, F. Finto, Dynamic diagnosis of railway tracksby means of the Karhunen-Loéve transformation, Nonlinear Dynamics22 (2000) 193–203.

[318] S. Volkwein, Optimal control of a phase-field model using proper orthog-onal decomposition, ZAMM–Z Angew Math Me 81 (2) (2001) 83–97.

[319] I. T. Georgiou, I. B. Schwartz, Dynamics of large scale coupled struc-tural/mechanical systems: a singular perturbation/proper orthogonaldecomposition approach, Siam J Appl Math 59 (4) (1999) 1178––1207.

[320] B. Lohmann, Application of model order reduction to a hydropneumaticvehicle suspension, IEEE T Contr Syst T 3 (1) (1995) 102–109.

[321] E. Eitelberg, Model reduction by minimizing the weighted equation er-ror, Int J Control 34 (1981) 1113–1123.

[322] B. Lohmann, Order reduction and determination of dominant state vari-ables of nonlinear systems, Mathematical Modelling of Systems 1 (2)(1995) 77–90.

[323] S. Lall, J. E. Marsden, S. Glavaški, A subspace approach to balancedtruncation for model reduction of nonlinear control systems, Int J Ro-bust Nonlin 12 (2002) 519–535.

[324] A. J. Laub, M. T. Heath, C. C. Paige, R. C. Ward, Computation ofsystem balancing transformations and other applications of simultaneousdiagonalization algorithms, IEEE T Automat Contr AC-32 (2) (1987)115–122.

274

Page 295: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[325] J. R. Phillips, Projection framewords for model reduction of weakly non-linear systems, in: Proc. IEEE/ACM DAC, 2, 2000, pp. 184–189.

[326] Y. Chen, J. White, A quadratic method for nonlinear model order re-duction, in: Proc. MSM, San Diego, 2000, pp. 477–480.

[327] J. Chen, S.-M. S. Kang, J. Zou, C. Liu, J. E. Schutt-Ainé, Reduced-order modeling of weakly nonlinear MEMS devices with Taylor-seriesexpansion and Arnoldi approach, J Microelectromech S 13 (3) (2004)441–451.

[328] S. Sastry, Nonlinear Systems: Analysis, Stability and Control, Springer,New York, 1999.

[329] L. D. Gabbay, J. E. Mehner, , S. D. Senturia, Computer-aided generationof nonlinear reduced-order dynamic macromodels I: Non-stress-stiffenedcase, J Microelectromech S 9 (2) (2000) 262–269.

[330] J. Lienemann, Modeling and simulation of the fluidic controlled self-assembly of micro parts, Diplomarbeit, IMTEK, University of Freiburg,Freiburg, Germany (May 26, 2002).

[331] J. Lienemann, A. Greiner, J. G. Korvink, X. Xiong, Y. Hanein, K. F.Böhringer, Modelling, simulation and experimentation of a promisingnew packaging technology – parallel fluidic self-assembly of micro de-vices, Sensors Update 13 (2004) 3–43.

[332] J. Lienemann, A. Greiner, J. G. Korvink, Surface tension defects inmicro-fluidic self-alignment, in: Proc. DTIP, Cannes-Mandelieu, France,2002, pp. 55–63.

[333] L. R. van den Doel, L. J. van Vliet, K. T. Hjelt, M. J. Vellekoop,F. Gromball, J. G. Korvink, I. T. Young, Nanometer-scale height mea-surements in micromachined picoliter vials based on interference fringeanalysis, in: Proc. ICPR, Vol. 3 of Image, Speech, and Signal Processing,IEEE Computer Society Press, Barcelona, Spain, 2000, pp. 57–62.

[334] E. Beaugnon, D. Fabregue, D. Billy, J. Nappa, R. Tournier, Dynamicsof magnetically levitated droplets, Physica B 294–295 (1–4) (2001) 715–720.

275

Page 296: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[335] K. Nomura, S. Koshizuka, Y. Oka, H. Obata, Numerical analysis ofdroplet breakup behavior using particle method, J Nucl Sci Technol38 (12) (2001) 1057–1064.

[336] R. W. Smithwick III, J. A. M. Boulet, Vibrations of microscopic mercurydroplets on glass, J Colloid Interf Sci 130 (2) (1989) 588–596.

[337] M. Strani, F. Sabetta, Free vibrations of a drop in partial contact witha solid support, J Fluid Mech 141 (1984) 233–247.

[338] M. Strani, F. Sabetta, Viscous oscillations of a supported drop in animmiscible fluid, J Fluid Mech 189 (1988) 397–421.

[339] H. Ren, R. B. Fair, M. G. Pollack, E. J. Shaughnessy, Dynamics ofelectro-wetting droplet transport, Sensor Actuat B–chem 87 (1) (2002)201–206.

[340] Duke University Digital Microfluidics Research Group, Digital mi-crofluidics by electrowetting, http://www.ee.duke.edu/research/

microfluidics (2004).

[341] A. Prosperetti, Free oscillations of drops and bubbles: the initial-valueproblem, J Fluid Mech 100 (2) (1980) 333–347.

[342] V. Lisy, B. Brutovsky, A. V. Zatovsky, Vibrations of microemulsiondroplets and vesicles with compressible surface layer, Phys Rev E 58 (6)(1998) 7598–7605.

[343] S. Yamakita, Y. Matsui, S. Shiokawa, New method for measurementof contact angle (droplet free vibration frequency method), Jpn J ApplPhys 38 (5B) (1999) 3127–3130.

[344] A. Prosperetti, Normal-mode analysis for the oscillations of a viscousliquid drop in an immiscible liquid, J Mécanique 19 (1) (1980) 149–182.

[345] B. Xu, K. T. Ooi, C. Mavriplis, M. E. Zaghloul, Evaluation of viscousdissipation in liquid flow in microchannels, J Micromech Microeng 13 (1)(2003) 53–57.

[346] F. Mugele, S. Herminghaus, Electrostatic stabilization of fluid mi-crostructures, Appl Phys Lett 81 (12) (2002) 2303–2305.

276

Page 297: Complexity Reduction Techniques for Advanced MEMS

Bibliography

[347] T. Yamada, T. Sugimoto, Y. Higashiyama, M. Takeishi, T. Aoki, Res-onance phenomena of a single water droplet located on a hydrophobicsheet under ac electric field, in: Proc. IEEE IAS, Chicago, IL, USA,2001, pp. 1530–1535.

[348] T. Glatzel, C. Cupelli, T. Lindemann, C. Litterst, C. Moosmann,R. Niekrawietz, W. Streule, R. Zengerle, P. Koltay, Computational fluiddynamics (CFD) software tools for microfluidic applications – a bench-mark study, Lab-On-A-Chip. Submitted.

[349] O. Rübenkönig, J. G. Korvink, IMTEK Mathematica Supple-ment (IMS), http://www.imtek.uni-freiburg.de/simulation/

mathematica/IMSweb.

[350] S. Wolfram, The Mathematica Book, 4th Edition, Wolfram Media/Cam-bridge University Press, 1999, http://documents.wolfram.com/v4.

[351] E. B. Rudnyi, J. G. Korvink, Model order reduction for large scale en-gineering models developed in ANSYS, in: J. Dongarra, K. Madsen,J. Wasniewski (Eds.), Proc. PARA State-of-the-Art in Scientific Com-puting, Vol. 3732 of LNCS, Springer, Lyngby, Denmark, 2004, pp. 349–356.

[352] E. B. Rudnyi, J. G. Korvink, mor4ansys (version 1.8): Compact Be-havioral Models from ANSYS by Means of Model Order Reduction, De-partment of Microsystems Engineering, University of Freiburg, availableonline: http://www.imtek.uni-freiburg.de/simulation/mor4ansys

(February 2005).

[353] CFD Research Corp., http://www.cfdrc.com.

[354] B. Zhao, J. S. Moore, D. J. Beebe, Principles of surface-directed liquidflow in microfluidic channels, Anal Chem ASAP 74 (16) (2002) 4259–4268.

[355] J.-l.-R. D’Alembert, Traité de dynamique, Chez David, Libraire, Paris,1743.

[356] ISO/IEC: ISO/IEC 9899:1999(E) Programming languages – C.

277

Page 298: Complexity Reduction Techniques for Advanced MEMS

278

Page 299: Complexity Reduction Techniques for Advanced MEMS

Acknowledgements

Many people have supported me during the four years of writing this the-sis. On this page, I want to express my gratitude to those people who havesupported me carrying out this work.

First of all, I would like to thank my supervisor Prof. Dr. Jan G. Korvink,who gave me the possibility to work in his group at IMTEK in a friendly andencouraging atmosphere, for his support and motivation, his numerous hintsand ideas and his guidance. I also wish to thank Prof. Dr. Ulrike Wallrabefor co-examining this thesis.

I am very grateful to my second supervisors Dr. Andreas Greiner and Dr.Evgenii Rudnyi for their advice, inspiration, ideas and support.

I further wish to thank the current and former members of the group, OliverRübenkönig, Dr. Tamara Bechtold, Laura del Tin, Martin Geier, David Kau-zlaric, and in particular Christian Moosmann and Dr. Zhenyu Liu who proof-read parts of this thesis, and all others not mentioned here for intensive dis-cussions and productive cooperation.

Further, I want to thank Anne Rottler, Alice Müller, Gaby Bachschmidt,Yvonne Haller and Bruno Welsch for their help and support.

I had a lot of fun while working here and always had the feeling to be athome. Thanks, guys!

Then, I would like to thank my project partners at IMTEK, Uwe Herberth,Miguel Martinez, Dr. Isabella Moser and Dr. Gerhard Jobst for the success-ful work and friendly cooperation. Also my thanks to all other departmentmembers for the friendly atmosphere!

My gratitude goes to our external project partners, Prof. Dr. Boris Lohmann,Behnam Salimbahrami (also my acknowledgments for creating the graphs infigure 8.14 on page 215) and Amirhossein Yousefi (also acknowledged for cre-ating the graphs in figure 8.13 on page 213), the IBM Research GmbH inRüschlikon, in particular Dr. Christoph Hagleitner and Hugo Rothuizen, DagBillger from the Imego institute in Gothenburg and all members of the Micro-protein project. Furthermore, I wish to thank Lorenza Ferrario and Prof. Dr.Giovanni Soncini from the ITC IRST and Prof. Dr. Gian-Franco Dalla Betta

279

Page 300: Complexity Reduction Techniques for Advanced MEMS

Acknowledgements

and Dr. Giorgio Fontana from the University of Trento, Italy, for giving methe possiblity to spend a summer working in Trentino.

I acknowledge the Provincia Autonoma di Trento (PAT), the DeutscheForschungsgemeinschaft (DFG), the European Community (EU), the Bun-desministerium für Bildung und Forschung (BMBF) and the University ofFreiburg for funding my research.

Finally, my deepest feelings of thankfulness go to my parents and my friendsfor their support, patience and love.

280

Page 301: Complexity Reduction Techniques for Advanced MEMS

Curriculum Vitae

Jan LienemannMülhauser Str. 479110 [email protected]

Born July 20th, 1977 in Kenzingen, Germany

1997 Abitur at Scheffel-Gymnasium Bad Säckingen2002 Diploma in Microsystem Technology, University of Freiburgsince 2002 Working in the Lab of Simulation, Department of Microsys-

tems Engineering, Faculty of Applied Sciences, University ofFreiburg pursuing the doctoral degree under supervision ofProf. Dr. Jan G. Korvink

281

Page 302: Complexity Reduction Techniques for Advanced MEMS

282

Page 303: Complexity Reduction Techniques for Advanced MEMS

Nomenclature

Abbreviations

1D one-dimensional

2D two-dimensional

3D three-dimensional

AFM Atomic Force Microscope

APDL ANSYS Parametric Design Language

AWE Asymptotic Waveform Evaluation

BTA Balanced Truncation Approximation

CFD Computational Fluid Dynamics

CMOS Complementary Metal Oxide Semiconductor

DAE differential-algebraic equation

DOF degree of freedom

EDEW Evolve Droplets by ElectroWetting

EWOD ElectroWetting-On-Dielectrics

FEM Finite Element Method

GUI Graphical User Interface

HSV Hankel singular value

ims IMTEK Mathematica Supplement

LTI Linear Time Invariant

MEMS MicroElectroMechanical System

283

Page 304: Complexity Reduction Techniques for Advanced MEMS

Nomenclature

MIMO Multiple-Input-Multiple-Output

MISO Multiple-Input-Single-Output

MOR Model Order Reduction

MST MicroSystem Technology

ODE Ordinary Differential Equation

PDE Partial Differential Equation

POD Proper Orthogonal Decomposition

PVL Padé via Lanczos

QS quasi-static

RF Radio Frequency

SIMO Single-Input-Multiple-Output

SISO Single-Input-Single-Output

SOAR Second Order ARnoldi

SOBT Second Order Balanced Truncation

SVD Singular Value Decomposition

TPWL Trajectory PieceWise Linear

VLSI very large scale integration

Variables

a acceleration

a0 acceleration of the origin

a rel relative acceleration

A system or stiffness matrix

A A− s0E

A area

284

Page 305: Complexity Reduction Techniques for Advanced MEMS

b constant part of equation

B load matrix, DOF-strain relationship

B magnetic induction

Bo Bond number

c elasticity tensor

c damping coefficient

ck Rayleigh damping parameter, stiffness matrix

cm Rayleigh damping parameter, mass matrix

C output matrix

C capacitance

Cp specific heat capacity

d strain-displacement relationship differential

d constant part of equation

d distance, gap

d0 equilibrium position of the spring

D straight-way matrix

D electric displacement

E mass or damping matrix

E electric field, elasticity tensor (vector form)

E elastic modulus

f nonlinear equation parts, function, body force

f function, frequency, heat flux

F nonlinear equation parts mapping

g nonlinear equation parts

285

Page 306: Complexity Reduction Techniques for Advanced MEMS

Nomenclature

g gravitational acceleration

G nonlinear equation parts mapping, s20M + s0E + K

H transfer function

H magnetic field

H mean curvature, transfer function

I geometrical moment of inertia

j prescribed current density

j S surface current due to free charges

J Jacobian

k spring constant, Boltzmann constant

K stiffness matrix

Krrq, Krl

q right, left Krylov subspace

Kn Knudsen number

L Lagrange function (Lagrangian)

L Laplace transform

L length, liquid

mi moment of a system

m mass

m number of control input signals

M mass matrix

M A−1

E

n normal unit vector

n system order, polynomial degree

n state space size

286

Page 307: Complexity Reduction Techniques for Advanced MEMS

Nk shape function, test function

o maximum order of time derivatives

p pressure

pi, p parameters

p number of output variables

P capacitance matrix, controllability gramian

P point

q vector of generalised coordinates, mechanical degrees of freedom

q charge, unit charge

qj generalised coordinate

q number of equations

Q observability gramian

Q charge degrees of freedom

Q heat generation rate (heat source), charge, constrained state space

r A−1

B

r position

r number of state nonlinearities

R position

Re Reynolds number

s scale factor, complex frequency σ + iω

s number of output nonlinearities

S surface, substrate

∆t timestep

t time, thickness

287

Page 308: Complexity Reduction Techniques for Advanced MEMS

Nomenclature

T temperature

T ∗ kinetic coenergy

u Input of a system

u displacement

v velocity

v rel relative velocity

v volume, beam bending

V projection matrix

V voltage, potential energy

Vpull-in pull-in voltage

δW nc variation of nonconservative work

W projection matrix, rank 3 tensor, voltage/displacement coupling matrix

W vapor phase, outer medium

WB work by voltage source

We, W ∗e electric energy, electric coenergy

Wm, W ∗m magnetic energy, magnetic coenergy

X matrix of snapshots

x (t), x states, position

x (t) velocities

x (t) accelerations

y Output of a system

Greek letters

α Rayleigh damping parameter, mass matrix

β Rayleigh damping parameter, stiffness matrix

288

Page 309: Complexity Reduction Techniques for Advanced MEMS

ǫ Cauchy strain tensor

ε dielectric permittivity

ε0 dielectric permittivity of free space

εr relative dielectric permittivity of the material

γ surface tension

γ Green-Saint Venant strain tensor

κ heat conductivity

λi eigenvalue

ν Poisson’s ratio

ω angular frequency

Ω angular velocity

Ω simulation domain

∂Ω boundary (of simulation domain)

Φ orthonormal matrix of eigenvectors

Ψ potential

ρ density (charge or mass)

σ stress tensor

σ electric conductivity

τ time interval

τxy, τyz, τxz shear stresses

θ contact angle

Ξqjgeneralised force

289

Page 310: Complexity Reduction Techniques for Advanced MEMS

290

Page 311: Complexity Reduction Techniques for Advanced MEMS

Index

Symbols

[, 1421DPath, 161

A

accuracy, 35action, 228actuators, 37AFM, 38air damping, 44algebraic-differential equations, 118ANSYS, 169, 176, 241– Parametric Design Language (APDL),

169, 177Arnoldi method, 22, 24, 132, 150,

153, 179, 199– dual rational, 134– two-sided, 134assumed modes, 141asymptotic waveform evaluation method,

129

B

backward Euler method, 60balanced truncation approximation,

22, 125balancing and optimisation, 149, 212basis, 53, 78beam, 35, 71, 88, 92benchmarks, 38

bifurcation, 59, 78, 81, 84bilinearisation, 151binary files, 170, 176, 241black-box solvers, 77block– Arnoldi, 133– Gauss Seidel method, 77– Krylov subspace, 133Bond number, 30bondwire, 46, 201, 224boundary conditions, 55boundary elements method, 77breakdown, 131buckling, 84butterfly, 42, 200

C

canonical form, 174cantilever, 36, 38, 71, 171capacitance, 75, 78capacitive contacts, 11capillary forces, 23, 109capillary lift, 188Carleman bilinearisation, 151Cauchy, 55– strain tensor, 68– stress tensor, 68centripetal acceleration, 43CFD, 15channels, 12, 23, 191

291

Page 312: Complexity Reduction Techniques for Advanced MEMS

Index

charge, 73, 93, 98, 112, 166cohesion– force, 108– work of, 108commercial software, 28, 77compact modelling, 19complexity, 34component-mode matrix, 141congruence transformation, 123conservative, 15constant average acceleration method,

63contact, 24, 73, 172, 205contact angle, 8, 109– advancing/receding, 167– saturation, 114contact angle hysteresis, 167contact line, 8, 11, 109– pinning, 110– tension, 110continuation methods, 58continuous systems, 66continuum, 32control theory, 22controllability, 120– gramian, 126controller, 34Coriolis acceleration, 43Coulomb, 98Coulomb force, 74coupling, 29, 36, 65, 76, 92, 151CPU time, 16Crank-Nicholson method, 60cross gramian, 128cross section, 71, 94, 97

D

D’Alembert, 226damping, 99, 137, 163

– matrix, 57Debye interaction, 107deflation-check procedure, 131detachment, 23determinant, 53dielectric, 171– layer, 9, 11direct coupling, 77direct truncation, 129Dirichlet, 55discarding of small couplings, 180,

208discretisation, 86dispersion forces, 107droplet– processing, 13– splitting, 11droplet velocity, 8DSIF, 57, 233dual rational Arnoldi algorithm, 134Dupré equation, 109dynamic viscosity, 105dynamics, 15

EEDEW, 15, 23, 157, 160, 185effective parameters, 20eigenmodes, 65eigenvalues, 53eigenvectors, 53, 141Einstein notation, 51electric– potential, 75electric field, 11, 75electrocapillarity, 8electrode, 8, 76, 159, 192electrohydrodynamic forces, 8electrostatic, 73, 98, 165– energy, 9, 112

292

Page 313: Complexity Reduction Techniques for Advanced MEMS

Index

electrowetting, 7, 23, 112, 159, 186electrowetting-on-dielectrics, 8, 23elliptic, 55energy, 75, 192– domains, 28, 36, 76– method, 153ENOR algorithm, 134equations of motion, 65equilibrium, 58equivalent circuit, 18error estimator, 18, 22, 127Euler-Bernoulli beam, 72evaporation, 23, 188exact deflation, 133expansion point, 129, 135experiments, 14explicit integrator, 62explicit moment computation, 130exponents, 175

Ffast solvers, 34FEM, 17, 87, 95fine structure, 15, 159, 192finite element method, 87first order, 46, 55, 63flexural displacement, 95fluid processing, 13fluidics, 104free surfaces, 111fringing field, 165full rank, 53fully automatic, 127

G

Gajski-Kuhn chart, 33Galerkin condition, 123gather matrix, 56generalised

– coordinates, 65, 94, 225– forces, 66, 227– Krylov subspaces, 141geometric– matrix, 103– model, 193– moment of inertia, 72– nonlinearity, 36, 101Gram Schmidt process, 131, 133gramian, 126– approximants, 22Green’s deformation tensor, 229Green-Saint Venant strain tensor,

67, 228grid, 17GUI, 23Guyan method, 123, 199gyrator matrix, 57gyroscope, 42, 200, 223gyroscopic coupling, 44

H

Hamilton’s principle, 228Hankel– Norm Approximation, 127– norm approximation, 22– singular values, 126hardware-in-the-loop, 34harmonic response, 64hat functions, 90heat transfer, 46, 87, 210, 224Helmholtz, 107, 167Hermite, 95hermitian, 53hierarchy levels, 33holonomic, 65, 225homotopy method, 58Hurwitz, 54, 126hydrogen bond, 107

293

Page 314: Complexity Reduction Techniques for Advanced MEMS

Index

hyperbolic, 55hysteresis, 81

I

IBM scanning-probe data storage de-vice, 24, 38, 171, 205

image, 53implicit integrator, 62impulse response matrix, 134imsLinearizeSystem, 175imsPolynomMatrix, 175imsPolynomMatrixContract, 175imsPolynomMatrixContractAll, 175imsPolynomMatrixToMatrix, 175imsToSimpleSystem, 175IMTEK Mathematica Supplement,

169, 174incompressible liquids, 106induction effect, 107inertia, 97, 163inertial– forces, 15– frame, 44– load, 44– stiffness, 44inexact deflation, 133infinity norm, 18initial displacement matrix, 103initial stress matrix, 103inner vectors, 131input, 17, 56, 101, 142– matrix, 56interconnect problem, 21interface– energy, 109– tension, 109interfacial energy, 186interfacial tension, 9, 107, 109, 158,

188

intermolecular interactions, 107inverse, 118inverted EWOD, 11IRST RF switch, 44, 203, 223

JJacobian, 58Java, 23

KKarhunen-Loève decomposition, 146Keesom interaction, 107kinetic coenergy, 65, 95, 227kinetic energy, 164Kirchhoff, 71, 88Kirchhoffian laws, 18Knudsen number, 32Krylov subspace, 22, 54, 130, 199– exhausted, 133– methods, 128

LL2 norm, 17Lagrange function, 65, 228Lagrangian Mechanics, 65, 94, 225Lagrangian mesh, 15Laguerre functions, 134Lanczos method, 22Landau notation, 53Laplace pressure, 8, 11, 111Laplace transform, 118large displacement matrix, 103left eigenvectors, 54left tangential interpolation, 141leverage groups, 173linear dependency, 53linear time invariant, 117linearisation, 175load matrix, 56load-correction matrix, 103

294

Page 315: Complexity Reduction Techniques for Advanced MEMS

Index

local minimum, 15London forces, 107London interaction, 107low-dimensional subspace, 122LU decomposition, 118

M

magnetic actuators, 31mass matrix, 57master nodes, 123material derivative, 105Mathematica, 169, 174Matlab, 57matrix condensation, 124matrix Padé via Lanczos, 131Maxwell, 74McMillan degree, 120mean curvature, 111mesh, 17micropumps, 8microsystem technology, 28millipede, 38minimal realization, 120modal analysis, 64modal truncation, 141mode shape, 65model order reduction, 17, 21, 24,

76, 117, 171, 179modelling, 28modified moments, 130moment matching, 129moments, 129monitor nodes, 173monolithic scheme, 77mor4ansys, 176motion, 186moving structures, 29multi-moments, 151

NNavier-Stokes, 105nearest neighbours, 108netlist, 18Neumann, 55Newmark integrator, 62Newton Raphson, 57– modified, 58Newtonian fluids, 105non-uniform block Arnoldi, 180nonlinearity, 23, 24, 35, 46, 57, 64,

70, 73, 81, 91, 142, 171, 205norm, 17normal stresses, 68notation, 51

O

O notation, 51oblique projection, 131observability, 120– gramian, 126operating range, 35optical applications, 11optimisation, 15, 23, 192order, 55ordinary differential equations, 54orientation effect, 107orthogonalisation, 132orthogonality, 100output, 17, 101– matrix, 56overshoot, 164

P

Padé– approximants, 22, 128– type approximants, 129– via Lanczos method, 130parabolic, 55

295

Page 316: Complexity Reduction Techniques for Advanced MEMS

Index

parallel plate capacitor, 78parameter extraction, 20parameters, 23, 35, 142partial differential equations, 54particle methods, 32passivity, 18, 121path following, 58, 59peripheral field, 165phase space, 81pinch-off, 188, 190PLU decomposition, 118polarity, 11polynomial, 92, 104, 171, 205– approximation, 24– matrices, 173– projection, 150potential, 92, 112– energy, 15, 65, 95, 153, 159, 194predictor corrector schemes, 58PRIMA, 133principal component analysis, 146projection, 122, 175proper, 119proper orthogonal decomposition, 127,

145, 146, 212prototype, 27pull-in voltage, 81

Q

quasi-static, 15

R

rank, 53Rayleigh damping, 24, 99, 138, 179,

201Rayleigh-Ritz method, 141realization, 120realtime, 34reconfiguration at runtime, 10

relaxation method, 77reliability, 13Reynolds number, 15RF switch, 29, 44, 92, 203, 223right eigenvectors, 53right tangential interpolation, 141rigid body modes, 65rigid body motion, 67Riks-Crisfield technique, 58Ritz-Galerkin, 89Robin, 55rotation, 43Runge-Kutta methods, 60

SSABER, 18scaling, 30scatter matrix, 56Schur complement, 123, 142script template library, 23second order, 55, 136– Arnoldi, 140– balanced truncation, 140– gramians, 140self capacitance, 98semi-empirical, 20sensors, 37shape function, 90, 103shear, 105signal domains, 29similarity, 17simulation, 14, 28– free, 145singular perturbation approximation,

22, 127singular value decomposition, 54small displacement stiffness matrix,

103snap-through, 84

296

Page 317: Complexity Reduction Techniques for Advanced MEMS

Index

snapshots, 145solution branch, 84SPICE, 18SpikeShape, 162splitting, 167, 187stability, 18, 121, 131staggered scheme, 77standardisation, 21starting point, 58state vector, 56stationary, 55stiffness, 101– matrix, 57straight-way matrix, 56strain, 67– tensor, 68strain-displacement relations, 68, 71,

95, 102, 229stress stiffening, 37, 78stress tensor, 68stress-strain relationship, 102strictly proper, 119structural mechanics, 67structure preserving, 136subspace, 122substructuring, 125successive loading method, 58supremum norm, 18surface, 15, 30, 157, 167– energy, 108– tension, 108, 157Surface Evolver, 15, 23, 157surface tension, 107SVD based approximation methods,

125SVD-Krylov method, 22SVD-Laguerre algorithm, 134symbol isolation, 143symbols, 51

symmetric, 53system matrices, 56system matrix optimisation, 147, 212System package, 174system simulation, 32, 76system theory, 117

T

tangential– acceleration, 43– interpolation, 141

– two-sided, 141– spring constant, 81– stiffness matrix, 103Taylor series, 128temporary dipole, 107test functions, 89thermal conductivity, 88thin film damping, 44, 100time derivative, 55time integration, 60Timoshenko beam, 72tip, 38, 144training trajectory, 152trajectory piecewise-linear method,

152TRANS109, 77TRANS126, 85, 170, 171, 244transducer, 28, 65, 76, 170, 171, 206,

244transfer function, 117, 118, 128transient dipole moment, 107transistor, 19trapezoidal rule, 60Trefftz, 77truncated balanced realization method,

125turbulence, 35two-sided

297

Page 318: Complexity Reduction Techniques for Advanced MEMS

Index

– Arnoldi algorithm, 134– second order Arnoldi, 140– tangential interpolation, 141

Uundamped, 136unitary, 53

VVan der Waals forces, 107velocity Verlet scheme, 61Verilog-A, 24, 169, 175, 181, 245Verlet algorithm, 61virtual displacements, 226viscosity, 105voltage, 75, 112

Wweak form, 89wetting, 8, 107

YY chart, 33Young equation, 110

298