cs211 advanced computer architecture l01 introductionshanghai... · cs211 advanced computer...

1

Upload: others

Post on 06-Oct-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

CS211Advanced Computer Architecture

L05 Pipeline II

Chundong WangSeptember 23rd, 2020

CS211@ShanghaiTech 1

Page 2: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Quiz 1

• Quiz 1 (1 point): Put T (True) or F (False) for each of following statement.

• ( T ) 1. In a modern processor, the contents of L1 instruction cache (I-cache) are read-only.

• ( F ) 2. When a context switch happens, dirty cache lines in L1/L2/L3 caches that keep the data, rather than instructions, of the current running process must be saved.

• ( T ) 3. In a load-store instruction set architecture (ISA) like RISC-V, arithmetic instructions (e.g., add, sub, etc.) would not go through the M (Memory Access) stage of the classic five pipeline stages, i.e., Instruction Fetch/Instruction Decode/Execute/Memory Access/Write Back.

• ( T ) 4. One reason why most early computers used stack or accumulator-style architectures is that the processor circuit could be made very small and low in cost.

CS211@ShanghaiTech 2

Page 3: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Today

• Paper reading 2 assigned today• Due at 23:59:59, 21st October 2020• One compulsory paper

• Lab 0 due today!!!

• HW 1• Due at 23:59:59, 28 September 2020• Solutions in PDF format to be submitted to Gradescope

• HW2, Paper reading 3, Lab 1• To be assigned on 28 September 2020

CS211@ShanghaiTech 3

Page 4: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Previously in CS211

• Iron law of performance:• time/program = insts/program * cycles/inst * time/cycle

• Classic 5-stage RISC pipeline• Structural, data, and control hazards• Structural hazards handled with interlock or more hardware• Data hazards include RAW, WAR, WAW

• Handle data hazards with interlock, bypass, or speculation• Control hazards (branches, interrupts) most difficult as change which

is next instruction• Branch prediction commonly used

• Precise traps: stop cleanly on one instruction, all previous instructions completed, no following instructions have changed architectural state

CS211@ShanghaiTech 4

Page 5: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Recap: Trap:altering the normal flow of control

Ii-1 HI1

HI2

HIn

Ii

Ii+1

programtrap handler

An external or internal event that needs to be processed by another (system) program. The event is usually unexpected or rare from program’s point of view.

CS211@ShanghaiTech 5

Page 6: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Recap: Trap Handler

• Saves EPC before enabling interrupts to allow nested interrupts ⇒

• need an instruction to move EPC into GPRs • need a way to mask further interrupts at least until EPC can be saved

• Needs to read a status register that indicates the cause of the trap

• Uses a special indirect jump instruction ERET(return-from-environment) which

• enables interrupts• restores the processor to the user mode• restores hardware status and control state

CS211@ShanghaiTech 6

Page 7: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Recap: Synchronous Trap

• A synchronous trap is caused by an exception on a particular instruction

• In general, the instruction cannot be completed and needs to be restarted after the exception has been handled

• requires undoing the effect of one or more partially executed instructions

• In the case of a system call trap, the instruction is considered to have been completed

• a special jump instruction involving a change to a privileged mode

CS211@ShanghaiTech 7

Page 8: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Recap: Exception Handling 5-Stage Pipeline

• How to handle multiple simultaneous exceptions in different pipeline stages?

• How and where to handle external asynchronous interrupts?

PCInst. Mem D Decode E M

Data Mem W+

Illegal Opcode Overflow Data address

ExceptionsPC address Exception

Asynchronous Interrupts

CS211@ShanghaiTech 8

Page 9: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Recap: Exception Handling 5-Stage Pipeline

PCInst. Mem D Decode E M

Data Mem W+

Illegal Opcode

Overflow Data address Exceptions

PC address Exception

AsynchronousInterrupts

ExcD

PCD

ExcE

PCE

ExcM

PCM

Caus

eEP

C

Kill D Stage

Kill F Stage

Kill E Stage

Select Handler PC

Kill Writeback

Commit Point

CS211@ShanghaiTech 9

Page 10: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Recap: Exception Handling 5-Stage Pipeline

• Hold exception flags in pipeline until commit point (M stage)

• Exceptions in earlier pipe stages override later exceptions for a given instruction

• Exceptions in earlier instructions override exceptions in later instructions

• Inject external interrupts at commit point (override others)

• If trap at commit: update Cause and EPC registers, kill all stages, inject handler PC into fetch stage

CS211@ShanghaiTech 10

Page 11: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Recap: Speculating on Exceptions

• Prediction mechanism• Exceptions are rare, so simply predicting no exceptions is very

accurate!• Check prediction mechanism

• Exceptions detected at end of instruction execution pipeline, special hardware for various exception types

• Recovery mechanism• Only write architectural state at commit point, so can throw away

partially executed instructions after exception• Launch exception handler after flushing pipeline

• Bypassing allows use of uncommitted instruction results by following instructions

CS211@ShanghaiTech 11

Page 12: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Deeper Pipelines: MIPS R4000

Figure C.36 The eight-stage pipeline structure of the R4000 uses pipelined instruction and data caches. The pipe stages are labeled and their detailed function is described in the textbook. The vertical dashed lines represent the stage boundaries as well as the location of pipeline latches. The instruction is actually available at the end of IS, but the tag check is done in RF, while the registers are fetched. Thus, we show the instruction memory as operating through RF. The TC stage is needed for data memory access, because we cannot write the data into the register until we know whether the cache access was a hit or not.

© 2018 Elsevier Inc. All rights reserved.

Commit Point

Direct-mapped I-cache allows use of instruction before tag check completes

CS211@ShanghaiTech 12

1st half of fetching inst.

2nd half of fetching inst.

Inst decode and reg fetch

Execution 1st half of access to data cache

2nd half of access to data cache

Tag check Writeback

What if tag check fails, i.e., cache miss?

Interlock

Page 13: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

R4000 Load-Use Delay

Figure C.37 The structure of the R4000 integer pipeline leads to a x1 load delay. A x1 delay is possible because the data value is available at the end of DS and can be bypassed. If the tag check in TC indicates a miss, the pipeline is backed up a cycle, when the correct data are available.

© 2018 Elsevier Inc. All rights reserved.

Direct-mapped D-cache allows use of data before tag check completes

13

Page 14: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

R4000 Branches

Figure C.39 The basic branch delay is three cycles, because the condition evaluation is performed during EX.

© 2018 Elsevier Inc. All rights reserved.14

https://groups.csail.mit.edu/cag/raw/documents/R4400_Uman_book_Ed2.pdf (Manual)

Page 15: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Simple vector-vector add code example

# for(i=0; i<N; i++)# A[i] = B[i]+C[i];

loop: fld f0, 0(x2) // x2 points to Bfld f1, 0(x3) // x3 points to Cfadd.d f2, f0, f1fsd f2, 0(x1) // x1 points to Aaddi x1, x1, 8 // Bump pointeraddi x2, x2, 8 // Bump pointeraddi x3, x3, 8 // Bump pointerbne x1, x4, loop // x4 holds end

CS211@ShanghaiTech 15

Page 16: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Simple Pipeline Scheduling

Can reschedule code to try to reduce pipeline hazards

loop: fld f0, 0(x2) // x2 points to Bfld f1, 0(x3) // x3 points to Caddi x3, x3, 8 // Bump pointeraddi x2, x2, 8 // Bump pointerfadd.d f2, f0, f1addi x1, x1, 8 // Bump pointerfsd f2, -8(x1) // x1 points to Abne x1, x4, loop // x4 holds end

Long latency loads and floating-point operations limit parallelism within a single loop iteration

CS211@ShanghaiTech 16

Page 17: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

One way to reduce hazards: Loop Unrolling

Can unroll to expose more parallelism, reduce dynamic instruction countloop: fld f0, 0(x2) // x2 points to B

fld f1, 0(x3) // x3 points to Cfld f10, 8(x2)fld f11, 8(x3)addi x3,x3,16 // Bump pointeraddi x2,x2,16 // Bump pointerfadd.d f2, f0, f1fadd.d f12, f10, f11addi x1,x1,16 // Bump pointerfsd f2, -16(x1) // x1 points to Afsd f12, -8(x1)bne x1, x4, loop // x4 holds end

• Unrolling limited by number of architectural registers• Unrolling increases instruction cache footprint• More complex code generation for compiler, has to understand pointers• Can also software pipeline, but has similar concerns

CS211@ShanghaiTech 17

Page 18: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Alternative Approach: Decoupling (lookahead, runahead) in microarchitecture

Can separate control and memory address operations from data computations:

loop: fld f0, 0(x2) // x2 points to Bfld f1, 0(x3) // x3 points to Cfadd.d f2, f0, f1fsd f2, 0(x1) // x1 points to Aaddi x1,x1,8 // Bump pointeraddi x2,x2,8 // Bump pointeraddi x3,x3,8 // Bump pointerbne x1, x4, loop // x4 holds end

The control and address operations do not depend on the data computations, so can be computed early relative to the data computations, which can be delayed until later.

CS211@ShanghaiTech 18

Page 19: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Decoupled Access/Execute

• Tomasulo’s algorithm• Register renaming for WAR and

WAW

CS211@ShanghaiTech 19

• Motivation: Tomasulo’s algorithm too complex to implement

• 1980s before HPS, Pentium Pro

• Idea: Decouple operand access and execution via two separate instruction streams that communicate via ISA-visible queues.

• Smith, “Decoupled Access/Execute Computer Architectures,” ISCA 1982, ACM TOCS 1984.

Anti-dependencer3 ← r1 op r2 Write-after-Read r1 ← r4 op r5 (WAR) hazard

Anti-dependence is not data dependence. We can use an idle register, say r8, to replace r1.

No dependencer3 ← r1 op r2r8 ← r4 op r5

Suppose there are extra registers (reservation stations) that even ISA does not know, but can be used for such renaming.

Page 20: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Decoupled Access/Execute

CS211@ShanghaiTech 20

• Motivation: Tomasulo’s algorithm too complex to implement

• 1980s before HPS, Pentium Pro

• Idea: Decouple operand access and execution via two separate instruction streams that communicate via ISA-visible queues.

• J. Smith, “Decoupled Access/Execute Computer Architectures,” ISCA 1982, ACM TOCS 1984.

Page 21: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Decoupled Access/Execute (II)• Compiler generates two instruction streams (A and E)

• Synchronizes the two upon control flow instructions (using branch queues)

CS211@ShanghaiTech 21

Page 22: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Decoupled Access/Execute (III)

• Advantages:+ Execute stream can run ahead of the access stream and vice versa

+ If A takes a cache miss, E can perform useful work+ If A hits in cache, it supplies data to lagging E+ Queues reduce the number of required registers

+ Limited out-of-order execution without wakeup/select complexity

• Disadvantages:-- Compiler support to partition the program and manage queues

-- Determines the amount of decoupling-- Branch instructions require synchronization between A and E-- Multiple instruction streams (can be done with a single one, though)

CS211@ShanghaiTech 22

Page 23: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Astronautics ZS-1 • Single stream steered into A and X pipelines

• Each pipeline in-order

• Smith et al., “The ZS-1 central processor,”ASPLOS 1987.

• Smith, “Dynamic Instruction Scheduling and the Astronautics ZS-1,” IEEE Computer 1989.

CS211@ShanghaiTech 23

Page 24: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Astronautics ZS-1 Instruction Scheduling

• Dynamic scheduling• A and X streams are issued/executed independently• Loads can bypass stores in the memory unit (if no conflict)• Branches executed early in the pipeline

• To reduce synchronization penalty of A/X streams• Works only if the register that a branch sources is available

• Static scheduling• Move compare instructions as early as possible before a branch

• So that branch source register is available when branch is decoded• Reorder code to expose parallelism in each stream• Loop unrolling:

• Reduces branch count + exposes code reordering opportunities

CS211@ShanghaiTech 24

Page 25: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

A Modern DAE Example: Pentium 4

25Glenn Hinton et al. “The Microarchitecture of the Pentium 4 Processor”, Intel Technology Journal Q1, 2001

Page 26: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Intel Pentium 4 Simplified

26

Onur Mutlu et al. “Runahead Execution: An Alternative to Very Large Instruction Windows for Out-of-order Processors”, in Proceedings of HPCA 2003.

Page 27: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

• Original goal was to use new transistor technology to give 100x performance of tube-based IBM 704.

• Design based around 4 stages of “lookahead” pipelining

• More than just pipelining, a simple form of decoupled execution with indexing and branch operations performed speculatively ahead of data operations

• Also had a simple store buffer• Very complex design for the time, difficult to explain to users

performance of pipelined machine• When finally delivered in 1961, was benchmarked at only 30x 704 and

embarrassed IBM, causing price to drop from $13.5M to $7.8M, and withdrawal after initial deliveries

• But technologies lived on in later IBM computers, 360 and POWER

© IBM

CS211@ShanghaiTech 27

Page 28: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Supercomputers

Definitions of a supercomputer:• Fastest machine in world at given task• A device to turn a compute-bound problem into an I/O bound

problem • Any machine costing $30M+• Any machine designed by Seymour Cray

• CDC6600 (Cray, 1964) regarded as first supercomputer

CS211@ShanghaiTech 28

Page 29: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

CDC 6600 Seymour Cray, 1964

• A fast pipelined machine with 60-bit words• 128 Kword main memory capacity, 32 banks

• Ten functional units (parallel, unpipelined)• Floating Point: adder, 2 multipliers, divider• Integer: adder, 2 incrementers, ...

• Hardwired control (no microcoding)• Scoreboard for dynamic scheduling of instructions • Ten Peripheral Processors for Input/Output

• a fast multi-threaded 12-bit integer ALU• Very fast clock, 10 MHz (FP add in 4 clocks)• >400,000 transistors, 750 sq. ft., 5 tons, 150 kW, novel

freon-based technology for cooling• Fastest machine in world for 5 years (until 7600)

• over 100 sold ($7-10M each)

CS211@ShanghaiTech 29

Scoreboarding is a technique for allowing instructions to execute out of order whenthere are sufficient resources and no data dependences

Page 30: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

CDC 6600: A Load/Store Architecture

• Separate instructions to manipulate three types of reg.• 8x60-bit data registers (X)• 8x18-bit address registers (A)• 8x18-bit index registers (B)

• All arithmetic and logic instructions are register-to-register

•Only Load and Store instructions refer to memory!

Touching address registers 1 to 5 initiates a load 6 to 7 initiates a store

- very useful for vector operations

opcode i j k Ri ← Rj op Rk

opcode i j disp Ri ← M[Rj + disp]

6 3 3 3

6 3 3 18

CS211@ShanghaiTech 30

Page 31: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

CDC 6600: Datapath

Address Regs Index Regs8 x 18-bit 8 x 18-bit

Operand Regs8 x 60-bit

Inst. Stack8 x 60-bit

IR

10 FunctionalUnits

CentralMemory128K words,32 banks,1µs cycle

resultaddr

result

operand

operandaddr

CS211@ShanghaiTech 31

Page 32: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

CDC6600: Vector Addition

B0 ← - nloop: JZE B0, exit

A0 ← B0 + a0 load X0A1 ← B0 + b0 load X1X6 ← X0 + X1A6 ← B0 + c0 store X6B0 ← B0 + 1jump loop

Ai = address registerBi = index registerXi = data register

CS211@ShanghaiTech 32

Page 33: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

CDC6600 ISA designed to simplify high-performance implementation

• Use of three-address, register-register ALU instructions simplifies pipelined implementation

• Only 3-bit register-specifier fields checked for dependencies• No implicit dependencies between inputs and outputs

• Decoupling setting of address register (Ar) from retrieving value from data register (Xr) simplifies providing multiple outstanding memory accesses

• Address update instruction also issues implicit memory operation• Software can schedule load of address register before use of value• Can interleave independent instructions in between

• CDC6600 has multiple parallel unpipelined functional units• E.g., 2 separate multipliers

• Follow-on machine CDC7600 used pipelined functional units• Foreshadows later RISC designs

CS211@ShanghaiTech 33

Page 34: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

[© IBM]34

Page 35: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

IBM Memo on CDC6600

Thomas Watson Jr., IBM CEO, August 1963:“Last week, CDC … announced the 6600 system. I understand that in the laboratory developing the system there are only 34 people including the janitor. Of these, 14 are engineers and 4 are programmers... Contrasting this modest effort with our vast development activities, I fail to understand why we have lost our industry leadership position by letting someone else offer the world's most powerful computer.”

To which Cray replied: “It seems like Mr. Watson has answered his own question.”

CS211@ShanghaiTech 35

Page 36: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Computer Architecture Terminology

Latency (in seconds or cycles): Time taken for a single operation from start to finish (initiation to usable result)Bandwidth (in operations/second or operations/cycle): Rate of which operations can be performed Occupancy (in seconds or cycles): Time during which the unit is blocked on an operation (structural hazard)Note, for a single functional unit:• Occupancy can be much less than latency (how?)• Occupancy can be greater than latency (how?)• Bandwidth can be greater than 1/latency (how?)• Bandwidth can be less than 1/latency (how?)

CS211@ShanghaiTech 36

Page 37: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Issues in Complex Pipeline Control

IF ID WB

ALU Mem

Fadd

Fmul

Fdiv

Issue

GPRsFPRs

• Structural conflicts at the execution stage if some FPU or memory unit is not pipelined and takes more than one cycle• Structural conflicts at the write-back stage due to variable latencies of different functional units• Out-of-order write hazards due to variable latencies of different functional units• How to handle exceptions?

CS211@ShanghaiTech 37

Page 38: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

CDC6600 Scoreboard

• Scoreboard keeps track of• Instruction status• Functional unit status• Register result status

• Instructions dispatched in-order to functional units provided no structural hazard or WAW

• Stall on structural hazard, no functional units available• Only one pending write to any register

• Instructions wait for input operands (RAW hazards) before execution• Can execute out-of-order

• Instructions wait for output register to be read by preceding instructions (WAR)

• Result held in functional unit until register free

CS211@ShanghaiTech 38More details at http://bnrg.eecs.berkeley.edu/~randy/Courses/CS252.S96/Lecture10.pdf

If a functional unit for the instruction is free and no other active instruction has the same destination register (WAW), the scoreboard issues the instruction to the functional unit and updates its internal data structure. If a structural or WAW hazard exists, then the instruction issue stalls, and no further instructions will issue until these hazards are cleared.

When the source operands are available, the scoreboard tells the functional unit to proceed to read the operands from the registers and begin execution. The scoreboard resolves RAW hazards dynamically in this step, and instructions may be sent into execution out of order.

Once the scoreboard is aware that the functional unit has completed execution, the scoreboard checks for WAR hazards. If none, it writes results. If WAR, then it stalls the instruction

Page 39: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

More Complex In-Order Pipeline

• Delay writeback so all operations have same latency to W stage

• Write ports never oversubscribed (one inst. in & one inst. out every cycle)

• Stall pipeline on long latency operations, e.g., divides, cache misses

• Handle exceptions in-order at commit point

Commit Point

PCInst. Mem D Decode X1 X2

Data Mem W+GPRs

X2 WFAdd X3

X3

FPRs X1

X2 FMul X3

X2FDiv X3

Unpipelined divider

How to prevent increased writeback latency from slowing down single cycle integer operations?

CS211@ShanghaiTech 39

Bypassing

Page 40: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

In-Order Superscalar Pipeline

• Fetch two instructions per cycle; issue both simultaneously if one is integer/memory and other is floating point

• Inexpensive way of increasing throughput, examples include Alpha 21064 (1992) & MIPS R5000 series (1996)

• Same idea can be extended to wider issue by duplicating functional units (e.g. 4-issue UltraSPARC & Alpha 21164) but regfile ports and bypassing costs grow quickly

Commit Point

2PC

Inst. Mem D

DualDecode X1 X2

Data Mem W+GPRs

X2 WFAdd X3

X3

FPRs X1

X2 FMul X3

X2FDiv X3

Unpipelined divider

CS211@ShanghaiTech 40

Page 41: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

In-Order Pipeline with two ALU stages

[ © Motorola 1994 ]

Address calculate before memory access

Integer ALU after memory access

CS211@ShanghaiTech 41

Page 42: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

MC68060 Dynamic ALU Scheduling

EA MEM ALU

EA MEM ALU

EA MEM ALU

EA MEM ALU

add x1,x1,24(x2)

lw x4, 16(x5)

x2+24 x1+M[x2+24]

Using RISC-V style assembly code for MC68060

EA MEM ALU

add x3,x1,x6x1+x6

addi x5,x2,12x2+12

x5+16lw x8, 16(x3)

x3+16

Common trick used in modern in-order RISC pipeline designs, even without reg-mem operations

Not

a re

al R

ISC-

V in

stru

ctio

n!

CS211@ShanghaiTech 42

Page 43: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Conclusion

• Advanced topics in Pipelining• With several classic examples

CS211@ShanghaiTech 43

Page 44: CS211 Advanced Computer Architecture L01 IntroductionShanghai... · CS211 Advanced Computer Architecture L05 Pipeline II. Chundong Wang. September 23rd, 2020. CS211@ShanghaiTech 1

Acknowledgements

• These slides contain materials developed and copyright by:• Prof. Krste Asanovic (UC Berkeley)• Prof. Onur Mutlu (ETH Zurich)• Prof. Daniel J. Sorin (Duke)

CS211@ShanghaiTech 44