directed self-assembly of block copolymers for use in bit ... · block copolymer directed...

30
This content has been downloaded from IOPscience. Please scroll down to see the full text. Download details: IP Address: 148.88.176.132 This content was downloaded on 12/04/2016 at 09:23 Please note that terms and conditions apply. Directed self-assembly of block copolymers for use in bit patterned media fabrication View the table of contents for this issue, or go to the journal homepage for more 2013 J. Phys. D: Appl. Phys. 46 503001 (http://iopscience.iop.org/0022-3727/46/50/503001) Home Search Collections Journals About Contact us My IOPscience

Upload: others

Post on 12-Apr-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

This content has been downloaded from IOPscience. Please scroll down to see the full text.

Download details:

IP Address: 148.88.176.132

This content was downloaded on 12/04/2016 at 09:23

Please note that terms and conditions apply.

Directed self-assembly of block copolymers for use in bit patterned media fabrication

View the table of contents for this issue, or go to the journal homepage for more

2013 J. Phys. D: Appl. Phys. 46 503001

(http://iopscience.iop.org/0022-3727/46/50/503001)

Home Search Collections Journals About Contact us My IOPscience

Page 2: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

IOP PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS

J. Phys. D: Appl. Phys. 46 (2013) 503001 (29pp) doi:10.1088/0022-3727/46/50/503001

TOPICAL REVIEW

Directed self-assembly of blockcopolymers for use in bit patternedmedia fabricationRhys Alun Griffiths1,2, Aled Williams2, Chloe Oakland2,Jonathan Roberts2, Aravind Vijayaraghavan1 and Thomas Thomson1

1 School of Computer Science, The University of Manchester, Manchester, M13 9PL, UK2 Northwest Nanoscience Doctoral Training Centre (NOWNano DTC), The University of Manchester,Manchester, M13 9PL, UK

E-mail: [email protected]

Received 15 August 2013, in final form 3 October 2013Published 25 November 2013Online at stacks.iop.org/JPhysD/46/503001

AbstractReduction of the bit size in conventional magnetic recording media is becoming increasinglydifficult due to the superparamagnetic limit. Bit patterned media (BPM) has been proposed asa replacement technology as it will enable hard disk areal densities to increase past 1 Tb in−2.Block copolymer directed self-assembly (BCP DSA) is the leading candidate for formingBPM due to its ability to create uniform patterns over macroscopic areas. Here we review thelatest research into two different BCP DSA techniques: graphoepitaxy and chemoepitaxy (orchemical prepatterning). In addition to assessing their potential for forming high density bitpatterns, we also review current approaches using these techniques for forming servo patterns,which are required for hard disk drive (HDD) operation. Finally, we review the current state ofUV nanoimprint lithography, which is the favoured technique for enabling mass production ofBPM HDDs.

(Some figures may appear in colour only in the online journal)

1. Introduction

Magnetic data storage can trace its origins back to the inventionof the magnetic wire recorder in 1898 by Valdemar Poulsen [1].These early devices relied on analogue recording to create thetime varying signal necessary to reproduce sound waves. Theadvent of the digital electronic computer created a need fordigital recording. The key concept of digital magnetic datastorage is the ability of a small region of material to be in one oftwo well defined magnetic states. This corresponds to a binarydigit. These well defined states occur naturally in magnetic

Content from this work may be used under the terms ofthe Creative Commons Attribution 3.0 licence. Any further

distribution of this work must maintain attribution to the author(s) and the titleof the work, journal citation and DOI.

materials with uniaxial anisotropy, and their magnetization canbe flipped by the application of a magnetic field. IBM usedthis idea in 1956 to create the first hard disk drive (HDD),with a bit areal density of 2 kB in−2 [2]. Since then, bit arealdensities have increased exponentially, reaching 635 Gb in−2

in 2010 [3]—a 317.5 million fold increase in the space of54 years.

In a modern HDD, the material in which the magnetic dataare stored is rotated beneath a recording head which is movedby an actuator across the medium, allowing the head to read orwrite data across concentric circular tracks. The magnetic datastorage media consists of a highly optimized nano-granularmagnetic thin film, which is sputter coated onto both sidesof the disk substrate [4]. The film stack typically includessoft underlayers (∼30 nm), various seed layers (∼40 nm), a

0022-3727/13/503001+29$33.00 1 © 2013 IOP Publishing Ltd Printed in the UK & the USA

Page 3: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 1. Schematic diagram of a head flying over aperpendicularly magnetized recording medium. Each up or downarrow in the recording medium represents a bit. Used withpermission from HGST.

magnetic data storage film (∼12–15 nm), and a thin protectivecarbon overcoat (∼3 nm) [5]. A thin layer of lubricant (∼1 nm)is applied to the surface.

The current technology used in HDDs is perpendicularrecording [6], shown schematically in figure 1. Each upor down arrow represents a bit, and consists of fewer thanone hundred nanoscale (∼8 nm diameter) grains. The filmis deposited so that there is only weak exchange couplingbetween grains, in order to achieve narrow bit boundaries [7].Each grain has a perpendicular easy axis, meaning that the fieldfrom the write-head can set the magnetization of the grains ineach bit to point ‘up’ or ‘down’ relative to the plane of the disk.Data are read back by moving the read-head along the track anddetecting the magnetic flux that collectively emanates from thegrains that comprise each bit.

Increases in storage density are achieved by reducing thearea taken up by each bit. One method is to reduce the numberof grains that are contained in an individual bit. However,future reduction is limited due to the requirement that therecording system has an adequate signal-to-noise ratio (SNR),which is proportional to the number of magnetic grains per bit[8]. A second method is to reduce the grain size. Historically,this has been the key driver of areal density growth, althoughrecently this trend has faltered as it has become increasinglydifficult to produce grains smaller than 8–9 nm with narrow sizedistributions and narrow distributions of magnetic properties.

The energy barrier that separates a grain’s two stablemagnetization states is approximately equal to KuV , where Ku

is the magnetic anisotropy of the material, and V is the grainvolume. Therefore as the grain volume is reduced, the energybarrier becomes lower. When the size of the barrier becomescomparable to the thermal energy, kBT , thermal fluctuationscan spontaneously flip the magnetization of the grain. For aHDD to retain information for 10 years, a widely used metricis that KuV/kBT > 60 is required [9]. Therefore this physicallimit, termed the superparamagnetic limit, sets a boundaryon further decreases in grain size. Further decreases in V

would be possible if the value of Ku was increased by using arecording material with higher anisotropy. However, that leadsto the problem of writability, as there is a limit to the writefield that can be produced based on the available write-head

Figure 2. A schematic of how bits are stored in conventionalgranular media and BPM. Used with permission from HGST.

materials [7]. These three conflicting requirements betweenSNR, thermal stability, and writability, popularly termed themagnetic recording trilemma [10], are hindering further arealdensity growth. The highest storage density that can beachieved with perpendicular media is predicted to be 1 Tb in−2,after which further areal density increases will require a newstorage technology.

There are two main approaches to enable growth beyond1 Tb in−2. The first, termed heat assisted magnetic recording(HAMR) [11], is focused on increasing the value of Ku. Toenable the write-head field to switch the magnetization, thecoercivity can be reduced immediately prior to writing byheating the bit with, for example, a laser. The introductionof HAMR whilst still using conventional granular media hasbeen predicted to raise the storage density limit to between 3and 5 Tb in−2 [12]. However, in practice this is proving verydifficult to achieve, in part due to the difficulties of continuingto scale the grain size in conventional granular media, anddespite a significant research and development effort, reliabledemonstrations have yet to reach 1 Tb in−2.

The second approach, termed bit patterned media (BPM),offers a true alternative. Here thermal stability is obtainedby increasing the value of V , and this is explored in the nextsection.

2. Bit patterned media

The concept of BPM is to pattern a magnetic film intoindividual islands, where each magnetic island stores onebit. Figure 2 shows a schematic of the difference betweenbits stored in conventional granular media and BPM. Asthe bit boundaries are defined physically by the boundaryof each island, the original film can be deposited so thatthere is strong exchange coupling between the individualgrains within an island. This coupling results in V being thevolume of the island instead of the volume of an individualgrain [13], allowing the size of a bit to be decreased, whilst

2

Page 4: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

still maintaining thermal stability and producing an adequateSNR. If introduced using conventional write-head technology,BPM is estimated to enable areal densities up to 5 Tb in−2

before writability becomes an issue [14]. For a combinedHAMR-BPM system, estimates of the maximum possible arealdensity range from 20 Tb in−2 [15] to 100 Tb in−2 [16] usingFePtX media, and up to 300 Tb in−2 in an idealized caseusing SmCo media [17]. However mass producing arraysof nano-sized magnetic islands is not an easy task, and therequirements placed on their properties are stringent.

The topographical requirements are that the placement andsize of each bit must be precise. Accurate placement is requiredto achieve write synchronization between the read/write-headand the underlying bits. Bit size must not be so great thatadjacent bits touch and magnetically couple, and not so smallthat the bit becomes superparamagnetic [18]. Both the bitplacement distribution and size distribution must be no greaterthan 5% (1σ ) [19, 20].

The magnetic requirements are that the switching field ofeach bit (the field that must be applied to flip the magnetization)must fall within a narrow range. A wide switching fielddistribution (SFD) would mean that the write field could notflip the magnetization of high anisotropy bits. This could beovercome by using a higher write field, but this is limited bythe field that write-heads can practically produce, and alsoby the requirement that the write field is not so strong as toaccidentally overwrite an adjacent bit, which could have alower switching field.

The primary contributor to SFD broadening is an intrinsicvariation of anisotropy in the thin film from which the bits arefashioned [21, 22]. However variations in island shape, size,and edge defects will also cause SFD broadening. Thereforerealization of a narrow SFD will require optimization ofthe film deposition, patterning and etching processes. Oneadditional factor that contributes to SFD broadening is dipolarinteractions from adjacent bits. This can be reduced bydecreasing the magnetic moments of the bits, although a certainmagnetic moment is required for the read-head to be able todetect them [23].

The focus of this review is to examine the progress towardsachieving mass production of BPM platters that meet the bitplacement and size distribution requirements. However thetuning of the magnetic film properties in order to reduce theSFD of the bits is also a significant challenge that must beovercome.

2.1. Top down assembly

The technique most commonly used to produce nanoscalepatterns over a large area is lithography. Various forms oflithography exist and can be used to fabricate the structuresneeded for BPM. Examples of these are photolithography,interference lithography (IL), and electron beam lithography.In these methods, a resist is exposed to a particular type ofradiation, creating a pattern which can then be transferredto a magnetic film. For 1 Tb in−2 BPM, dots would need tobe patterned with a period (dot centre–dot centre distance) of∼25 nm, and a dot diameter of 12.5 nm for 50% linear filling

factor, or 15–18.75 nm for more commonly seen 60–75% linearfilling factors.

Photolithography is the primary lithography techniqueused by the semiconductor industry. UV light is shone throughan optical mask to transfer the pattern onto an underlyingresist. It cannot produce features smaller than the diffractionlimit, determined by the numerical aperture of the optics andthe wavelength of light being used. However its resolutioncan be enhanced by using immersion lithography, where thegap between the final lens and wafer surface is filled by aliquid medium with a refractive index greater than 1, andalso by using multiple patterning techniques. The currentindustry standard wavelength of 193 nm has achieved featuresizes of 19 nm [24]. Feature sizes required by patterned mediacould potentially be produced using extreme ultraviolet (EUV)lithography with 13.5 nm wavelength, which is likely to bethe next technology used by the semiconductor industry [25].However the required island size is more than 2× smaller thanwhat the semiconductor industry is targeting for the rest ofthis decade, and the large volume required (roughly 1 billiondisks per year) compared with the much smaller total numberof wafers produced by the semiconductor industry meansthis would likely be a very expensive option for patternedmedia [26].

An alternative solution could be to use IL, where a patternof fringes is produced using two interfering optical beams [5].The advantage of this technique is that periodic patterns canbe produced over large areas without needing a correspondingoptical mask. Solak and Ekinci [27] have demonstrated a1 Tb in−2 hole-array pattern in calixarene resist, as can beseen in figure 3(a). They believe that an ultimate density of13 Tb in−2 could be achieved if resists of required resolutionwere developed. However, their technique, termed EUV-IL[28], also requires wavelengths smaller than those that can beproduced with commercially available sources. For their workthey used a synchrotron source, which would be unfeasiblefor mass production although could conceivably offer a routeto the fabrication of master templates. Additionally, rotatingdisk storage requires arrays with circular symmetry which addscomplication in generating the interference pattern. However,EUV-IL has demonstrated concentric circular light patternsthrough the interference of two spherical beams (where thespherical beams are created using Fresnel zone plates) [29],which could be used as a first step in creating BPM with circularsymmetry.

The most established tool that has demonstrated consistentresolution below 25 nm is electron beam lithography (EBL)[4]. This writes a pattern into a resist with a directed beamof electrons [31]. This can typically pattern dots as smallas 8 nm diameter, and has demonstrated a resolution of 2 nmunder specialized conditions [32]. An array of 1.1 Tb in−2 dotspatterned into resist using EBL can be seen in figure 3(b).

The period of the bits created using this technique islimited by the proximity effect, which causes adjacent featuresto overlap due to the scattering of the electrons within theresist [33] and backscattering of electrons from the substrate.The accuracy of the patterns is determined by the stabilityof the instrument, both in terms of the mechanical stages

3

Page 5: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 3. (a) SEM image of a square hole-array pattern with 25 nmperiod formed in calixarene resist using EUV-IL [27]. (b) SEMimage of 30 nm thick HSQ resist patterned with pitch of 24 nm(∼1.1 Tb in−2) using EBL [30]. Figures reprinted with permission.Copyright 2007, American Vacuum Society.

(e.g. temperature stability of the cleanroom) and the electrondeflection mechanism. Also EBL is a slow, serial technique,and clearly cannot meet the requirement of up to 1000double-sided disks per hour [34]. However, if a mastertemplate could be fabricated using EBL, it could then bereplicated many times using nanoimprint lithography (NIL)[35], a 1× replication technique where a master template isstamped into a resist. This dual EBL-NIL approach is thecurrent focus of industry efforts to enable mass productionof BPM HDDs. NIL is introduced below before section 9provides an in-depth survey of current capabilities.

2.1.1. Nanoimprint lithography. NIL is a 1× lithographywhere a template is pressed into a material in order toform a pattern. Several nanoimprinting processes have beenreported in the literature, including thermal imprint lithography[35] and ultraviolet (UV) cure imprint lithography [36]. Acomprehensive review was given by Schift [37]. UV cureNIL is presently the leading candidate for patterned mediafabrication because of throughput and imprint uniformity [4],and this is reviewed in this paper.

Figure 4 shows a simplified illustration of the UV-nanoimprint process. It is performed at room temperatureand pressure. A resist is applied onto the surface by either

Figure 4. Illustration of the UV nanoimprint process. (a) Resist isdeposited onto a substrate, and a UV-transparent template ispositioned above. (b) The template is pressed into the resist and UVlight is shone through the template to cure the resist. (c) Thetemplate is released leaving the cured resist with the imprintedfeatures.

spin-coating a moderate viscosity resist, or locally dispensinga low viscosity resist from an inkjet head [37]. A transparentmaster template is then pressed into the resist such that theresist fills the pattern in the template. After filling, a UV lightsource is used to cure the resist. Provided the surface energy ofthe template and cured resist is low, the template and imprintedsubstrate are separated [34].

Following a base layer etch to remove the residual resistlayer, the imprinted dots can be transferred to magnetic bits.This is discussed in section 5.3.

2.2. Bottom up assembly

Bottom up assembly, and the related term self-assembly [38],involves a molecular system lowering its free energy, andforming organized patterns/structures in the process. As theterm self-assembly is used so broadly, it is hard to generalize,but typical advantages over top-down assembly are that smallerfeature sizes can be achieved at low cost over a large area.The regular patterns that result could be used in BPM, whichrequires a regular array of magnetic dots.

One such bottom-up approach to forming BPM is touse block copolymers (BCPs). These materials are knownto form periodic patterns when annealed. Using BCPs as

4

Page 6: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Table 1. Resolution limits and relative costs of the most promising lithographic methods for BPM fabrication.

Technique Smallest feature size (nm) Initial investment Operating cost Ref

Photo-lithography 19 $$$$ $ [24, 25]Interference lithography 12.5 (ultimately 6.5) Technology not proven [27]EBL (master only) 8 (2–specialized conditions) $$ $$ [32]NIL (replication only) 5 $$$ $ [34, 35]BCPs 3 $ $ [39, 40]

a method to create BPM is attractive as they are cheap,available commercially and can form structures with a range ofperiodicities and sizes. However generating uniform patternsover a large area with circular symmetry is not possibleusing BCPs alone. A solution is to use EBL to direct theself-assembly of the BCP. Combining BCPs with EBL offersthe significant benefits of correcting EBL accuracy limitations(pattern rectification) and reducing EBL write times (densitymultiplication), discussed further in section 4. BCPs willbe briefly introduced before sections 4, 6 and 7 take an in-depth look at current approaches to BCP directed self-assembly(DSA).

Table 1 summarizes the various lithographic techniquesthat can be used to pattern magnetic media along with theirassociated resolution limits and relative costs.

3. Block copolymers

Since their initial synthesis almost 60 years ago, BCPshave attracted significant interest as self-assembling materials[41]. This interest stems from their ability to self-assembleinto patterns at equilibrium with a nanometre sized patternperiodicity. There are various types of BCPs commerciallyavailable, for example, triblock and starblock [42–45].However this review will focus solely on the simplest typeof BCP, the diblock, as this has predominantly been used inthe fabrication of BPM.

A diblock copolymer consists of two polymer chains(components), labelled in figure 5 as A and B. These twocomponents have different physical properties, so ordinarilythey would phase separate like oil and water. However to formthe diblock copolymer, they are covalently bonded together, sothat this macroscopic phase separation is not possible. Insteadto lower the free energy, microscopic phase separation occurswhen a BCP film is annealed that results in the formation of aregular pattern [46].

The type of pattern is determined by the compositionof the diblock. A key parameter is the volume fraction ofeach component (where VA + VB = 1). The values ofVB shown in figure 5 are specific to the BCP polystyrene-polymethylmethacrylate (PS-PMMA). If both componentscontribute equally to the total volume of the diblock, so thatVA = VB = 0.5, a lamellar pattern is formed (figure 5 lowercentre). This lamellar pattern is stable so long as 0.37 < VB <

0.63. If 0.33 < VB < 0.37 an interconnected gyroid pattern ofthe B components inside the A matrix is formed (not shown).For 0.21 < VB < 0.33 the B component forms hexagonal closepacked (hcp) cylinders inside the A matrix. For VB < 0.21a hcp array of spheres is formed, provided that the film is

Figure 5. Morphologies formed in a diblock copolymer for variousvolume fractions, VB, of component B. Reprinted with permissionfrom [47]. Copyright 2007 PennWell Corporation.

about one period thick to form a monolayer of spheres. Inversestructures are formed for VB > 0.63.

As well as having different physical properties, eachcomponent of the BCP will also have different chemicalproperties. This makes BCPs ideal for lithography, as onecomponent can be selectively removed from a BCP film,leaving, for example, an array of cylinders or spheres that canbe transferred into magnetic islands. Details of these processesare given in section 5.

Two key parameters of a BCP are χ and N , where χ

is its Flory–Huggins interaction parameter (a measure of thedriving force for microscopic phase separation), and N is thedegree of polymerization (number of monomer repeats in thechain). The phase separated structure is only stable providedthat χN � 10 [48]. To reduce the period of the structuresin the BCP film, it’s necessary to reduce N , as the period isproportional to N2/3χ1/6 [48], but the χN � 10 conditionimposes a limit on the smallest achievable BCP period.

The majority of BCP research for commercial applicationshas used PS-PMMA, which has multiple properties that makeit ideal for lithography [49]. However it has a relatively low χ

value of ∼0.05 [50]. PS-PMMA periods down to 19 nm havebeen reported [51], although for defect free phase separationover large areas, 22 nm is currently considered to be the limit[26]. This limits the achievable areal density to approximately1.5 Tb in−2 for hcp circular bits, or 1 Tb in−2 for rows ofrectangular bits with 1.5 length/width ratio [26]. In the longterm it will be necessary to develop processing with alternativeBCPs with higher χ values which can enable periods down to8 nm [52]. However in the short term a lithography techniquetermed ‘line frequency doubling’ (discussed in section 5.4)

5

Page 7: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

may be used after the BCP assembly stage to halve the originalperiod of PS-PMMA. If used in both x and y directions, thishas the potential to quadruple the original areal density.

4. Directed self-assembly

The ability of BCPs to self-assemble into ordered patternswithout defects is one of their main advantages for their usein BPM formation. However these ordered patterns do notextend over a large area, as instead boundaries form betweensmall areas of ordered patterns. Therefore BCPs cannot, ontheir own, be a useful tool for the production of BPM [5],[46]. Instead, by combining ‘top-down’ templating with the‘bottom-up’ self-assembly of BCPs, the ordered pattern areacan be made arbitrarily large [53–55]. Placement jitter, featuresize distribution, and pattern registration can also be improvedby this DSA approach [56].

Many techniques have been investigated to control thepositioning of BCPs. These include temperature gradients[57], electric fields [58], shear fields [59] and directionalsolidification [60]. An earlier review by Darling [61]comprehensively covers the various techniques. Whereasall these techniques provide orientational ordering, onlygraphoepitaxy and chemoepitaxy provide additional controlover translational ordering and feature registration [62].

Graphoepitaxy (explored in section 6) uses physicalcontrol—by patterning physical features into the substratesurface, a BCP film will self-assemble around, or within thesefeatures. Chemoepitaxy (explored in section 7) uses chemicalcontrol—by patterning chemical regions onto the substratesurface, it becomes thermodynamically more favourable forone of the BCP components to assemble on top of thechemically modified region, allowing component position tobe directed.

The use of these epitaxial techniques confers twoadvantages. The first is ‘density multiplication’. Rather thanpatterning the whole substrate surface at a density equivalentto the BCP period, a sparse pattern can be written instead,reducing EBL write times. Provided the pattern is not toosparse, it will have the effect of directing the self-assemblyof BCPs, which ‘fill in the gaps’. A density multiplicationfactor can be obtained in these experiments—for example, iffor every four resulting magnetic dots, only one of them wasdirectly patterned by EBL, this is a density multiplication factorof 4, and corresponds to a 75% reduction in EBL write time.

The second advantage is termed ‘pattern rectification’.For example with trenches used in graphoepitaxy, EBL doesnot create perfect edges, resulting in line edge roughness(LER). BCP components adjacent to the trench walls willfollow the LER, but BCPs further from the walls have a moreuniform placement due to their strong lateral ordering. In thecase of chemically patterned regions (chemoepitaxy), the BCPcomponent position is not solely influenced by the placementof the underlying chemical region, but is also influencedby the placement of surrounding components. Thereforesmall deviations in chemical region placement caused byEBL accuracy limitations tend to get averaged out, with theoverlying BCP film having a tighter placement distribution.

Figure 6. Formation of magnetic arrays by an additive process.(a) The substrate in this example has had a trench cut into it.(b) PS-PMMA is spin-coated onto the substrate. (c) Annealing ofPS-PMMA forms ordered BCP components. (d) Removal ofPMMA blocks by etching. (e) Deposition of magnetic cobalt metal.(f ) Lift-off of PS matrix removes unwanted metal, leaving an arrayof Co dots. Reprinted with permission from [66]. Copyright 2005Institute of Physics.

Once the BCP components are arranged into the requiredpattern, a technique is required to transfer the pattern intomagnetic dots. These techniques are introduced in the nextsection, so that the link between BCPs and the final magneticarrays can be demonstrated. Sections 6 and 7 then discuss ingreater detail the grapho- or chemoepitaxy methods used toarrange BCP components into the required pattern.

5. Creating magnetic arrays from BCPs

Once the BCP components are arranged in a suitable pattern,transfer processing is required to convert the components intomagnetic dots. Section 5.1 explains how this can be carriedout directly.

The downside of direct conversion is that the BCP DSAprocess will have produced only one magnetic array. AsBCP DSA is a time consuming process, mass productionof HDDs will require many magnetic arrays (disks) to beproduced from a single original sample of ordered BCP. Thiswill be achieved by converting the BCP components intoa topographic template, which can be used as the masterimprint template in NIL (section 9). Section 5.2 explores theprocessing required for this conversion into a NIL template,and section 5.3 discusses the subsequent processing requiredto convert imprinted substrates into magnetic dot arrays.

5.1. Direct conversion to a magnetic array

A magnetic array can be made directly from BCP componentsusing either an additive or subtractive process, or by etchingthe underlying substrate.

5.1.1. Additive film processing. Additive processing isdemonstrated in figure 6 with the BCP PS-PMMA. On thesubstrate in figure 6(a) (here shown with a trench cut into thesubstrate) PS-PMMA is spin-coated (figure 6(b)). Thermalor solvent annealing is used to aid micro-phase separation, sothat BCP ordering occurs, as shown in figure 6(c). Etching ofone of the components then takes place. For PS-PMMA, the

6

Page 8: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

PMMA components can be selectively removed by exposureto UV radiation followed by an acetic acid rinse [63] as usedin this example, or by oxygen plasma treatment [64]. Theplasma etch contrast between the components is only about2, which is lower than the wet etching process, but it betterpreserves the structure and dimension of the PS matrix withoutsidewall collapse or excessive roughness [65]. Etching of thePMMA blocks is shown in figure 6(d). A film of the magneticmaterial is then deposited as shown in figure 6(e). The filmthat deposits in the empty cylinders makes contact with thesubstrate, whereas the remainder sits on the PS matrix. Finallylift-off is carried out by removing the PS matrix, which liftsoff the magnetic material on top, leaving magnetic arrays inthe original PMMA pattern, as shown in figure 6(f ).

5.1.2. Subtractive film processing. Subtractive processingallows the magnetic properties of the thin film and the patterntransfer process to be optimized independently. In a subtractiveprocess, the magnetic material is deposited first, followed byspin-coating of the BCP film. After annealing, one of the BCPcomponents can be etched, exposing the magnetic materialunderneath in the pattern of the removed component.

An example of subtractive patterning was performed byCheng et al [67] to pattern a Co film. Ideally one componentof the BCP could be used directly as an etch mask for theCo, but in practice this is not possible. A silica layer is usedin this example to promote good wetting of the substrate bythe BCP. Co cannot be etched by a RIE process because itdoes not form volatile products, and instead requires physicalsputtering with Ar+ ions (known as ion beam etching or ionmilling). A hard mask of W is required for this process. Ontothis stack of silica, tungsten and cobalt, a thin film of the BCPpolystyrene-polyferrocenylsilane (PS-PFS) was spun and thenannealed. Oxygen plasma was used to etch the PS, followedby patterning of the silica using CHF3 RIE. Next a CF4 andO2 RIE was used to transfer the pattern into the tungsten layerbefore ion milling was used to generate the final pattern ofcobalt islands. This process is shown in figure 7.

5.1.3. Substrate etching. An alternative technique is to etchthe underlying Si or glass substrate to form pillars, as describedin section 5.2. Rather than using it as an imprint template,a magnetic film can be sputtered directly on top of the Sistructures as shown in figure 8. As the film is added on top,it can be classed as an additive process, although it differsfrom the process described in section 5.1.1 as the film thatis deposited in the trenches between the pillars is allowed toremain, rather than being removed by a lift-off process.

Hu et al [68] defined that the minimum trench depth mustexceed the film thickness in order to preclude direct contactbetween the magnetic layers on pillar tops and trench bottoms.These authors reported a compromise design of a trench depthof 24 nm and film thickness 10 nm. Hellwig et al [23] used apillar height of 53 nm with a film thickness of 14 nm to ensureexchange decoupling of island and trench material, such thatthe islands reverse as individual units. Care must be takenwhen analysing data from experiments on these arrays to besure that the signals of interest are coming from islands rather

Figure 7. Subtractive method of forming BPM. (a) The BCP on amultilayer of thin films of silica, W, Co, with Si as the substrate.(b) O2 plasma used to selectively etch the PS. (c) Patterning of thesilica by CHF3 RIE. (d) CF4 + O2 RIE used to pattern the W layer.(e) High pressure CHF3 RIE is used to remove the silica and residualpolymer. (f ) Ion milling generates the desired Co array. Reprintedwith permission from [67]. Copyright 2001 John Wiley & Sons, Inc.

Figure 8. SEM image of 100 nm wide lines separated by 50 nmtrenches covered by a multilayer film where the structure isSiO2/50 Å Pd/(3 Å Co/8 Å Pd)10/10 Å Pd. Reprinted withpermission from [68]. Copyright 2004, AIP Publishing LLC.

than trench material. In practice this is often straightforwardas they have quite different switching fields.

5.2. Conversion to a master imprint template

A working template will consist of patterned quartz (SiO2),whereas submaster templates (used as intermediate NIL

7

Page 9: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

templates, described further in section 7.2) will consist ofpatterned Si. Suitable transfer processes are required totransfer BCP patterns into these materials. Wan et al [69]have reported how this can be achieved. PS-PMMA wasspin-coated, and PMMA was selectively removed. Cr was thendeposited, and a lift-off process left Cr as a mask in the originalPMMA locations. Fluorine-based RIE was then used to etchthe underlying substrate in both cases (quartz and Si) leavingtopographic pillars. A related process that deposits spin-onglass following Cr deposition has been reported to result inimproved transfer at sub-27 nm period [26].

5.2.1. Sequential infiltration synthesis. BCP films are thinand their components typically erode quickly in a plasma,meaning the masking BCP film is completely removed beforefeatures with sufficient depth are transferred into an underlyingsubstrate [70]. For this reason, an alternative hard masklayer is required, for example Cr formed by lift-off asdescribed in section 5.2 for forming topographical features ina quartz or Si substrate. The usage of hard mask layers addscomplexity to the process, and requires materials satisfyingseveral requirements which can be hard to find [71].

Recently a new process termed sequential infiltrationsynthesis (SIS) [72, 73] has been proposed to remove the needfor a hard mask layer, and allow a BCP pattern to be transferreddirectly into the underlying substrate. SIS makes use ofthe more established technique of atomic layer deposition(ALD) to infiltrate a polymer with inorganic materials. Theapplication of SIS to the BCP PS-PMMA is described asfollows: a PS-PMMA film is placed into an evacuated ALDreactor and first exposed to gas-phase trimethyl-aluminium(TMA). The TMA molecules are able to diffuse through thefilm where they selectively react with the carbonyl groupson the PMMA chains. The chamber is then evacuatedbefore being purged with N2 to remove unreacted TMA andbyproducts. Water vapour is then introduced to the chamberwhere it reacts with the TMA molecules bound to the PMMAchains, forming Al2O3. Subsequent SIS cycles can be used toincrease the amount of Al2O3 within the PMMA componentsby growing material from aluminium oxide seeds nucleatedduring the first cycle. The SIS-modified PMMA componentsare resistant to a variety of plasma chemistries, which canallow pattern transfer into diverse substrate materials. Thefull process as demonstrated by Tseng et al [70] is shownin figure 9. The PS-PMMA has SIS applied to it toform Al2O3 within the PMMA components (figure 9(a)).Oxygen plasma is then used to remove the PS component(figure 9(b)). Breakthrough of the thin surface alumina layeris achieved using BCl3-based plasma (figure 9(c)). Theunderlying substrate is then etched using an appropriate plasmachemistry (figure 9(d)). Finally the SIS-modified resist can beremoved by wet etching (figure 9(e)), for example in a bath oftetra-methyl-ammonium-hydroxide [74], leaving topographicpillars (figure 9(f )).

Ruiz et al [75] combined DSA of 41 and 27 nm periodlamellar PS-PMMA with SIS to etch 20 nm deep into aSi substrate. They found that three TMA/water exposurecycles gave the best tradeoff for forming a continuous hard

Figure 9. Substrate patterning using SIS. (a) SIS is used to infiltratealumina into PMMA components. (b) Oxygen plasma removes theunreacted PS components. (c) Breakthrough of thin surface aluminalayer using BCl3-based plasma. (d) Etch into substrate using theappropriate plasma chemistry. (e) Removal of mask. (f ) End resultof topographic pillars. Adapted with permission from [70].Copyright 2011 American Chemical Society.

mask pattern without generating excessive pattern distortion.The resulting sidewall etch profiles of the Si structures weresufficiently vertical, with a flat top surface, and LER that meetsthe BPM specification. Occasional defects such as broken linesand pattern bridging resulted due to the level of aluminiumoxide infiltration varying, meaning that further work is requiredin finding conditions that mitigate this.

5.3. From imprinted resist to BPM platter

Once the master template is fabricated it can imprint manysubstrates. Resist is dispensed onto a Si substrate, and themaster template is used to imprint the resist in the mannerdescribed in section 2.1.1. Following a base layer etch toremove the residual layer, there are two possible methods totransfer the imprinted dots into magnetic bits.

In an additive process, substrate pillars are created fromthe imprinted resist using the same methods as in section 5.2.The magnetic film is then deposited on top of these pillars,leaving a result similar to figure 8. It also shares the same issuesof magnetic noise from the magnetic material in the trenchesbetween the bits [76], although the spacing difference betweenthe read head and the magnetic material reduces this effect.

Instead, a subtractive process is likely to be favoured.Here, the magnetic film is deposited first before dispensingresist and imprinting. The underlying magnetic film is thenetched. Etching can be done using a carbon hard mask andion milling or ion implantation [52]. Albrecht et al [52]achieved this using a thin (6–8 nm) CoCrPt film, resultingin magnetic islands at 1 Tb in−2. These islands had excellentthermal stability in the range of KuV/kBT = 150 or higher,suggesting good extendibility for recording at higher densities.

5.4. Line frequency doubling

An additional lithographic process can be carried out after BCPfilm deposition in order to increase the resulting areal density.This process is termed line frequency doubling, of which thereare two main types—double lithographic patterning (DLP)[77], and spacer defined double patterning (SDP) [78].

8

Page 10: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 10. Line frequency doubling. (a) Initial BCP pattern.(b) BCP trimming. (c) Pattern transfer to first SiOx hardmask.(d) Pattern transfer to sacrificial carbon layer. (e) Spacer depositionand anisotropic etch back. (f ) Removal of sacrificial carbonbetween spacers. (g) Pattern transfer from spacers to Cr hardmask.(h) Si substrate etch. (i) Wet etching of remaining Cr. Reprintedwith permission from [49]. Copyright 2012 Society of PhotoOptical Instrumentation Engineers.

Patel et al [49] have recently demonstrated the use of SDPfollowing lamellar PS-PMMA phase separation in order toachieve lines with a full period of 13.5 nm—half the original27 nm period of the PS-PMMA. Figure 10 illustrates their SDPprocess. The PMMA blocks have been removed, leaving PSblocks on the surface (figure 10(a)). The width of the PS blocksare trimmed to become roughly 1/4 of the starting periodwith oxygen based plasma (figure 10(b)). Fluorine basedplasma transfers them into the SiOx cap layer (figure 10(c)).Oxygen based plasma then transfers them into the sacrificialcarbon layer, leaving carbon pillars (figure 10(d)). Nexta spacer material is deposited which surrounds the pillars(figure 10(e)). The carbon pillars are removed with RIE,leaving spacer pillars at a density double that of the originalPS blocks (figure 10(f )). Cl-based RIE transfers them into theunderlying Cr hardmask layer (figure 10(g)), and a fluorine-based RIE transfers them into the Si template (figure 10(h)).Any remaining Cr hardmask is removed with wet chromeetchant, leaving Si pillars (figure 10(i)).

Although this is a long sequence of steps, it would onlyhave to be carried out when producing a master template.This could potentially be used with the lithographic techniquesdescribed in section 7.2 to halve the period in both x and y

directions.

6. Graphoepitaxy

Graphoepitaxy is a technique where physical patterns arewritten into a substrate in order to direct self-assembly of

Figure 11. Simplified process flow showing the formation of tracksof BPM via graphoepitaxy. (a) A template is used to form trenchesin a resist. (b) A BCP is then deposited so that it fills the trenches,and forms an ordered pattern when annealed. (c) The trench wallsand PS component can subsequently be removed, and (d) the BCP istransferred into the underlying magnetic layer. Adapted withpermission from [81]. Copyright 2013 IEEE.

BCPs. Any arbitrary pattern can be written and filled with aBCP, and in section 8 we show that this is advantageous whencreating servo patterns with shapes that are not amenable tochemoepitaxy DSA.

6.1. Templates with deep topographical features

Patterning deep topographical features into a template makes itrelatively easy to control where the BCP is located, as it ‘fillsin the gaps’. This will be explored first before sections 6.2and 6.3 look at advantages of using shallower features.

6.1.1. Topographical trenches. To date the most widelyresearched graphoepitaxy patterns have been grooves ortrenches [79]. Templates with trenches cut into the substratecan be formed using EBL followed by etching. A BCP is thendeposited so that it fills the trenches, and annealing results inordered pattern formation. An alternative method is to use aNIL template to pattern trenches into a resist rather than thesubstrate [80]. A simplified schematic of this can be seenin figure 11(a), followed by filling the trench with a BCPand annealing (figure 11(b)), and transfer into the underlyingmagnetic layer (figures 11(c) and (d)). It can be seen that thetrench walls are removed, so the resulting BPM consists oftracks of magnetic islands on a flat surface.

In an early demonstration of graphoepitaxy, Segalmanet al [82] patterned trenches ranging from 1 to 10 µm width intoa SiO2 layer using photolithography. Polystyrene-block-poly-2-vinylpyridine (PS-P2VP) self-assembled within the trenchesinto spherical components, parallel to the trench pattern, anddemonstrated long-range order. However this process haslimitations, because beyond a certain trench width, orderingof the BCP components diminishes.

To demonstrate this, Cheng et al [79] patterned 80 nmdeep trenches into a SiO2 substrate using EBL. The trench

9

Page 11: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 12. Plan-view scanning electron micrograph of orderedarrays of PFS components with N = 2 to 12 rows. Reprinted bypermission from Macmillan Publishers Ltd: Nature Materials [79],copyright 2004.

Figure 13. This graph shows the number of rows of BCP that can fitinto a trench of a particular width. As width increases, there areincreasingly large width regions where N or N + 1 rows are stable.The confinement width is expressed in terms of d, the equilibriumrow spacing, which is 24.8 nm in this polymer. Reprinted bypermission from Macmillan Publishers Ltd: Nature Materials [79],copyright 2004.

widths varied from 60 to 500 nm, which can accommodate2–19 parallel rows of the spherical components of PS-PFS.Figure 12 shows the ordered arrays of the PFS spheres (afterremoval of the PS matrix) arranged within the increasing trenchwidths in the substrate. Order remains until there are morethan 12 rows of PFS spheres in a trench. Above this the BCPmay form either N or N +1 rows. This probability is representgraphically in figure 13 and leads to a breakdown in long rangeorder. Because a certain minimum trench width is required forordering to occur, a significant area of the surface is taken upby the gaps between the trenches. Therefore, although thismethod is attractive due to its simplicity, it has a downsideof compromising the storage space. In addition, trenchesonly provide 1D guidance, and do not prevent translationalwander over long distances of the BCP pattern in the downtrackdirection.

Figure 14. (a) Top-down and side-view schematic of PS-PDMSself-assembling onto the template directed by the chemicallyfunctionalized topographical posts. (b) SEM of the formation ofsmall area ordered regions of PS-PDMS without the use ofgraphoepitaxy. (c) SEM of long range order obtained by usingtopographical posts to create a sparse template. Reprinted from [83]with permission from AAAS.

6.1.2. Topographical posts. A sparse pattern oftopographical posts on the substrate surface can be used toguide the self-assembly of BCPs. Each post is designedto act as a surrogate spherical or cylindrical component ofthe minor component of the BCP, and be nearly chemicallyand physically indistinguishable from the BCP entity forwhich it substitutes [83]. Therefore it is necessary tochemically functionalize the posts. This is typically doneusing a random copolymer such as polydimethylsiloxane(PDMS) homopolymer brush [83, 84] or hydroxyl-terminatedPS-PMMA [66, 85].

It should be noted that if posts are used to template theBCP, and the components are then directly converted intomagnetic dots, the posts will occupy positions where magneticdots would otherwise be located. This means the densitymultiplication factor is less than theoretically predicted andwould also cause issues in a practical BPM HDD as magneticdots would not be present at all the locations where data couldbe recorded. However, if the posts and BCP components canbe converted into topographically identical features and usedas a master template in NIL, the density multiplication factorand recording integrity would be restored.

Bita et al [83] used scanning EBL to create posts of acomparable size to the spherical component of PS-PDMS.Figure 14(a) illustrates the topographic and chemical designof the posts used. The template was functionalized with a shortPDMS homopolymer brush, and a post substitutes for a PDMSsphere in the close-packed array. Figure 14(b) illustratesthe small regions of order that result without templating,whereas figure 14(c) demonstrates that long range order isachieved when using a sparse 2D lattice, resulting in a densitymultiplication factor of 9.

In a similar fashion to Cheng et al [79] (figure 12),this group investigated how sparse it is possible to make thetemplate pattern while maintaining long-range order. Theydemonstrated BCP patterns ordered by posts with densitymultiplication factors as high as 20. For even higher densitymultiplication, a rectangular guiding pattern is reported tobe superior to a hexagonal guiding pattern [86]. In atheoretical study, Tang and Ma [87] explored the highestdensity multiplication factor that could be achieved using a

10

Page 12: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 15. An illustration of a rectangular lattice template to inducethe final equilibrium morphology of the BCP components.Reprinted from [87] with permission of The Royal Society ofChemistry.

rectangular lattice of cylindrical posts, illustrated in figure 15.Using a suitable BCP they predict that the spatial frequency inthe x direction can be multiplied by a factor of 2, and in the ydirection by a factor of 17, resulting in a density multiplicationfactor of 34.

The high density multiplication factors achieved by theuse of posts would enable a significant reduction in EBL writetime. On the other hand, placement deviation tends to increaseas guiding patterns are made more sparse, so there is a limit tohow far it is wise to go. An array of posts provides 2D guidance,rather than just 1D guidance as with trenches. However, thepresence of non-magnetic posts along a track of magnetic isl-ands would complicate BPM operation. This could be avoidedif both the BCP components and posts could be transferred totopographically identical features in a NIL template, althoughto our knowledge this has not yet been demonstrated.

6.2. Shallow topographic features

One of the main issues with using deep trenches to directthe self-assembly of BCPs is that their depths are larger thanthe centre-to-centre distance between the BCP components.This results in BCP being restricted to trenches, and wouldcompromise storage space in a BPM HDD. Instead, ifshallow features are used, BCP ordering can be achieved overmacroscopic length scales [88].

Park et al [89] demonstrated this concept by using areconstructed crystal surface to direct ordering, as shownin figure 16. Large, defect-free single-crystalline wafers ofsilicon or sapphire were used as the substrate. The singlecrystals were cut along specific crystallographic planes, whichupon thermal annealing generated a sawtooth topography overthe entire substrate surface as the surface reconstructs andcrystal facets form.

Figure 16. Schematic illustration of the strategy used for generatingthe perpendicular cylinder pattern on highly oriented crystallinefacets on a single crystal surface. (a) The M-plane sapphire wasannealed to form sawtooth patterns. (b) The flat surface of theM-plane sapphire reconstructs into facets with the (1 1 0 1) and(1 1 0 2) planes on opposite edges. (c) Smooth PS-PEO thin filmswere spin-coated onto these surfaces. (d) Solvent annealingproduced highly ordered perpendicular cylinders. Adaptedfrom [89] with permission from AAAS.

A range of polystyrene-polyethylene oxide (PS-PEO)BCPs with different molecular weights were investigated. Theself-assembly of BCPs was guided by the small amplituderidges of the facets rather than by a confinement effect betweenfacets. By optimizing the film thickness, they were ableto order the cylinders perpendicular to the surface over the25 × 25 µm2 area scanned by AFM. This technique wasdemonstrated for areal densities from 0.74 to 10.5 Tb in−2.Interestingly it was also observed that as the BCP perioddecreased, the orientation and translational order improved.These results were found to be reproducible when usingalternative BCPs (PS-P2VP and PS-P4VP).

On any single-crystalline substrate, there will be defectssuch as dislocations in the facets on the reconstructed surface.However, it was shown that the BCP self-assembly exhibitspattern rectification, meaning that BCP ordering is not affectedby the underlying defects. To demonstrate this, the authorstook an AFM image of an area of the ordered BCP film. Theythen removed the film by rinsing the substrate in benzeneand took an AFM image of the cleaned substrate at the samelocation. This revealed many dislocations in the surface whichhad not been apparent in the BCP film, showing that the BCPself-assembly overrides these defects.

Whilst 10.5 Tb in−2 is a very high areal density at whichto have demonstrated long range order, it should be noted thatthis technique does not create the circular symmetry requiredin practical BPM. In addition the BCP period is generally notcommensurate with the underlying sawtooth period (which hasrandomly occurring steps, with just an average period beingroughly constant over long distances), meaning that preciseguidance of the BCP component placement does not occur.

6.3. Circular topographies

While there has been much research and progress into reducingfeature size and increasing long range order of BCPs, mostmethods create patterns of parallel lines or arrays of dots.However, for this development to be utilized in rotating disk

11

Page 13: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 17. AFM of the spherical components of PS-PMMA formedin trench widths of (a) 60, (b) 150, (c) 200 and (d) 250 nm. Thescale bar indicates 300 nm. At this scale, the curvature of thetrenches is not apparent. Reprinted with permission from [64].Copyright 2002 IEEE.

systems, magnetic arrays must be generated with circularsymmetry [88, 90].

Naito et al [64] first demonstrated the possibility ofcreating circular arrays of BPM with the use of trenches. TheBCP PS-PMMA, was introduced onto the template with 60,100, 150, 200 and 250 nm trench widths, all 110 nm deep. Thetrenches extended around a circular 2.5 inch HDD glass platter.The BCP was then annealed and PMMA spheres with 40 nmdiameter formed within the trenches. AFM images, shownin figure 17, demonstrate that order is maintained as trenchwidth increases. Fluctuations in the size of the spheres canbe seen showing that optimization of the annealing processis required, and LER of the trenches causes deviations in thesphere positions. However, this result shows that long rangeBCP ordering with circular symmetry can be achieved.

Hong et al [88] also demonstrated the successful synthesisof circular arrays by using shallow circular trenches. Theygenerated three different patterns with varying trench widths(29, 31 and 34 nm) and respective distance between thetrenches (80, 99 and 121 nm). The BCP PS-PEO with a32.3 nm period cylindrical morphology was introduced to eachof these patterns and annealed.

The trenches had a shallow depth of only 8 nm, muchsmaller than previously reported [64, 90]. This means that BCPordering was not restricted to isolated trenches as the depth wasshallower than the centre-to-centre distance between the BCPcomponents. Hence, a thin flat film formed over a large area.In this experiment, solvent annealing caused the cylinders tolie parallel to the surface. Of the three templates generated,only the middle, M, pattern (trench width of 31 nm anddistance between trenches of 99 nm) showed a highly orderedBCP morphology. This middle region of trenches extendedaround a circle of radius 18 mm, demonstrating long rangeorder. The other two templates with the smallest and largesttopography (trench width 29 and 34 nm and respective distancebetween trenches of 80 and 121 nm) showed fingerprint typepatterns, indicating that the circular trenches did not direct theself-assembly of the BCP as required. This is understandableas only the trenches with 99 nm period are close to being an

Figure 18. (a) Superposition of an AFM image of the PS-PEOlamellae with an SEM image of the trenches. (b) Magnification ofthe white dotted box in (a). (c) Schematic diagram representing 3×density multiplication of circular patterns. Reprinted withpermission from [88]. Copyright 2011 American Chemical Society.

Figure 19. Graphical representation of the transformation of PEOcylinders from perpendicular to parallel orientation as solventannealing proceeds. Reprinted with permission from [88].Copyright 2011 American Chemical Society.

integer multiple of the BCP period of 32.3 nm. This 3× densitymultiplication can be seen in figure 18 with the superpositionof BCP and trench images.

The period of the BCP could potentially be reduced aslong as the commensurability with the trenches is maintained.Repetition of this method was performed using the BCPPS-P2VP to demonstrate its general applicability.

A graphical representation of the cylinders changing fromperpendicular to parallel orientation is shown in figure 19.By analysing AFM images, the authors demonstrated that thecylinders orientate to lie within the trenches. The resultinglamellar-like pattern is not directly useful in the formation ofBPM, and could only be directly used to form less promisingdiscrete track media. However, if the parallel cylinder templatewas used as a submaster template in NIL along with anothersubmaster consisting of radial lines, concentric circular tracksof BPM could be achieved. This is explored further insection 7.2.

Whilst the use of shallow trenches has the advantage of notcompromising storage space, a problem is the resulting height

12

Page 14: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

variations. For the previous example of parallel cylinders intrenches with 3× density multiplication, the lines of cylinderswithin the trenches would presumably be 8 nm lower than thecylinders outside of the trenches. The rms surface roughnessof recording media is required to be no larger than 0.3 nm [91],so existing designs could not operate if the resulting tracks ofmagnetic islands had a 8 nm height difference.

7. Chemoepitaxy

The second approach to directing the long range order of BCPsfor BPM applications is called chemoepitaxy or chemicalprepatterning. In this technique the surface is patterned to havetwo different chemical environments. Epitaxy occurs when theBCP is spin-coated on top, as each component of the BCP isattracted to one of the two chemically different regions. Thisweak directing influence is enough to cause ordered patternformation to occur over an arbitrarily large area, rather thanthe sub-micron sized ordering that typically occurs. An earlydemonstration of this technique was given by Kim et al [92]where photoresist was patterned into alternating lines andspaces using EUV-IL, and then exposed to x-rays, causing theexposed stripes of the underlying self-assembled monolayer tobecome polar. The photoresist was then removed, and lamellarPS-PMMA was spin-coated on top with a period equal to thestripes, so that each component was attracted to one of the tworegions. More recent work reviewed in this section uses EBL topattern the resist, and chemical etching techniques to generatechemical contrast, but the principle is the same. As withgraphoepitaxy various shapes can be created, making it a usefultechnique not only for BPM creation but for nanolithographyin general [93, 94].

7.1. Chemical prepatterning of cylinder arrays

BPM places stringent requirements on bit size and spacing.For this reason cylinder-forming BCP films are preferredover sphere-forming BCP films, as film thickness can beadjusted to optimize BCP annealing [94]. In addition, thelow height/width aspect ratio of spheres, plus the residue ofthe majority component beneath the spheres, makes them lesssuitable as an etch mask for subsequent pattern transfer [95].The following section reviews the formation of BCP cylinderarrays using chemoepitaxy.

7.1.1. Pattern rectification and density multiplication. Asintroduced in section 4, an additional benefit of DSA ispattern rectification. The ability of BCPs to rectify a chemicalprepattern is highly advantageous as it allows the BCPannealing process to overcome inconsistencies in the e-beampatterned substrate, thus allowing higher e-beam currents andfaster resists to be used.

An example of pattern rectification was demonstrated byRuiz et al [62]. Figure 20 shows the patterning process theauthors used to create chemical contrast. A brush of hydroxyl-terminated polystyrene (PS-OH) was deposited on a SiOx

substrate. E-beam resist was then deposited on top, and EBLwas used to write a hexagonal pattern over a total area of

Figure 20. Chemoepitaxy process used to create an orderedhexagonal array of PS-PMMA. Reprinted from [62] with permissionfrom AAAS.

100 × 100 µm2 (figure 20(a)). The hexagonal pattern latticeconstant Ls was chosen such that Ls = nL0 with n = 1, 2,where L0 is the BCP period. A brief dose of oxygen plasmawas used to generate chemical contrast before the remainingresist was removed (figure 20(b)). The BCP PS-PMMA wasthen spin-coated (figure 20(c)) and annealed in a vacuum togive the desired hexagonal array (figure 20(d)). The PMMAcomponent interacts preferentially with the regions whichwere exposed to the O2 etch, while the PS component hasa slight preference for the PS-OH. The PMMA componentwas then selectively removed using UV irradiation and aceticacid etching, leaving a matrix of PS surrounding holes wherePMMA was removed.

By analysing approximately 15 000 dots of both thedeveloped e-beam resist and corresponding BCP patterns it wasapparent that the BCP patterns had much narrower placementand size distributions than the e-beam prepatterns. The patternrectification is also easily visualized in the plan-view SEMimages of the patterned e-beam resist and matching BCPpatterns, shown in figure 21. A comparison of figures 21(a)and (c) shows that the latter contains far less variation in patternparameters (spacing and size) than the former.

In addition, when LS was equal to twice the BCP latticeconstant (LS = 2L0 = 78 nm), the PMMA componentinteracted with the prepatterned sites as well as formingperpendicular cylinders in the sites between the e-beampatterning. This multiplies the density of pattern featuresby a factor of four (two in each direction), as can be seenby comparing figures 21(b) and (d). The tolerance of thiscommensurability is approximately ±0.1 L0 showing that thisdensity multiplication is sensitive to the locations of the‘sparse’ pattern. Long range order (100 × 100 µm2) is,however, preserved throughout the prepatterned area with adefect density of <10−4 for patterns with LS = 27, 39 and78 nm and <10−3 for patterns with LS = 54 nm, below the

13

Page 15: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 21. SEM images of developed e-beam resist ((a) and (b))with LS = 39 nm and 78 nm respectively. SEM images ((c) and (d))of the block copolymer film on top of the prepattern defined by thecorresponding e-beam pattern above. The lattice period on both ofthe BCP samples is 39 nm. Reprinted from [62] with permissionfrom AAAS.

10−3 limit required by BPM. Similar results demonstratingpattern rectification and density multiplication for PS-PMMAhave also been demonstrated by Yang et al [39], includingmalformed and missing dots in the e-beam prepattern beingrepaired by the BCP process.

The effect of the molecular weight of the PS-OH brushlayer was investigated by Tada et al [94] using a similarPS-PMMA system (LS = L0 = 32 nm). By using threedifferent molecular weight PS-OH underlayers (Mn = 900,3700, 10000 g mol−1), variations in component structure wereobserved, with Mn = 3700 g mol−1 giving the fewest defectsas observed by SEM analysis (figure 22). The authorscompared these results with the contact angle of PS on thePS-OH underlayer. The contact angles for Mn = 900,3700, 10000 g mol−1 were 24◦, 6◦, 0◦ respectively. TheMn = 900 g mol−1 PS-OH underlayer would have the poorestwetting of the overlying PS, and so this imperfection in coatingmay cause defects in the array structure. However, this does notcorrelate with the 0◦ contact angle having more defects than 6◦,suggesting that arguments about wetting on the macroscopicscale may not be applicable on the nanometre scale. Theauthors suggest that more research into this trend is required.

Tada et al [94] also investigated whether densitymultiplication was dependent on the BCP film thickness.Lattice points were patterned with period LS = 64 nm, whereLS = 2L0. For a BCP film thickness of tf = L0 = 32 nm,density multiplication did not occur. PMMA cylinders on topof the patterned lattice points stood perpendicular, but PMMAcylinders between the lattice points were orientated parallel to

Figure 22. SEM images of PS-PMMA hexagonal patterns on threedifferent molecular weight PS-OH brush layers (Mn = 900, 3700,10 000 g mol−1). The period of the prepattern and BCP are both32 nm. Defects were determined from SEM images with 10 000lattice points. Reprinted with permission from [94]. Copyright 2008American Chemical Society.

the surface. For a BCP film thickness of tf = 3/2L0 = 48 nm,density multiplication does occur, as all PMMA cylindersstood perpendicular. The authors’ explanation is brieflysummarized; For the thicker film if the cylinders wereoriented parallel to the substrate surface, sterical filling ofthe film thickness would bring the PMMA chains in contactwith the free surface, which is energetically unfavourable.Therefore the contact area between the two surfaces and thePMMA cylinders is minimized if the PMMA cylinders areperpendicular to the surface. For the thinner film the PMMAcylinders can instead orient parallel to the substrate with thePS contacting both surfaces.

The chemical prepatterning methods discussed so far relyon a positive tone resist with an underlying PS-OH brush layer.Sparse prepatterning with a negative resist (HSQ) has beendemonstrated by Cheng et al [96]. Unlike with a positiveresist, the areas of the HSQ resist which are exposed to the e-beam are cross-linked and become insoluble in the developingsolution. They are preferentially wetted by PMMA, and wereused to direct the self-assembly of lamellar PS-PMMA. In aseparate study Wan and Yang [95] compared and contrasteda positive tone resist (ZEP-520) with that of a negative-toneresist (HSQ). Their e-beam exposure and development left verysmall posts (∼5 nm height) of HSQ in a ‘sea’ of the underlyingPS-OH brush (figure 23). They recorded a lower defect densitywhen using HSQ versus ZEP-520 to form a hexagonal arrayof cylinder forming PS-PMMA and PS-PDMS (L0 = 24 nm,LS = 24 or 48 nm). 2D Fourier transform analysis showedmany unusually small cylindrical pores and dislocated regions.These differences in defect densities could be attributed to thebetter e-beam resolution of HSQ over ZEP-520 at sub-25 nmperiods, as no difference was observed in defect densities when

14

Page 16: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 23. Two alternate methods of chemical templating. Thepositive resist method creates chemical contrast between a PS-OHbrush and exposed SiOx holes whereas the negative resist methodgenerates chemical contrast between pillars (∼5 nm height) of HSQand a PS-OH brush. Adapted with permission from [95]. Copyright2009 American Chemical Society.

using PS-PMMA with a greater lattice constant (L0 = 28 nm)[30]. Furthermore, the O2 dry etch may damage the uniformityof the prepatterned dots while transferring the pattern into thePS-OH brush layer. However, a significant downside is thatHSQ resist requires a ∼10× higher e-beam exposure dose thanZEP520, resulting in a correspondingly longer e-beam writetime.

7.1.2. Chemical vs topographical templating. Wan andYang [95] also investigated the effect of the height of the HSQposts on density multiplication. By using pillar heights of5, 15 and 30 nm a direct comparison between chemical andtopographical templating was able to be made. The 30 nmHSQ posts can be considered as a topographical template asthey are comparable to the 40 nm film thickness (figure 24(e)),whereas the 15 nm pillars are considered to be intermediatebetween the two regimes.

Using a template consisting of a hexagonal array of 30 nmcylinders with LS = 87 nm (3L0), they achieved 9× densitymultiplication over the whole prepatterned area (figures 24(a)and (c)). 16× density multiplication was also attempted,although order was only obtained over a smaller 1 × 1 µm2

area (figures 24(b) and (d)). Their attempt to achieve 9×density multiplication using chemical templating with the 5 nmHSQ posts gave undesirable results, with only short rangeorder observed. Their results suggest that, with identicalchemical properties and patterning features, topographicalprepatterns can induce higher density multiplication thanchemical prepatterns. This is because with topographicalprepatterns the positions of the BCP components are physicallyfixed on every prepattern post, which decreases the possibilityof defect formation.

7.2. Creating rectangular bits using chemical templating

Thus far, this section has focused on perpendicular-cylinderforming BCPs in hcp arrays. However, recently a new conceptof BPM has been proposed that uses rectangular bits to storedata [18, 69, 97].

Rectangular data bits have the advantage of a greater bitaspect ratio (BAR), where BAR is simply the ratio of thecrosstrack width to the downtrack length of the bit. Such bitcells may enable the use of wider write-head poles, whichcan be desirable to achieve the high write fields and writefield gradients needed to record sub-20 nm transitions on highcoercivity media [20]. In addition, the magnetic read sensorwidth is approximately 70% of the track width, making the

Figure 24. Top-down SEM images of PS-PMMA (L0 ∼ 28.6 nm)on top of 30 nm HSQ pillars with (a) LS = 87 nm and(b) LS = 114 nm. (c) and (d) are magnified images from (a) and(b), respectively. The circles indicate the BCP pores with HSQ postsin them. (e) Cross sectional diagram of PS-PMMA film on 30 nmthick HSQ posts with LS = 3L0. Reprinted with permissionfrom [95]. Copyright 2009 American Chemical Society.

formation of the read sensor an important critical dimension[18]. The width of the read sensor is currently the smallestdimension in a disk drive and so scaling of this parametershould be minimized. There is also a mechanical budgetfor track misregistration (TMR) which is more relaxed ifBAR > 1. TMR describes the ability for the magneticwrite/read-head to stay on track. In conventional magneticrecording the write-head is positioned within 10% of the trackwidth, whereas the read-head is positioned within 15% of thetrack width. Incorrect positioning of the write-head can resultin overwriting data on adjacent tracks, while poor read-headpositioning can result in poor drive performance and multipleattempts to read data on successive revolutions of the disk. InBPM the problem is exacerbated by the need to compensatefor the inevitable mechanical misalignment of the disk on themotor spindle.

Rectangular patterns are achievable by means of chemicalpatterning using a positive resist system similar to thosedescribed in section 7.1. However, because BCPs can onlyadopt a certain number of geometries, an additional e-beamstep is required. Although time consuming, the additional e-beam step will only need to be carried out once if a mastertemplate is being created [69]. The process demonstrated by

15

Page 17: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 25. Fabrication of rectangular patterns from lamellar phaseBCP stripes. (a) Chemical contrast created on substrate with sparselines. (b) BCP aligns with substrate (density multiplication).(c) PMMA is removed from the polymer film. (d) E-beam resist isdeposited over PS stripes and an orthogonal stripe pattern is writtenby e-beam. (e) E-beam resist is developed and exposed areas areremoved. (f ) Remaining ‘unexposed’ resist is removed to leave anarray of rectangular features. Reprinted with permission from [97].Copyright 2011 American Chemical Society.

Ruiz et al [97] is shown in figure 25. EBL is used to writea sparse stripe pattern into a layer of PS-OH (figure 25(a)).Lamellar phase PS-PMMA is applied and thermally annealedto form the lamellar pattern shown in figure 25(b). The PMMAcomponent is then selectively removed with oxygen plasma toleave stripes of PS (figure 25(c)). Following this a new filmof e-beam resist is deposited (figure 25(d)) and an orthogonalstripe pattern is written by EBL. Oxygen plasma is used toremove the exposed stripes (figure 25(e)), so that when theremaining resist is removed (figure 25(f )), an array of PSrectangles is left.

The PS-PMMA used in these experiments had a periodof L0 = 27 nm. Chemical prepatterns were written withLS = 2L0 and LS = 3L0, demonstrating 2× and 3× densitymultiplication in one dimension. The orthogonal stripe patternwas written with a period of 54 nm (2L0), defining a rectangularlattice with an aspect ratio of 2. However, any period can beused, allowing rectangles to be formed with any BAR.

Figure 26 shows a top-down SEM micrograph of therectangular PS patterns at the end of the process as well as aside view from a 10◦ tilt angle. Image analysis was performedon a 2.5×2.5 µm2 array of rectangles. The standard deviationof placement error in both the x and y directions was belowthe 5% required for BPM. Size distribution analysis gave theaverage length of the short side (downtrack) of the rectanglewhich is defined only by the BCP as 16.3 nm, with a standarddeviation of 0.49 nm (3.0%). The long side (crosstrack) whoseresolution is determined by EBL alone had an average lengthof 41.3 nm with a standard deviation of 1.3 nm (or 3.1%). Asa percentage, e-beam deviation values tend to increase withsmaller dimensions, highlighting the advantage of using BCPsfor the critical dimension.

7.2.1. Skew. In current HDD designs, the read/write headis mounted on a slider. As the slider moves across the diskit follows an arc rather than a straight line. To match thisnon-radial movement, the BCP lattice structure requires askew angle between approximately ±15◦ [39], with tracks near

Figure 26. SEM micrographs of PS rectangular patterns.(a) Top-down view. (b) View from a 10◦ tilt angle. Reprinted withpermission from [97]. Copyright 2011 American Chemical Society.

the inner region of the disk having a skew in one direction,passing through zero skew somewhere in between. Previousstudies using hcp BCP patterns have attempted this by usinga skewed prepattern [39], but order has only been maintainedup to 8◦ [98, 99] due to the stretching and compression of thepolymer chains. This is another advantage of using rectangularbits as an arbitrary skew angle can in principle be obtained bywriting stripes in the second e-beam exposure (figure 25(d))at a non-90◦ angle. This has been demonstrated by Lille etal [18] with 1.3 BAR bits at a skew angle of 9◦.

7.2.2. Double imprint lithography. Further work by Wan et al[69] using chemoepitaxy and NIL has enabled both sides of therectangular bits (crosstrack and downtrack) to be fabricatedusing DSA of BCPs. In this work the rectangular bits arefabricated on circular tracks using a double imprint process(figure 27). Two submaster templates with circumferentialand radial lines are created separately before being combinedthrough a double imprint step to create a master template.The fabrication process utilizes a rotary stage e-beam systemwhich is able to write both the circumferential and radial linepatterns into a ZEP-520 resist (on top of a PS-OH or XPS brushlayer).

The circumferential line submaster was formed by e-beamexposure at 54 nm period (figure 28(a)), which was doublethe period of the PS-PMMA BCP (L0 = 27 nm). Followingstandard lithographic steps, the BCP was spin-coated andannealed on the substrate. The BCP lamellae could follow thecurvature of the circumferential lines because this negligiblecurvature at large radius is well below the curving tolerance inblock copolymer films. The PS blocks were then transferredinto the Si substrate using a Cr hard mask and RIE process, as

16

Page 18: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 27. Rotary EBL and DSA of BCPs used to create twosubmaster templates which in turn are used to make a mastertemplate via a double-imprint process. Reprinted with permissionfrom [69]. Copyright 2012 Society of Photo Optical InstrumentationEngineers.

can be seen in figure 28(d). Although circular in reality, thelines appear straight in figure 28 due to the negligible curvatureover the area of this SEM image. The Moire interferencepattern in figure 28(e) demonstrates the long range order ofthe circumferential lines.

For the radial line submaster, the patterns were separatedinto many zones along the radial direction with the angularperiod kept constant within each zone (figure 29), butdecreasing the angular period for zones increasingly furtherfrom the centre, so that the bit period is roughly constantin all zones. This technique prevented the radial lines frombecoming too sparse at the outer diameter. The period of theradial lines (LS) was chosen to be equal to the natural period(L0) of the BCP in the middle of the zoned regions and varying±1% within a zone.

Two radial line submasters were produced using similarlithographic methods, one with a 41 nm period, and theother with a 27 nm period. To demonstrate the doubleimprint process, the 27 nm circumferential line submasterwas used together with the 41 nm radial line submasterto obtain a final master template with rectangular bitsarranged in pseudo-rectangular arrays, with an areal densityof 0.58 Tb in−2. This was carried out by sequential imprintsand RIE on a Cr-coated quartz substrate to produce rectangularCr islands. The substrate was then etched with a fluorine-based RIE to form rectangular protrusions with a heightof 20 nm.

A primary concern with this double imprint process is thatthe submaster templates require meticulous alignment with themaster template. A mismatch error in the double imprinting

Figure 28. Fabrication of nanoimprint template withcircumferential lines from BCP lithography. (a) ZEP resist patternof 54 nm period (LS = 54 nm) circumferential lines defined byrotary EBL. (b) Chemical contrast pattern generated by O2 RIE andresist stripping. (c) Lift-off process to generate Cr stripes. (d) Siwafer etched using Cr as mask. (e) Moire interference pattern of thetemplate. Reprinted with permission from [69]. Copyright 2012Society of Photo Optical Instrumentation Engineers.

Figure 29. Zoning of radial line patterns. Adapted with permissionfrom [69]. Copyright 2012 Society of Photo Optical InstrumentationEngineers.

steps could render certain data areas unusable. The authors’target of ∼1 µm alignment can potentially be achieved byconventional optical or interferometeric techniques handled bythe nanoimprint tool.

17

Page 19: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

8. Creating servo patterns with BCPs

Along with tracks or bits, additional features termed servopatterns are included on hard disks to enable the head toread and write data at precise locations. The design of servopatterns plays a key role in the performance of the diskdrive, and these patterns are typically proprietary to each drivemanufacturer. Servo patterns contain features with dimensionsthat are typically 2× to 10× larger than the data features andinclude arrays of lines and dots. Servo patterns are placed atregular angular intervals around the disk, with the effect ofdividing the disk surface into a large number of wedge-shapedsectors. A typical disk might be divided into 100–400 sectors,with servo patterns occupying 5–20% of the active surface ofthe disk [100].

In current hard disk technology the data are written onto a featureless continuous medium. As there are no presettrack locations, the servo marks are written onto the disk with arecording head. The servo writing process consists of flying thehead over the entire disk surface and recording the servo marks.It is a serial process that is both slow and expensive [5], so ifthe throughput of this process could be improved or negatedaltogether, there is a potential for significant cost savings.

With BPM, the track locations are predefined and thusthe servo marks will also need to be patterned. A potentialadvantage of this is that it removes the slow servo writingstep from the manufacturing process. However, the servomarks cannot be separately written by EBL as the alignmentis too poor [97]. Even state-of-the-art alignment capabilitywould not meet the registration requirements of a hard drive’stracking tolerances (1 nm) [101]. This servo mark requirementmeans that methods capable of producing only purely periodic,isotropic, bit patterns which fill the entire disk are notsufficient [5]. This is a significant challenge when usingBCP self-assembly to produce BPM. Many conventional servopattern features do not correspond to the types of periodicfeatures most easily formed by the free energy minimizationprocess that orders BCPs [102].

Another option would be to start with a common e-beampattern for both data and servo, and subsequently processthe two types of area separately. This approach wouldprovide self-registration of servo and data, and like the optionabove, allows for arbitrary servo patterns combined with datapatterns created by BCP self-assembly. A challenge with thisapproach is development of an integrated process that doesnot compromise the quality of the delicate BCP lithographyprocess for the data islands [101].

Current efforts are focused on two different techniques.One is to use chemoepitaxy to coerce the BCPs to assembleinto servo patterns. The other is to use graphoepitaxy, wherethe servo patterns are directly written as trenches which arefilled with BCP.

8.1. Servo formation by chemoepitaxy

Ruiz et al [97] have previously shown how rectangular bitscan be formed from lamellar BCP patterns. In a subsequentpaper by the same group, Liu et al [103] have shown how

Figure 30. (a) Chevron structure design to extract the position errorsignal (PES) for magnetic data storage. There are 11 tracks(n = 1, 2, 3, ..., 11) with cross-track positions of0, 27, 54, ..., 270 nm, respectively. (b) One exemplary collection ofthe signals while the head is flying across the chevrons following thearrow in (a). The abscissa denote the crossing number at which thehead crosses the chevrons. The ordinate is the down-track positionwhen the head crosses the chevrons. The dashed lines areextrapolations of the fitting lines to the data, and the intercepts arex1 and x2. The PES = x2 − x1. (c) The PES as a function of theposition of the head across the track direction. The solid circlesdenote the points where the head is flying on the track. The arrowsin (c) illustrate the identification of a cross-track position of 54 nm,or a track number of 3, when the read-head flies along the arrowhighlighted in (a). Reprinted with permission from [103].Copyright 2011, American Vacuum Society.

chemoepitaxy can bend lamellar patterns into a chevron shapesuitable for a servo. In this preliminary study the work was nottaken through to transferring to a magnetic layer, and the datatracks on each side of the servo feature were left as lamellaerather than being divided into rectangles.

A chevron design is shown in figure 30(a) where thechevron servo feature is placed at the start of a data sector.As the head flies along a data track, it will detect (once thelamellae are divided into rectangles) a certain frequency, f ,proportional to the bit spacing. This frequency will changewhen it enters the servo area. On the left of the apex of thechevrons, the frequency will be v/Lx , where v is the headvelocity along the down-track direction, and Lx is the apparentspacing along the down-track direction. On the right of theapex of the chevrons the frequency will be the same, but therewill have been a phase-shift due to crossing the midpoint. Fromfigure 30(a) it can be seen that if the head were on track 2(cross-track position 27 nm), there would be a length of timewhere no magnetic transitions are present corresponding to alarge positive phase-shift. If it were on track 10, there would be

18

Page 20: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 31. Chevron design schematics and resulting lamellarpatterns. For design I and II schematics (shown at the top), the solidlines represent the e-beam prepatterns at a period of 2L0 = 54 nm,whilst the dotted lines represent lamellae interpolation giving 2×density multiplication. In design II extra vertical e-beam prepatternswere added. SEM images of the resulting lamellae ordering isshown below. The red dashed lines indicate the boundaries betweendefective and non-defective areas. The widths of the design IIdefective areas are smaller as a result of the additional verticalprepatterns. Reprinted with permission from [103]. Copyright 2011,American Vacuum Society.

a large negative phase-shift. Figure 30(b) shows the phase shiftbeing used to calculate the position error signal (PES) value.Figure 30(c) shows a lookup chart of values—the expected PESvalue can be identified for that track. When the head crossesthe chevrons the HDD controller can compare the detected PESwith the expected value for that track, and use that comparisonto correct the head position.

Figure 31 shows two different e-beam designs (20◦

chevrons) with SEM images of the resulting BCP patterns(lamellae BCP periodicity L0 of 27 nm). The EBL designsutilize 2× density multiplication as can be seen by the dottedlines (figure 31—design I and design II) showing the ‘missing’EBL lines where BCP will self-assemble. Bending the BCPlamellae at sharp angles involves a high free energy penalty,and this results in defects at the chevron apexes as well asthe junction between the horizontal tracks and chevrons. Thewidth of these defective and therefore unusable areas has beenhighlighted by the red dashed lines.

In design II, additional vertical lines were added at the apexof the chevrons, as well as at the transition vertices betweenthe horizontal lines and the chevron lines, as can be seen bycomparing the design II schematic with the design I schematic.The defects were different from those in design I, and thewidths of the defective areas are smaller. Parallel lamellae

Figure 32. Diagram of an offset field pattern. Track centrerepresented with dotted line. This is shown for a scheme which hasislands of alternating magnetic polarity as represented withalternating coloured islands. Reprinted with permission from [101].Copyright 2012 IEEE.

formed in areas subject to a relatively high e-beam dose. As aresult, the defective structures remained localized and barelypropagated to the periodic line pattern areas. The chevronscan still provide PES information despite these defects, but itwould be necessary to determine the widths of the unusableareas at the chevron apexes as well as at the chevron edges,where data cannot be stored.

In a subsequent paper, Lille et al [101] propose thatalthough the chevron design could be used to provide PESdata, other servo features which are not as amenable toBCP assembly (such as track number, sector number andvarious synchronization features) would need to be writtenmagnetically during a servo writing operation on islands whichare identical to data islands.

The authors also examine an alternative PES servo designthat could be formed by BCPs, shown in figure 32. Thispattern consists of an offset field identical to data islands inevery respect, except that the track boundaries are shifted by afraction of a track relative to the data tracks. Like the chevronpattern, this only requires a slight change during the e-beamexposure to set up track positions [101]. For islands with dcmagnetization, a simulation predicts that the chevron patternwould offer ∼20% greater readback signal than the offset fieldpattern. For islands of alternating polarity magnetization thesimulation predicts that the readback signal of the chevronand offset field patterns would be similar. Alternatingpolarity magnetization provides a ∼27% signal improvementcompared to the dc chevron pattern, presumably due to thegreater contrast between areas of opposite magnetization. Theextra signal provided by alternating magnetization would needto be balanced against the extra time required for servo writingthis during production.

Further work is required in order to fabricate an offset fieldpattern with chemoepitaxy and see whether it forms with fewerdefects than a chevron pattern, which might be expected dueto reduced strain in the system. However, as it is an amplitudepattern it is still likely to be less well suited to BPM thanthe phase based chevron pattern (explored further in the nextsection).

8.2. Servo formation by graphoepitaxy

To bypass the problems of coercing BCPs to form servopatterns, graphoepitaxy can be used instead, where BCPs are

19

Page 21: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 33. Schematic images of servo patterns formed bygraphoepitaxy. Reprinted with permission from [104]. Copyright2012, American Vacuum Society.

deposited into grooves written by EBL in the shape of servopatterns. Figure 33 shows schematics of servo patterns formedin this way.

This section will begin by considering simulations thathave been undertaken for graphoepitaxy created servos,followed by fabrication experiments.

8.2.1. Modelling servo patterns. Previous papers haveanalysed the performance of PES servo patterns for BPM[105, 106], and consider a phase based servo to be moresuitable than an amplitude based servo. Dot size dispersionof BPM results in amplitude fluctuation noise and degradesthe amplitude servo signal, whereas a phase pattern servo hastolerance against the amplitude noise.

This was demonstrated by Kamata et al [107] whenthey estimated the suitability of a phase pattern fabricated bygraphoepitaxy. A simulation was run where there is a lowdensity of magnetic bits within the lines of dots making up theservo pattern. This model represents a BPM with completelydisordered 10 nm dots whose average period is 20 nm. The areadot density is 25% and random dot alignment was assumed tobe worst case. Figure 34 shows an image of this model phasepattern and the simulated output signal when flying a head.

At the left-hand side of the inset to figure 34 the sensitivitydistribution function of the read-head can be seen. In thissimulation the read-head has a width of 70 nm, gap of 35 nmand flying height of 4 nm. Since the read-head width is largerthan the dot size, the signal is averaged over several dots.The random nature of the dot density in the stripes leads to a

Figure 34. Calculated read-back signal from a modelgraphoepitaxial servo pattern. The magnetic recording medium isdivided by a mesh of size 10 nm × 10 nm × 10 nm. The widths ofthe ridge and groove portion are both 100 nm. The angle ofridge-and-groove guide to the direction of the data track is 45◦.Reprinted with permission from [107]. Copyright 2011 IEEE.

Figure 35. Calculated PES and linearity error from a model servopattern. Reprinted with permission from [107]. Copyright 2011IEEE.

distorted output signal as shown in figure 34. The amplitudesof the peaks fluctuate by up to 50% which would lead to anon-linear PES in an amplitude based servo pattern. However,a phase based servo pattern works based on the locations of thepeaks rather than their amplitudes. The calculated PES basedon the peak locations shown in figure 35 has good linearity,which is an important property for high-precision head control.

Another simulation was run with more realistic values of5.5% dot size distribution and 6.5% dot period distribution.Figure 36 shows calculated PES linearity error as a functionof a read-head width. For comparison, the PES linearity errorof a model without distribution of dot size and position (idealmodel) was calculated. For the case of a read width of 60 nm,the PES linearity error 1σ calculated from the model withrealistic parameters is 0.3 nm. This number is smaller than thedeviation of the dot period (2.4 nm). In an actual BPM systemthe read-head width must be less than the dot period in order toavoid noise from adjacent tracks, so 15 nm read-head width ismore realistic. This causes the PES linearity error to increase

20

Page 22: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 36. Calculated 1σ PES linearity error from the realisticmodel (with deviations) and the ideal model (without deviations).Reprinted with permission from [107]. Copyright 2011 IEEE.

to 0.8 nm, so commensurate reductions in dot size and periodvariation are required to achieve the same PES linearity error.The authors also speculate that this value could be reduced to0.1 nm with the use of a low-pass filter.

The above models do not take into account the effect ofLER of the grooves which causes deviation in the positions ofthe dots. This additional deviation will also cause PES linearityerror [107].

Although a phase based pattern is less susceptible toamplitude fluctuation noise than an amplitude based pattern,both patterns are susceptible to dot position fluctuation thatresults in timing jitter noise. Simulations conducted byHan and Callafon [108] show an alternative phase basedpattern termed the ‘differential frequency pattern’ to be leastsusceptible to these noise sources, although to our knowledgethis has not yet been fabricated using BCPs.

8.2.2. Fabrication of servo marks. Circular BPM with servomarks have been fabricated using graphoepitaxy at densitiesof 0.8 and 2.5 Tb in−2 by Yamamoto et al [109]. Figure 37(a)shows a schematic of the design. Data tracks are at both sidesof a servo design consisting of an address mark (for randomaccess of the recording head), preamble marks (information onthe clock frequency for the recording and reading data), and aphase pattern (for PES). This design was fabricated by creatingthe groove guides with EBL, filling them with PS-PDMSand annealing. 0.8 Tb in−2 density was fabricated by usinga BCP with 33 nm period. AFM images of this are shownin figure 37(b) (boundary region between the data track areaand the phase servo area) and figure 37(c) (boundary regionbetween phase and address servo patterns).

A 2.5 Tb in−2 density medium was formed usingPS-PDMS of period 17 nm, as shown in figure 38. Infigure 38(b) it can be seen that the dots are still ordered inspite of the groove width variation. However, it can also beseen that the edges of the grooves have LER. This design wasnot tested on a spinstand so it’s not certain what effect this‘blurring’ of the servo patterns has on their performance. The1σ variations in dot period and diameter were 14.6% and 15.7%meaning that further optimization of that fabrication methodis needed in order to reduce the variations to a level suitablefor BPM. However, it has shown that arbitrary servo patterns

Figure 37. (a) Schematic of track and servo grooves. (b) and (c)show AFM images of the groove guides on the 0.8 Tb in−2 design.Reprinted with permission from [109]. Copyright 2012 The JapanSociety of Applied Physics.

Figure 38. Plan-view SEM images of the PDMS dot array(a) around the phase servo mark area, (b) on address mark area, and(c) on data track area for 2.5 Tb in−2 DSA-BPM. Reprinted withpermission from [109]. Copyright 2012 The Japan Society ofApplied Physics.

can be formed for high density BPM using graphoepitaxy.A subsequent paper reported fabrication of servo featuresusing graphoepitaxy at density 5 Tb in−2, although similarly nospinstand results were reported [104]. Further work is requiredto test these designs and evaluate the tolerance of all the servofeatures to LER, line width roughness, as well as dot size andplacement deviations.

9. Mass production of BPM using NIL

As introduced in section 2.1.1, NIL can use a master templateproduced by BCP DSA to imprint many substrates. Thissection will review progress towards achieving the quality andthroughput requirements for mass production of BPM HDDs.

21

Page 23: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 39. An example reverse tone process. The organic imprintresist (Monomat) has already been imprinted by a pillar-tonetemplate. (a) A silicon containing imprint resist is dispensed on theMonomat, and a thin blank fused silica template is used to planarizethe silicon containing resist and fill the holes. (b) An etch backprocess using CHF3/O2 RIE is used to etch off enough siliconcontaining resist to expose the Monomat resist pillars below. (c) AnO2 RIE process is next used to remove the exposed Monomat resistleaving behind silicon containing pillar-tone features. Adapted withpermission from [110]. Copyright 2008, American Vacuum Society.

NIL can use either a pillar tone template to produce holesin the resist, or a hole tone template to produce pillars in theresist. Resist pillars can be converted into BPM using one ofthe methods described in section 5.3. Therefore a hole tonetemplate is preferred for simplicity, however it is much moredifficult to fabricate [110].

One solution is to fabricate the master template as apillar tone template. This can imprint substrates coveredwith resist to produce daughter templates which would have ahole tone. These daughter templates could then imprint resistcovered ‘granddaughter’ substrates to produce resist pillarswhich would be transferred into BPM. The production of manydaughter templates has advantages in throughput and cost asexplained in section 9.2.

Another solution is to avoid hole tone templates with theuse of reverse tone processing [110]. A pillar tone masteris used to imprint resist. The resist holes are then convertedinto pillars by a reverse tone process such as that shown infigure 39, which entails an additional imprint step (with ablank template), and two etching steps. A variation of thistechnique has been used by Albrecht et al [52] who substitutedthe initial blank template imprint of Si containing imprintresist with vacuum deposition and etchback of a metal fillmaterial. They suggest this will be more easily scalable to massproduction. The pillar tone template that results from reversetone processing can be used as a daughter template, and theprocess repeated to produce many granddaughter substrateswith resist pillars that can be transferred into an underlyingmagnetic layer. Although reverse tone processing addscomplexity, the elimination of hole tone templates has severaladvantages (highlighted in the following sub-sections), leading

reverse tone processing to become the standard technique usedby industry.

As described in section 2, BPM placement and sizedistributions must be no greater than 5% (1σ ). In additionthere are limits placed on defectivity (e.g. missing or deformedbits). HDD signal processing changes in the past decademean that raw error rates in the 10−2 range can now betolerated [26] which is very different from the 10−6 rangerequired 10–15 years ago. This has relaxed BPM defectivityrequirements with a 10−3 defect level in the media itself nowbeing a target, thus being only a 10% contributor to an overallerror rate of 10−2. Bad sectors with a defect rate higher than10−3 can be mapped out.

Most variation and defectivity occurs during the templatefabrication steps, particularly the first step of defining dot sizesand positions with EBL (BCP self-assembly was shown in theprevious sections to reduce this variation). In order to keep thesize and position variation at an acceptable level, it is criticalthat the imprint step does not introduce a significant amountof additional variation or defectivity. Factors that can cause alack of fidelity in NIL will be examined in the next section.

9.1. Bit variation and defectivity

In the NIL process the template first needs to be overlayed ontothe resist. Basic alignment on the order of tens of micrometresis required to ensure the pattern is properly centred on thedisk [100], as the disk will not be centred to better thanapproximately 10 µm on the drive spindle [5]. BPM is formedin a single lithographic processing step, so the nanometreprecision necessary when aligning with previous layers is notrequired.

When the template is pressed onto the resist coveringthe substrate, there will still be a thin layer of resist leftbeneath the template features, termed the residual layer. Abase layer etch (descum) is subsequently carried out to removethe residual layer. Variation in residual layer thickness (RLT)means that sufficient descum must be carried out to removeboth the thicker and thinner regions, causing the desired resistfeatures to be eroded by varying amounts. This leads to criticaldimension (island diameter) variation [111]. RLT variationis mitigated by controlling how the resist is applied onto thesubstrate. Rather than spin-coating a layer of resist, jet andflash imprint lithography (JFIL) (Also called step and flashimprint lithography (SFIL)) uses an inkjet head to depositpicolitre volume droplets (typically ∼5 pL but as low as 1.5 pL[112]) across the surface, with a density that matches the localpattern density of the template. Figure 40 shows uniformresidual layers resulting from this technique with a thicknessof 15 nm. Measurements of the RLT when using JFIL toimprint from a 1 Tb in−2 BPM template gave a mean valueof approximately 8 nm [113]. The effects of RLT variation arefurther mitigated by using reverse tone processing, as a fillermaterial in the resist holes is used as the etch mask, rather thanthe resist pillars themselves.

Non-fill defectivity occurs when the resist does notcompletely fill the template features. This is more likelyto happen if the resist is too viscous, or has been spread

22

Page 24: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 40. Resist dispensing using inkjet drop-on-demandtechnology rather than spin-coating enables uniform residual layersto be obtained across the entire substrate, independent of patterndensity and feature size variations. Reprinted with permissionfrom [100]. Copyright 2009, American Vacuum Society.

imperfectly. This can be mitigated by locally dispensing smalldroplets as with JFIL, so that all areas of the substrate havethe required amount of resist to fill the template features. Anadditional aid is to bend the template as it’s pressed onto theresist [114]. This causes liquid contact to start at the centre andmove outwards, which helps to expel air that would otherwiseoccupy volume beneath the template. In addition, part of thepattern may be torn if the cured resist adheres to the template,so surface treatments of the template are critical in ensuringhigh reproduction fidelity [4].

Resist collapse (where the tops of features coalesce andstick together) becomes an issue as bit density increases. Inthe case of BPM at sub-20 nm feature sizes, resist collapse ismanifested as the formation of doublets or triplets where twoor three resist pillars collapse together as shown in figure 41(a).This can be mitigated by using a resist of higher tensile modulus(so the resist features are stiffer and more resistant to bending),and by reducing the height of the template features. Choiceof resist and usage of a height/diameter aspect ratio of 2 : 1enabled resist collapse to be eliminated in sub 15 nm bits, asshown in figure 41(b) [115]. This must be balanced againstthe consideration that lower height of the resist features willleave less margin for error in the subsequent etching process.Alternatively if reverse tone processing is in use, the resist canbe imprinted with a pillar tone template to leave a continuousnetwork with holes in it. This is less likely to coalesce thanisolated pillars.

Figure 41. (a) Imprinted pattern showing resist collapse.(b) Patterns free of resist collapse. Reprinted with permissionfrom [115]. Copyright 2012 Society of Photo OpticalInstrumentation Engineers.

Particle defects are a concern—the large relative size ofmany particles in comparison to nanoscale BPM means theycan cause wide area damage. If the particle stays stuck to thetemplate, it will repeatedly damage resist patterns, and hardparticles have been shown to cause permanent damage to thequartz template [116]. Ensuring a particle free process is achallenge, though one where the process steps developed bythe semiconductor industry will be useful.

Cleaning of stamps becomes necessary if resist residuesadhere and/or become lodged within the features of the stamp(called template fouling) [117]. Therefore it is necessaryto develop cleaning processes for both particle and organicresidue removal. Traditional template cleaning utilizes asulfuric acid and hydrogen peroxide mixture, called SPM,which removes crosslinked resist. The SPM process isknown to be very effective in removing organic residues.However, concerns over residual ion control, waste chemicalmanagement and thermal cycling, limits the use of SPMfor NIL template cleaning [118]. UV/ozone/SP1 acid-free

23

Page 25: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

cleaning processes have also been shown to be effective [34].In addition, various physical force wet cleaning methods areemployed to remove nano-sized particles [118].

9.1.1. Analysis of variation. Analysis of the contribution ofvariation at each stage (from master template fabrication toimprinting) has been performed by Yang et al [119]. Theirresults based on limited samples show that at 250 Gdot in−2,the 1σ size variation is 3.1% in ZEP520 resist when patterningdots using EBL. This increases to 3.3% after pattern transferto the quartz template and 3.5% after disk imprinting, showingthat most of the variation occurs when producing the mastertemplate rather than imprinting. A quite similar trend is alsofound in the higher density samples but with an additionalincrease of 1–2% at 500 Gdot in−2 and 2–3% at 720 Gdot in−2.For the 1.1 Tb in−2 sample, a significant increase in the 1σ

value due to the higher defect density is seen. The data suggestthat the size accuracy decreases as the density increases due tothe difficulty in fabricating a high-density template. When thedensity goes above 1 Tb in−2, the sigma increases significantlyfor every fabrication step.

Schmid et al [100] used a 0.25 Tb in−2 BPM template(50 nm feature period) to perform a JFIL imprint, and analysedthe imprinted pattern. The mean dot period was 50 nm with a1σ variation of 2.8%, the mean dot diameter was 36.3 nm with a1σ variation of 6.1%. A similar experiment with an improvedJFIL process performed by Ye et al [113] used a 1 Tb in−2

BPM template (27 nm feature period). They found a mean dotdiameter of 16.6 nm with 1σ variation of 5.6%, and mean dotarea of 216.2 nm2 with 1σ variation of 9.8%. Xiao et al [99]performed DSA of PS-PDMS on prepatterned substrates, thentransferred the patterns into quartz, although results for thespacing and size distributions following imprinting were notreported in this study. For 1 Tb in−2 the BCP 1σ spacingand size distributions were 3.9% and 5.0%, respectively, andafter pattern transfer into quartz 4.6% and 5.1%, respectively.However, at 2 Tb in−2 the BCP spacing and size distributionswere 5.5% and 6.7%, respectively, and after pattern transferinto quartz 8.2% and 7.4%, respectively, well outside thedesign tolerance of 5%. Albrecht et al [52] have fabricatedhcp 1 Tb in−2 BPM using NIL, which after transfer into amagnetic film has demonstrated 1σ dot placement variationof 4.4%. One sample had a defect rate of 3 × 10−3, and anintrinsic SFD of 10.4% (1σ ). Although this was near the upperbound of the requirements, a bit error rate of 2×10−3 was stillachieved when testing this sample with a read/write head. Aseparate sample had a defect rate of less than 10−3 and, due toimproved magnetic film deposition and patterning techniques,an intrinsic SFD of just 4% (1σ ), although this was nottested.

The results for 1 Tb in−2 indicate that this could becomeproduction ready in the near future. Higher areal densities willrequire significant further development to reduce variation anddefectivity.

9.2. Throughput of NIL process

Industrial forecasts suggest that the market demand for harddisk recording media will reach 109 units per year in the next

few years [114]. Patterned media throughput per machine isaimed at 1000 double-sided disks/hour [34]. 350 double-sideddisks/hour production has been reported for the NuTeraHD7000 machine [113]. A desirable target is that the totaladded cost for the entire patterned media process must notexceed $1/disk [34]. However if a large areal density gain overalternatives can be achieved, this would allow more leewaythan $1/disk.

The main bottleneck to scaling up production rates isresist spread time, as leaving less time for the resist to spreadleads to greater non-fill defectivity [120]. Reductions inspread time are being addressed through smaller resist dropvolume, more accurate drop placement, and novel methods offluid front control [34]. Elimination of hole tone templatesby reverse tone processing also speeds up spread time, asimprinting with pillar tone templates leaves open channels forresist flow which are not present if imprinting with a hole tonetemplate.

If the lifetime of a single imprint template is approximately104 imprints, as might be anticipated [114], then a singlemaster template can produce 104 daughter templates, whichcan then produce 108 disks. The replication of templates can beaccomplished using the same NIL process used to produce thedisks [114, 121]. An alternate method of template replicationusing Ni electroforming has also been investigated, due to itsprocess durability and ease of making copies [122]. Thishas been demonstrated for a 0.8 Tb in−2 template, althougha 2.5 Tb in−2 template could not be replicated because ofthe difficulty of nickel seed layer deposition in such smallfeatures [123].

Pattern inspection will need to occur at multiple pointsduring the patterned media lithography process, beginningwith the qualification of master templates. High resolutioninspection equipment (e.g. electron microscopy) must be usedto fully characterize the pattern quality on every mastertemplate. Long inspection times can be tolerated for mastertemplates, which are critical to the lithography process. Incontrast, replica templates and patterned disks will requireshort inspection times due to the much larger volume ofsubstrates [114]. Large area techniques such as scatterometrycan be used instead of high resolution inspections. Thesemethods work well for patterned media, which contain simpleand consistent patterns across the entire surface [34]. Inaddition completed patterned media disks will also be testedon magnetic spinstand testers for read/write capability, on anauditing basis (such as 1 out of every 100 disks) [4]. Figure 42shows a potential BPM manufacturing flow with metrologycarried out between various stages.

NIL has advanced a great deal in terms of defectivityand production rates, although further improvements arerequired before it is ready to enable mass production of BPM.That coupled with the massive capital investment in imprintmachinery that would be required, means that it remains to beseen whether it will be adopted by the HDD industry for highvolume manufacturing.

24

Page 26: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

Figure 42. Potential BPM manufacturing flow. Reprinted withpermission from [113]. Copyright 2011 Society of Photo OpticalInstrumentation Engineers.

10. Conclusion

BPM shows potential to meet the continuing demand toincrease the density of HDDs. One technique for theproduction of BPM is DSA BCP lithography. This processhas the ability to create ordered arrays of magnetic bits on amacroscopic scale.

We have reviewed two techniques for directing theassembly of BCPs. The first technique of directingBCP assembly uses physical features, which is termedgraphoepitaxy, and we have reviewed several methods forcreating these features. Topographical posts provide 2Dguidance and can enable very high density multiplication,reducing EBL write times, but it has not been demonstratedhow both the posts and BCP components can be convertedinto topographically identical features on a NIL template. Theuse of shallow substrate features (e.g. ∼8 nm deep trenches)means that storage space is not compromised, but would resultin the magnetic islands having height variations, which wouldpresent a problem for HDD operation. The use of deep trenchesis currently the most promising method, as this can achievecircular tracks of magnetic islands without height variation.However, this method does compromise the resulting storagespace. In addition, the absence of 2D guidance, and the LERof the trench walls present a significant obstacle to achieving1σ dot position deviations of <5%.

The second technique reviewed was chemoepitaxy, wherechemical regions patterned onto the surface direct theself-assembly of the BCPs. This technique provides 2Dguidance, does not compromise storage space, and does nothave the problem of LER seen with deep trenches. In addition,chemoepitaxy has been shown capable of creating rectangularbits with a BAR > 1 which are preferred to their cylindricalor spherical counterparts due to compatibility with existinghead technology. However only modest density multiplicationfactors have been demonstrated, meaning a large amount oftime, on the order of days, will still be required for EBL topattern the surface. This will increase the cost and time takento produce the resulting master template.

Graphoepitaxy is naturally more suited to the writing ofservo patterns, due to the ability to write arbitrary trenchpatterns with EBL. For chemoepitaxy, only certain servo

features such as a PES chevron pattern could be directlyfabricated, whereas other servo marks would need to beservo-written onto regular bits, increasing manufacturingcosts.

NIL is the leading candidate for mass production of BPMHDDs. Here improvements continue to be made in fabricationaccuracy and throughput, although it is not yet certain whetherthese will be sufficient for mass production at densities beyond1 Tb in−2.

Whilst graphoepitaxy has certain advantages, recentmomentum in industry has been directed to developinga PS-PMMA chemoepitaxy process, forming submastertemplates that can be combined to make high BAR islands.Recent results are encouraging, and suggest that high volumemanufacturing of a BPM hard disk with storage between 1and 2 Tb in−2 is close to becoming achievable. However,the competing technology of HAMR which uses conventionalgranular media could achieve similar densities. Some recentreports suggest that commercial HAMR devices may beavailable in 2016. However, working HAMR HDDs exceeding1 Tb in−2 have not yet been convincingly demonstrated andsignificant difficulties remain. Hence BPM may ultimatelyprove competitive with HAMR for densities between 1 and5 Tb in−2. In any case BPM offers the only currently viableroute for densities above 5 Tb in−2 through the use of improvedBCPs. Achieving working BPM at these densities willrequire significant further development of template fabrication,imprinting and transfer processes to achieve narrow position,size and switching field distributions but does offer the prospectof extending cost effective data storage based on HDDs intothe future.

Acknowledgments

The authors acknowledge the Engineering and PhysicalScience Research Council (EPSRC) North West NanoscienceDoctoral Training Centre (NOWNANO DTC) grant referenceEP/G017905/1.

References

[1] Poulsen V 1899 Danish Patent No 2653[2] Moser A 2002 Magnetic recording: advancing into the future

J. Phys. D: Appl. Phys. 35 R157[3] Fontana R E, Hetzler S R and Decad G 2012 Technology

roadmap comparisons for TAPE, HDD, and NAND flash:implications for data storage applications IEEE Trans.Magn. 48 1692–6

[4] Dobisz E A, Bandic Z Z, Wu T W and Albrecht T 2008Patterned media: nanofabrication challenges of future diskdrives Proc. IEEE 96 1836–46

[5] Terris B D and Thomson T 2005 Nanofabricated andself-assembled magnetic structures as data storage mediaJ. Phys. D: Appl. Phys. 38 R199–222

[6] Piramanayagam S N 2007 Perpendicular recording media forhard disk drives J. Appl. Phys. 102 011301

[7] Piramanayagam S N and Srinivasan K 2009 Recording mediaresearch for future hard disk drives J. Magn. Magn. Mater.321 485–94

[8] Wood R 2000 The feasibility of magnetic recording at 1Terabit per square inch IEEE Trans. Magn. 36 36–42

25

Page 27: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

[9] Weller D and Moser A 1999 Thermal effect limits inultrahigh-density magnetic recording IEEE Trans. Magn.35 4423–39

[10] Richter H J 2007 The transition from longitudinal toperpendicular recording J. Phys. D: Appl. Phys.40 R149–77

[11] Kryder M H, Gage E C, McDaniel T W, Challener W A,Rottmayer R E, Ju G P, Hsia Y T and Erden M F 2008Heat assisted magnetic recording Proc. IEEE 96 1810–35

[12] Wang X B, Gao K Z, Zhou H, Itagi A, Seigler M and Gage E2013 HAMR recording limitations and extendibility IEEETrans. Magn. 49 686–92

[13] Ross C 2001 Patterned magnetic recording media Annu. Rev.Mater. Res. 31 203–35

[14] Shiroishi Y, Fukuda K, Tagawa I, Iwasaki H, Takenoiri S,Tanaka H, Mutoh H and Yoshikawa N 2009 Future optionsfor HDD storage IEEE Trans. Magn. 45 3816–22

[15] Richter H J, Lyberatos A, Nowak U, Evans R F L andChantrell R W 2012 The thermodynamic limits ofmagnetic recording J. Appl. Phys. 111 033909

[16] McDaniel T W 2012 Areal density limitation in bit-patterned,heat-assisted magnetic recording using FePtX mediaJ. Appl. Phys. 112 093920

[17] McDaniel T W 2005 Ultimate limits to thermally assistedmagnetic recording J. Phys.: Condens. Matter 17 R315–32

[18] Lille J, Patel K, Ruiz R, Wu T W, Gao H, Wan L, Zeltzer G,Dobisz E and Albrecht T R 2011 Imprint lithographytemplate technology for bit patterned media (BPM)Photomask Technology 2011 vol 8166 ed W Maurer andF E Abboud (Bellingham, WA: SPIE-International Societyof Optical Engineering) Proc. SPIE 816626

[19] Richter H J et al 2006 Recording potential of bit-patternedmedia Appl. Phys. Lett. 88 222512

[20] Schabes M E 2008 Micromagnetic simulations for terabit/in2

head/media systems J. Magn. Magn. Mater. 320 2880–4[21] Thomson T, Hu G and Terris B D 2006 Intrinsic distribution

of magnetic anisotropy in thin films probed by patternednanostructures Phys. Rev. Lett. 96 257204

[22] Shaw J M, Rippard W H, Russek S E, Reith T and Falco C M2007 Origins of switching field distributions inperpendicular magnetic nanodot arrays J. Appl. Phys.101 023909

[23] Hellwig O, Berger A, Thomson T, Dobisz E, Bandic Z Z,Yang H, Kercher D S and Fullerton E E 2007 Separatingdipolar broadening from the intrinsic switching fielddistribution in perpendicular patterned media Appl. Phys.Lett. 90 162516

[24] Kanda K et al 2013 A 19 nm 112.8 mm(2) 64 Gb multi-levelflash memory with 400 Mbit/sec/pin 1.8 V toggle modeinterface IEEE J. Solid-State Circuits 48 1590–67

[25] Wagner C and Harned N 2010 EUV lithography: lithographygets extreme Nature Photon. 4 24–6

[26] Albrecht T R et al 2013 Generation and transfer of large arealithographic patterns in the ∼10 nm feature size regimeSPIE Advanced Lithography Conf. (San Jose, CA)

[27] Solak H H and Ekinci Y 2007 Bit-array patterns with densityover 1 Tbit/in.2 fabricated by extreme ultravioletinterference lithography J. Vac. Sci. Technol. B25 2123–6

[28] Auzelyte V et al 2009 Extreme ultraviolet interferencelithography at the Paul Scherrer Institut J.Micro-Nanolithogr. MEMS and MOEMS 8 021204

[29] Sarkar S S, Solak H H, Raabe J, David C andvan der Veen J F 2010 Fabrication of Fresnel zone plateswith 25 nm zone width using extreme ultravioletholography Microelectron. Eng. 87 854–8

[30] Yang X, Xiao S, Wu W, Xu Y, Mountfield K, Rottmayer R,Lee K, Kuo D and Weller D 2007 Challenges in1 Teradot/inch2 dot patterning using electron beam

lithography for bit-patterned media J. Vac. Sci. Technol. B25 2202–9

[31] Vieu C, Carcenac F, Pepin A, Chen Y, Mejias M, Lebib A,Manin-Ferlazzo L, Couraud L and Launois H 2000Electron beam lithography: resolution limits andapplications Appl. Surf. Sci. 164 111–7

[32] Manfrinato V R, Zhang L, Su D, Duan H, Hobbs R G,Stach E A and Berggren K K 2013 Resolution limits ofelectron-beam lithography toward the atomic scale NanoLett. 13 1555–58

[33] Chang T H P 1975 Proximity effect in electron-beamlithography J. Vac. Sci. Technol. 12 1271–5

[34] Malloy M and Litt L C 2011 Technology review andassessment of nanoimprint lithography for semiconductorand patterned media manufacturing J. Micro-Nanolithogr.MEMS and MOEMS 10 032001

[35] Chou S Y, Krauss P R and Renstrom P J 1996 Nanoimprintlithography J. Vac. Sci. Technol. B 14 4129–33

[36] Bailey T, Choi B J, Colburn M, Meissl M, Shaya S,Ekerdt J G, Sreenivasan S V and Willson C G 2000 Stepand flash imprint lithography: template surface treatmentand defect analysis J. Vac. Sci. Technol. B 18 3572–7

[37] Schift H 2008 Nanoimprint lithography: an old story inmodern times? a review J. Vac. Sci. Technol. B 26 458–80

[38] Whitesides G M and Grzybowski B 2002 Self-assembly at allscales Science 295 2418–21

[39] Yang X, Wan L, Xiao S, Xu Y and Weller D K 2009 Directedblock copolymer assembly versus electron beamlithography for bit-patterned media with areal density of1 Terabit/inch2 and beyond ACS Nano 3 1844–58

[40] Xiao S, Yang X, Park S, Weller D and Russell T P 2009 Anovel approach to addressable 4 Teradot/in2 patternedmedia Adv. Mater. 21 2516–9

[41] Lodge T P 2003 Block copolymers: past successes and futurechallenges Macromol. Chem. Phys. 204 265–273

[42] Zhao D, Huo Q, Feng J, Chmelka B F and Stucky G D 1998Nonionic triblock and star diblock copolymer andoligomeric surfactant syntheses of highly ordered,hydrothermally stable, mesoporous silica structures J. Am.Chem. Soc. 120 6024–36

[43] Pochan D J, Chen Z, Cui H, Hales K, Qi K and Wooley K L2004 Toroidal triblock copolymer assemblies Science306 94–7

[44] Nardin C, Hirt T, Leukel J and Meier W 1999 PolymerizedABA triblock copolymer vesicles Langmuir 16 1035–41

[45] Ni Y, Rulkens R and Manners I 1996 Transition metal-basedpolymers with controlled architectures: well-definedpoly(ferrocenylsilane) homopolymers and multiblockcopolymers via the living anionic ring-openingpolymerization of silicon-bridged [1]ferrocenophanesJ. Am. Chem. Soc. 118 4102–14

[46] Ross C and Cheng J 2008 Patterned magnetic media made byself-assembled block-copolymer lithography MRS Bull.33 838–45

[47] Ross C A 2007 Self-assembled resists for nanolithographyMicrolithogr. World 16 4

[48] Bates F S and Fredrickson G H 1990 Block copolymerthermodynamics: theory and experiment Annu. Rev. Phys.Chem. 41 525–57

[49] Patel K C, Ruiz R, Lille J, Wan L, Dobisz E, Gao H,Robertson N, and Albrecht T R 2012 Line frequencydoubling of directed self assembly patterns for single-digitbit pattern media lithography Alternative LithographicTechnologies IV vol 8323 ed W M Tong and D J Resnick(Bellingham, WA: SPIE-International Society of OpticalEngineering) 8323OU

[50] Russell T P, Hjelm R P and Seeger P A 1990 Temperaturedependence of the interaction parameter of polystyreneand poly(methyl methacrylate) Macromolecules 23 890–3

26

Page 28: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

[51] Chevalier X et al 2013 Scaling-down lithographicdimensions with block-copolymer materials: 10 nm-sizedfeatures with PS-b-PMMA Alternative LithographicTechnologies V vol 8680 ed W M Tong and D J Resnick(Bellingham, WA: SPIE-International Society of OpticalEngineering) 031102

[52] Albrecht T R et al 2013 Bit patterned media at 1 Tdot/in2 andbeyond IEEE Trans. Magn. 49 773–8

[53] Cheng J Y, Ross C A, Smith H I and Thomas E L 2006Templated self-assembly of block copolymers: top-downhelps bottom-up Adv. Mater. 18 2505–21

[54] Tseng Y C and Darling S B 2010 Block copolymernanostructures for technology Polymers 2 470–89

[55] Biswas A, Bayer I S, Biris A S, Wang T, Dervishi E andFaupel F 2012 Advances in top-down and bottom-upsurface nanofabrication: techniques, applications & futureprospects Adv. Colloid Interface Sci. 170 2–27

[56] Albrecht T R, Hellwing O, Ruiz R, Schabes M E, Terris B Dand Wu X Z 2009 Bit-Patterned Magnetic Recording:Nanoscale Magnetic Islands for Data Storage NanoscaleMag. Mater. Appl. 237–74

[57] Berry B C, Bosse A W, Douglas J F, Jones R L and Karim A2007 Orientational order in block copolymer films zoneannealed below the order-disorder transition temperatureNano Lett. 7 2789–94

[58] Morkved T L, Lu M, Urbas A M, Ehrichs E E, Jaeger H M,Mansky P and Russell T P 1996 Local control ofmicrodomain orientation in diblock copolymer thin filmswith electric fields Science 273 931–3

[59] Hadziioannou G, Mathis A and Skoulios A 1979 Synthesis of3-block styrene-isoprene-styrene copolymersingle-crystals via plane shear-flow Colloid Polym. Sci.257 136–9

[60] De Rosa C, Park C, Thomas E L and Lotz B 2000Microdomain patterns from directional eutecticsolidification and epitaxy Nature 405 433–7

[61] Darling S B 2007 Directing the self-assembly of blockcopolymers Prog. Polym. Sci. 32 1152–204

[62] Ruiz R, Kang H, Detcheverry F A, Dobisz E, Kercher D S,Albrecht T R, de Pablo J J and Nealey P F 2008 Densitymultiplication and improved lithography by directed blockcopolymer assembly Science 321 936–9

[63] Thurn-Albrecht T, Steiner R, DeRouchey J, Stafford C M,Huang E, Bal M, Tuominen M, Hawker C J and Russell T2000 Nanoscopic templates from oriented blockcopolymer films Adv. Mater. 12 787–91

[64] Naito K, Hieda H, Sakurai M, Kamata Y and Asakawa K2002 2.5-inch disk patterned media prepared by anartificially assisted self-assembling method Magn. IEEETrans. 38 1949–51

[65] Ting Y H, Park S M, Liu C C, Liu X S, Himpsel F J,Nealey P F and Wendt A E 2008 Plasma etch removal ofpoly(methyl methacrylate) in block copolymer lithographyJ. Vac. Sci. Technol. B 26 1684–9

[66] Xiao S G, Yang X M, Edwards E W, La Y H and Nealey P F2005 Graphoepitaxy of cylinder-forming blockcopolymers for use as templates to pattern magnetic metaldot arrays Nanotechnology 16 S324–9

[67] Cheng J Y, Ross C A, Chan V Z H, Thomas E L,Lammertink R G H and Vancso G J 2001 Formation of acobalt magnetic dot array via block copolymer lithographyAdv. Mater. 13 1174–8

[68] Hu G, Thomson T, Albrecht M, Best M E, Terris B D,Rettner C T, Raoux S, McClelland G M and Hart M W2004 Magnetic and recording properties of Co/Pd islandson prepatterned substrates J. Appl. Phys. 95 7013–5

[69] Wan L, Ruiz R, Gao H, Patel K C, Lille J, Zeltzer G,Dobisz E A, Bogdanov A, Nealey P F and Albrecht T R2012 Fabrication of templates with rectangular bits on

circular tracks by combining block copolymer directedself-assembly and nanoimprint lithographyJ. Micro-Nanolithogr. MEMS and MOEMS 11 031405

[70] Tseng Y C, Peng Q, Ocola L E, Elam J W, and Darling S B2011 Enhanced block copolymer lithography usingsequential infiltration synthesis J. Phys. Chem. C115 17725–9

[71] Tseng Y C, Mane A U, Elam J W and Darling S B 2012Enhanced lithographic imaging layer meets semiconductormanufacturing specification a decade early Adv. Mater.24 2608–13

[72] Peng Q, Tseng Y C, Darling S B and Elam J W 2010Nanoscopic patterned Materials with tunable dimensionsvia atomic layer deposition on block copolymers Adv.Mater. 22 5129–33

[73] Peng Q, Tseng Y C, Darling S B and Elam J W 2011 A routeto nanoscopic materials via sequential infiltration synthesison block copolymer templates Acs Nano 5 4600–6

[74] Tseng Y C, Peng Q, Ocola L E, Czaplewski D A, Elam J Wand Darling S B 2011 Enhanced polymeric lithographyresists via sequential infiltration synthesis J. Mater. Chem.21 11722–5

[75] Ruiz R, Wan L, Lille J, Patel K C, Dobisz E, Johnston D E,Kisslinger K and Black C T 2012 Image quality and patterntransfer in directed self assembly with block-selectiveatomic layer deposition J. Vac. Sci. Technol. B30 06F202

[76] Moser A, Hellwig O, Kercher D and Dobisz E 2007 Off-trackmargin in bit patterned media Appl. Phys. Lett.91 162502

[77] Dusa M et al 2007 Pitch doubling through dual patterninglithography challenges in integration and lithobudgets—art. no. 65200G Optical Microlithography XX,Pts 1-3 vol 6520 ed D G Flagello (Bellingham, WA:SPIE-International Society of Optical Engineering)p G5200

[78] Jung W Y, Kim S M, Kim C D, Sim G H, Jeon S M, ParkS W, B S Lee, Park S K, Kim J S and Heon L S 2007Patterning with amorphous carbon spacer for expandingthe resolution limit of current lithography tool—art. no.65201C Optical Microlithography XX, Pts 1-3 vol 6520 edD G Flagello (Bellingham, WA: SPIE-InternationalSociety of Optical Engineering) p C5201

[79] Cheng J Y, Mayes A M and Ross C A 2004 Nanostructureengineering by templated self-assembly of blockcopolymers Nature Mater. 3 823–8

[80] Kamata Y, Kikitsu A, Hieda H, Sakurai M, Naito K, Bai J Mand Ishio S 2007 Microscopic magnetic characteristics ofCoCrPt-patterned media made by artificially alignedself-organized mask Japan. J. Appl. Phys. Part 1-RegularPapers Brief Communications & Review Papers46 999–1002

[81] Kikitsu A, Maeda T, Hieda H, Yamamoto R, Kihara N andKamata Y 2013 5 Tdots/in2 bit patterned media fabricatedby a directed self-assembly mask IEEE Trans. Magn.49 693–8

[82] Segalman R A, Yokoyama H and Kramer E J 2001Graphoepitaxy of spherical domain block copolymer filmsAdv. Mater. 13 1152–5

[83] Bita I, Yang J K W, Jung Y S, Ross C A, Thomas E L andBerggren K K 2008 Graphoepitaxy of self-assembledblock copolymers on two-dimensional periodic patternedtemplates Science 321 939–43

[84] Chang J B, Son J G, Hannon A F, Alexander-Katz A,Ross C A and Berggren K K 2012 Aligned sub-10-nmblock copolymer patterns templated by post arrays AcsNano 6 2071–7

[85] Edwards E W, Montague M F, Solak H H, Hawker C J andNealey P F 2004 Precise control over molecular

27

Page 29: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

dimensions of block-copolymer domains using theinterfacial energy of chemically nanopatterned substratesAdv. Mater. 16 1315–9

[86] Li W H, Xie N, Qiu F, Yang Y L and Shi A C 2011 Orderingkinetics of block copolymers directed by periodictwo-dimensional rectangular fields J. Chem. Phys.134 144901

[87] Tang Q Y and Ma Y Q 2010 High density multiplication ofgraphoepitaxy directed block copolymer assembly ontwo-dimensional lattice template Soft Matter 6 4460–5

[88] Hong S W, Gu X, Huh J, Xiao S and Russell T P 2011Circular nanopatterns over large areas from theself-assembly of block copolymers guided by shallowtrenches Acs Nano 5 2855–60

[89] Park S, Lee D H, Xu J, Kim B, Hong S W, Jeong U, Xu T andRussell T P 2009 Macroscopic 10-terabit-per-square- incharrays from block copolymers with lateral order Science323 1030–3

[90] Jung Y S, Jung W and Ross C A 2008 Nanofabricatedconcentric ring structures by templated self-assembly of adiblock copolymer Nano Lett. 8 2975–81

[91] Wang H, Zhao H B, Rahman T, Isowaki Y, Kamata Y,Maeda T, Hieda H, Kikitsu A and Wang J P 2013Fabrication and characterization of FePt exchange coupledcomposite and graded bit patterned media IEEE Trans.Magn. 49 707–12

[92] Kim S O, Solak H H, Stoykovich M P, Ferrier N J, dePablo J J and Nealey P F 2003 Epitaxial self-assembly ofblock copolymers on lithographically definednanopatterned substrates Nature 424 411–4

[93] Tada Y, Akasaka S, Takenaka M, Yoshida H, Ruiz R,Dobisz E and Hasegawa H 2009 Nine-fold densitymultiplication of hcp lattice pattern by directedself-assembly of block copolymer Polymer50 4250–6

[94] Tada Y, Akasaka S, Yoshida H, Hasegawa H, Dobisz E,Kercher D and Takenaka M 2008 Directed self-assemblyof diblock copolymer thin films on chemically-patternedsubstrates for defect-free nano-patterning Macromolecules41 9267–76

[95] Wan L and Yang X 2009 Directed self-assembly ofcylinder-forming block copolymers: prepatterning effecton pattern quality and density multiplication factorLangmuir 25 12408–13

[96] Cheng J Y, Rettner C T, Sanders D P, Kim H-C andHinsberg W D 2008 Dense self-assembly on sparsechemical patterns: rectifying and multiplying lithographicpatterns using block copolymers Adv. Mater. 20 3155–8

[97] Ruiz R, Dobisz E and Albrecht T R 2011 Rectangularpatterns using block copolymer directed assemblyfor high bit aspect ratio patterned media Acs Nano5 79–84

[98] Xiao S A G, Yang X M, Lee K Y, ver der Veerdonk R J M,Kuo D and Russell T P 2011 Aligned nanowires andnanodots by directed block copolymer assemblyNanotechnology 22 305302

[99] Xiao S, Yang X, Lee K Y, Hwu J J, Wago K and Kuo D 2013Directed self-assembly for high-density bit-patternedmedia fabrication using spherical block copolymersJ. Micro/Nanolithogr. MEMS and MOEMS 12 031110

[100] Schmid G M et al 2009 Step and flash imprint lithography formanufacturing patterned media J. Vac. Sci. Technol. B27 573–80

[101] Lille J et al 2012 Integration of servo and high bit aspect ratiodata patterns on nanoimprint templates for patternedmedia IEEE Trans. Magn. 48 2757–60

[102] Hadjichristidis N, Pispas S and Floudas G 2002 BlockCopolymers: Synthetic Strategies, Physical Properties,and Applications (New York: Wiley)

[103] Liu G L, Nealey P F, Ruiz R, Dobisz E, Patel K C andAlbrecht T R 2011 Fabrication of chevron patterns forpatterned media with block copolymer directed assemblyJ. Vac. Sci. Technol. B 29 06F204

[104] Kihara N, Yamamoto R, Sasao N, Shimada T, Yuzawa A,Okino T, Ootera Y, Kamata Y and Kikitsu A 2012Fabrication of 5 Tdot/in.2 bit patterned media with servopattern using directed self-assembly J. Vac. Sci. Technol. B30 06FH02

[105] Hughes E C and Messner W C 2003 New servo pattern forhard disk storage using pattern media J. Appl. Phys.93 7002–4

[106] Lin X D, Zhu J G and Messner W 2000 Investigation ofadvanced position error signal patterns in patterned mediaJ. Appl. Phys. 87 5117–9

[107] Kamata Y, Kikitsu A, Kihara N, Morita S, Kimura Kand Izumi H 2011 Fabrication of ridge-and-grooveservo pattern consisting of self-assembled dots for2.5 Tb/in2 bit patterned media IEEE Trans. Magn.47 51–4

[108] Han Y and de Callafon R A 2009 Evaluating track-followingservo performance of high-density hard disk drives usingpatterned media IEEE Trans. Magn. 45 5352–9

[109] Yamamoto R, Yuzawa A, Shimada T, Ootera Y, Kamata Y,Kihara N and Kikitsu A 2012 Nanoimprint moldfor 2.5 Tbit/in.2 directed self-assembly bit patternedmedia with phase servo pattern Japan. J. Appl. Phys.51 046503

[110] Yang X M, Xu Y, Seiler C, Wan L and Xiao S G 2008 Toward1 Tdot/in.2 nanoimprint lithography for magneticbit-patterned media: opportunities and challenges J. Vac.Sci. Technol. B 26 2604–10

[111] Singhal S, Attota R and Sreenivasan S V 2012 Residual layerthickness control and metrology in jet and flash imprintlithography Metrology, Inspection, and Process Controlfor Microlithography XXVI, Pts 1 and 2 vol 8324 ed AStarikov (Bellingham, WA: SPIE-International Society ofOptical Engineering) 832434

[112] Singh L, Luo K, Ye Z M, Xu F, Haase G, Curran D, LaBrakeD, Resnick D and Sreenivasan S V 2011 Defect reductionof high-density full-field patterns in jet and flash imprintlithography J. Micro/Nanolithogr. MEMS and MOEMS10 033018

[113] Ye Z M, Ramos R, Brooks C, Simpson L, Fretwell J,Carden S, Hellebrekers P, LaBrake D, Resnick D J andSreenivasan S V 2011 High density patterned mediafabrication using jet and flash imprint lithographyAlternative Lithographic Technologies III vol 7970 edD J C Herr (Bellingham, WA: SPIE-International Societyof Optical Engineering) 79700L

[114] Schmid G, Brooks C, Ye Z, Johnson S, LaBrake D,Sreenivasan S V and Resnick D 2009 Jet and flash imprintlithography for the fabrication of patterned media drivesSPIE Proc. 7488 748820

[115] Ye Z M, Carden S, Hellebrekers P, LaBrake D, Resnick D J,Melliar-Smith M and Sreenivasan S V 2012 Imprintprocess performance for patterned media at densitiesgreater than 1 Tb/in2 Alternative LithographicTechnologies IV vol 8323 ed W M Tong and D J Resnick(Bellingham, WA: SPIE-International Society of OpticalEngineering) 8323OV

[116] Dauksher W J, Le N V, Gehoski K A, Ainley E S,Nordquist K J and Joshi N 2007 An electricaldefectivity characterization of wafers imprinted withstep and flash imprint lithography—art. no. 651714Emerging Lithographic Technologies XI, Pts 1and 2 vol 6517 ed M J Lercel (Bellingham, WA:SPIE-International Society of Optical Engineering)651714

28

Page 30: Directed self-assembly of block copolymers for use in bit ... · Block copolymer directed self-assembly (BCP DSA) is the leading candidate for forming BPM due to its ability to create

J. Phys. D: Appl. Phys. 46 (2013) 503001 Topical Review

[117] Long B K, Keitz B K and Willson C G 2007 Materials forstep and flash imprint lithography (S-FIL (R)) J. Mater.Chem. 17 3575–80

[118] Singh S, Chen S W, Dress P, Kurataka N, Gauzner G andDietze U 2010 Advanced cleaning of nano-imprintlithography template in patterned media applicationsPhotomask Technology 2010 vol 7823ed M W Montgomery and W Maurer (Bellingham, WA:SPIE-International Society of Optical Engineering)78232T

[119] Yang X M, Xu Y, Lee K, Xiao S G, Ku D andWeller D 2009 Advanced lithography forbit patterned media IEEE Trans. Magn.45 833–8

[120] Malloy M and Litt L C 2010 Step and flash imprintlithography for semiconductor high volumemanufacturing? Alternative Lithographic Technologies IIvol 7637 ed D J C Herr (Bellingham, WA:SPIE-International Society of Optical Engineering)763706

[121] Selinidis K S, Brooks C B, Doyle G F, Brown L, Jones C,Imhof J, LaBrake D L, Resnick D J and Sreenivasan S V2011 Progress in mask replication using jet and flashimprint lithography Alternative Lithographic TechnologiesIII vol 7970 ed D J C Herr (Bellingham, WA:SPIE-International Society of Optical Engineering)797009

[122] Kihara N, Hieda H and Naito K 2008 NIL moldmanufacturing using self-organized diblock copolymer aspatterning template—art. no. 692126 EmergingLithographic Technologies XII, Pts 1 and 2 vol 6921ed F M Schellenberg (Bellingham, WA: SPIE-InternationalSociety of Optical Engineering) 692126

[123] Ootera Y, Yuzawa A, Shimada T, Yamamoto R, Kamata Y,Kihara N and Kikitsu A 2011 Nanoimprint process for2.5 Tb/in2 bit patterned media fabricated byself-assembling method, in Alternative LithographicTechnologies III vol 7970 ed D J C Herr (Bellingham, WA:SPIE-International Society of Optical Engineering)7970OK

29