doe plasma science center - investigation of thin...

10
Journal of Physics D: Applied Physics PAPER Investigation of thin oxide layer removal from Si substrates using an SiO 2 atomic layer etching approach: the importance of the reactivity of the substrate To cite this article: Dominik Metzler et al 2017 J. Phys. D: Appl. Phys. 50 254006 View the article online for updates and enhancements. Related content Atomic layer etching of silicon dioxide using alternating C4F8 and energetic Ar+ plasma beams Sanbir S Kaler, Qiaowei Lou, Vincent M Donnelly et al. - Mitigation of plasma-induced damage in porous low-k dielectrics by cryogenic precursor condensation Liping Zhang, Jean-François de Marneffe, Floriane Leroy et al. - Study of plasma - surface interactions: chemical dry etching and high-density plasma etching G S Oehrlein, P J Matsuo, M F Doemling et al. - Recent citations Editorial for achieving atomistic control in plasma–material interactions Gottlieb S Oehrlein et al - This content was downloaded from IP address 128.8.86.144 on 08/02/2018 at 17:26

Upload: others

Post on 12-Jun-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

Journal of Physics D: Applied Physics

PAPER

Investigation of thin oxide layer removal from Sisubstrates using an SiO2 atomic layer etchingapproach: the importance of the reactivity of thesubstrateTo cite this article: Dominik Metzler et al 2017 J. Phys. D: Appl. Phys. 50 254006

 

View the article online for updates and enhancements.

Related contentAtomic layer etching of silicon dioxideusing alternating C4F8 and energetic Ar+plasma beamsSanbir S Kaler, Qiaowei Lou, Vincent MDonnelly et al.

-

Mitigation of plasma-induced damage inporous low-k dielectrics by cryogenicprecursor condensationLiping Zhang, Jean-François de Marneffe,Floriane Leroy et al.

-

Study of plasma - surface interactions:chemical dry etching and high-densityplasma etchingG S Oehrlein, P J Matsuo, M F Doemlinget al.

-

Recent citationsEditorial for achieving atomistic control inplasma–material interactionsGottlieb S Oehrlein et al

-

This content was downloaded from IP address 128.8.86.144 on 08/02/2018 at 17:26

1 © 2017 IOP Publishing Ltd Printed in the UK

Dominik Metzler1, Chen Li2, C Steven Lai3, Eric A Hudson3 and Gottlieb S Oehrlein1

1 Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, MD 20740, United States of America2 Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, MD 20740, United States of America3 Lam Research Corporation, 4400 Cushing Parkway, Fremont, CA 94538, United States of America

E-mail: [email protected]

Received 1 March 2017, revised 1 May 2017Accepted for publication 9 May 2017Published 6 June 2017

AbstractThe evaluation of a plasma-based atomic layer etching (ALE) approach for native oxide surface removal from Si substrates is described. Objectives include removal of the native oxide while minimizing substrate damage, surface residues and substrate loss. Oxide thicknesses were measured using in situ ellipsometry and surface chemistry was analyzed by x-ray photoelectron spectroscopy. The cyclic ALE approach when used for removal of native oxide SiO2 from a Si substrate did not remove native oxide to the extent required. This is due to the high reactivity of the silicon substrate during the low-energy (<40 eV) ion bombardment phase of the cyclic ALE approach which leads to reoxidation of the silicon surface. A modified process, which used continuously biased Ar plasma with periodic CF4 injection, achieved significant oxygen removal from the Si surface, with some residual carbon and fluorine. A subsequent H2/Ar plasma exposure successfully removed residual carbon and fluorine while passivating the silicon surface. The combined treatment reduced oxygen and carbon levels to about half compared to as received silicon surfaces. The downside of this process sequence is a net loss of about 40 Å of Si. A generic insight of this work is the importance of the substrate and final surface chemistry in addition to precise etch control of the target film for ALE processes. By a fluorocarbon-based ALE technique, thin SiO2 layer removal at the Ångstrom level can be precisely performed from an inert substrate, e.g. a thick SiO2 layer. However, from a reactive substrate, like Si, complete removal of the thin SiO2 layer is prevented by the high reactivity of low energy Ar+ ion bombarded Si. The Si surfaces are reoxidized during the ALE ion bombardment etch step, even for very clean and ultra-low O2 process conditions.

Keywords: atomic layer etching, ALE, surface cleaning, oxide etching, plasma etching, XPS, surface oxidation

(Some figures may appear in colour only in the online journal)

Journal of Physics D: Applied Physics

Investigation of thin oxide layer removal from Si substrates using an SiO2 atomic layer etching approach: the importance of the reactivity of the substrate

D Metzler et al

Printed in the UK

254006

JPAPBE

© 2017 IOP Publishing Ltd

50

J. Phys. D: Appl. Phys.

JPD

10.1088/1361-6463/aa71f1

Paper

25

Journal of Physics D: Applied Physics

IOP

2017

1361-6463

1361-6463/17/254006+9$33.00

https://doi.org/10.1088/1361-6463/aa71f1J. Phys. D: Appl. Phys. 50 (2017) 254006 (9pp)

D Metzler et al

2

1. Introduction

One challenge in atomic scale surface engineering is the minimization of substrate damage and surface residues while achieving etch control at the Ångstrom level [1–3]. Device performance can be greatly reduced by defects and damage introduced during processing [3, 4]. Minimizing substrate damage is therefore crucial for the success of any process at the atomic scale [5]. These considerations are of great impor-tance, for example, for source/drain and metalized regions of materials such as Si and SiGe [6]. When continuous plasma etching of Si-based material using fluorocarbon (FC) con-taining Ar plasma is performed [7], high ion energies are required to counter the FC deposition. Such etching processes introduce substrate damage, and leave behind FC residues on the surface after etching [8–13]. Atomic scale processes require controlling unwanted contamination and preventing formation of a reacted surface layer. Direct plasma exposure is accompanied by ion bombardment which, even for low ion bombardment energies (<40 eV), can interact reactive species fluxes at the surface and produce a mixed layer. These mixed layers are thin when using low energies, but are not completely avoidable [1, 14]. In addition, Si surfaces are typically very reactive, especially during plasma exposure when passivating species are being removed by ion bombardment. To keep such processed surfaces free of contamination requires additional passivation. The field of atomic layer etching (ALE) has seen several recent advances [10, 15, 16], for example, Kim et al have investigated the possibility of removing damaged layers by employing ALE [17, 18]. The possibility of removing SiO2 with Ångstrom precision using a steady-state Ar plasma in conjunction with periodic, precise precursor injection and synchronized low energy (<30 eV), plasma-based Ar+ ion bombardment has been demonstrated [19].

The high degree of control of material removal appears to offer a potential new approach for surface cleaning. In this work we examine the possibility of removing thin, native oxide layers from crystalline Si substrates by adapting a cyclic SiO2 ALE process while aiming to minimize surface residues and substrate damage. Dilute HF wet clean and continuous Ar plasma exposure serve as comparisons. As we will dis-cuss below, silicon surface oxidation during low energy Ar sputtering is a key issue, even for very low residual levels of oxygen in the plasma environment. This work is the first one using optimized FC-based ALE process to examine thin oxide removal from Si, a prototypical reactive substrate.

2. Experimental procedures

All processes examined in this work are of a cyclic nature and utilize periodic precursor injection to control the amount of chemical etchant available. Details of the inductively cou-pled plasma system excited at 13.56 MHz used for this work have been described previously [20–23]. A schematic of the experiment setup has been shown in figure 1. The plasma was confined within a 195 mm diameter anodized Al confinement ring. A 125 mm diameter Si substrate was located 150 mm

below the top electrode on an electrostatic chuck and can be biased at 3.7 MHz. The self bias voltage is being measured with respect to ground with a voltage probe attached to the Si substrate. The variation in ion energy is expected to be on the order of ±5 eV, based on the breadth of the ion energy distribution and measurement uncertainties. The base pres-sure achieved before processing was on the order of 10−7 Torr and the temperature of the samples (25 × 25 mm2), thermally glued on Si substrate, was stabilized by substrate cooling (10 °C) during plasma processing. Standard process condi-tions were 10 mTorr processing pressure, 200 W source power, and 30 eV maximum ion energy. Bulk Si wafers with a native SiO2 layer were used to study the removal of a thin oxide layer from a Si surface. All samples were loaded into the process chamber using a load lock. A standard oxygen clean and Ar conditioning plasma in between each experiment ensured that chamber conditions were as consistent as possible.

Film thicknesses were monitored in real-time with Ångstrom level resolution in situ ellipsometry [24] (λ = 632.8 nm) operating in the polarizer-rotating compen-sator-sample-analyzer configuration. The angle of incidence is ≈72°. Measurements are performed in Ψ-Δ-space, where Ψ and Δ correspond to changes in the phase and relative amplitude of the polarized laser light components. Optical multilayer modeling was used for interpretation of recorded data and to establish real-time thickness changes. After pro-cessing, samples were transferred under vacuum to a Vacuum Generators ESCALAB MK II surface analysis system for x-ray Photoelectron Spectroscopy (XPS). Narrow scan

Figure 1. Schematic of the inductively coupled plasma system used. The plasma is excited at 13.56 MHz connected with a matching network to the induction coil. The bias power is driven at 3.7 MHz to the electrostatic chuck. Precise precursor pulses are injected into the processing chamber with a specialized feedgas setup.

J. Phys. D: Appl. Phys. 50 (2017) 254006

D Metzler et al

3

spectra of the Si 2p, Si 2s, C 1s, O 1s, and F 1s were obtained at 20 eV pass energy at an electron take-off angle of 20° with respect to the sample surface. Spectra were fit and decom-posed using least-square-fitting after Shirley background sub-traction [25, 26]. The spectral decomposition was adjusted slightly for Si substrates. Si 2p spectra were fit with peaks corresponding to Si–Si, SiF, SiF2, SiF3, SiO2, and fluorinated silicon oxide. C 1s spectra were fit with peaks corresponding to C–C, C–CFx (x = 1–3), CF, CF2, and CF3. O 1s spectra were fit with peaks corresponding to SiO2 and fluorinated silicon oxide. F 1s spectra were fit with peaks corresponding to SiFx (x = 1, 2, and 3), fluorinated silicon oxide, and CF. For a particular sample, all fits were required to be consistent across all individual spectra, i.e. the chemical information gained from Si 2s, Si 2p, C 1s, O 1s, and F 1s was internally

consistent. Additional information about this analysis method can be found in previous publications [14, 27–34].

3. Results and discussion

3.1. Removal of thin, native SiO2 from silicon surfaces

3.1.1. Dilute HF acid wet clean. To evaluate the removal of a thin oxide film from a Si surface, bulk Si wafers covered with native silicon dioxide layers of ≈20 Å thickness were studied. A common approach to remove oxide from Si substrates is a dilute HF acid wet clean. This wet clean offers a highly selec-tive oxide removal, and will be used as a baseline. Figure 2 shows the surface chemistry of a Si substrate with native oxide in comparison to one after a 60 s treatment in 1% dilute HF

Figure 2. XPS spectra of the (a) Si 2p, (b) C 1s, (c) O 1s, and (d) F 1s measured for a pristine Si sample (black squares) and after a dilute HF wet clean (red circles).

J. Phys. D: Appl. Phys. 50 (2017) 254006

D Metzler et al

4

solution. The Si 2p and O 1s spectra clearly show that the wet clean is removing the native oxide, leaving a clean Si surface with only small amounts of carbon and fluorine. Below we will compare silicon surfaces after various dry etching steps to the results after a dilute HF wet clean.

3.1.2. Ar sputtering. Plasma-based Ar sputtering is often per-formed in process chambers prior to metallization, and serves as another reference for the ALE-based methods of thin SiO2 removal. Figure 3 shows the relative thickness change of the native SiO2 layer when exposed to Ar plasma. In the case of an unbiased plasma, with a maximum ion energy of ≈15 eV, initial etching of the oxide is observed before net surface oxidation takes place after 30 s of plasma exposure. Surface analysis confirmed higher levels of oxidized silicon on the surface after Ar sputtering. Increasing the ion bombardment energies to ≈30 eV accelerated the reoxidation process signif-icantly [27]. Since no oxidation is observed without plasma, the energy dependence indicates ions as an important comp-onent of plasma that is enabling the oxidation of the Si surface by oxygen in the chamber. This ion enhanced reoxidation of the Si surface can take place even with very low levels of oxy-gen present for this process chamber without environmental exposure that would introduce O2 and H2O [26]. Several pre-vious studies suggest erosion of the quartz coupling window as a possible source of oxygen for inductively coupled plasma systems [35–38].

3.1.3. Cyclic FC-based ALE process. Studies were per-formed utilizing a process developed for ALE of SiO2 layers [26]. Periodic, precursor injection was coupled with a syn-chronized low energy Ar+ ion bombardment. The cyclic process is schematically shown in figure  4, consisting of a deposition step and sequential Ar+ ion based etch step. Dur-ing the deposition step, a precise precursor injection depos-its a FC film on the order of several Ångstrom. Subsequent

low energy plasma-based Ar+ ion bombardment (up to about 40 eV) has been shown to remove the FC film together with an Ångstrom layers of FC reacted SiO2 in a self-limited fash-ion [20]. This process was applied to bulk, crystalline Si with the aim to remove the thin, native oxide layer, and minimize surface residues. This work showed a very different behavior when removing thin SiO2 layers from Si from the controlled removal of bulk SiO2. In situ ellipsometry showed limited SiO2 thickness reduction for a large number of subsequent cycles. Neither the FC layer thickness deposited per cycle, nor the ion energy during the etch step, have a significant impact on the oxide thickness evolution. When reducing the etch step length from 40 s to 20 s to potentially reduce oxidation dur-ing a reactant starved phase at the end of each etch step, no significant impact on the oxide thickness evolution was seen. Additionally, the impact of the precursor has been explored by comparing C4F8 and CF4 as examples for a deposition precur-sor and an etching gas. The overall results using this cyclic ALE approach are similar for all conditions explored with both precursors in terms of thickness evolution as measured by in situ ellipsometry and surface chemistry as measured by XPS. All of these parameters (i.e. FC film thickness deposited per cycle, ion energy, etch step length, and precursor) have shown, however, a significant impact on etch depth per cycle during ALE of bulk SiO2 and Si in other work [20, 28, 39]. One possible reason for this SiO2 ineffetive etching is as the top oxide layer getting thinner ≈10 Å, ion enhanced surface reoxidation will prohibit the oxide etching. Top layer (FC and native oxide) thickness evolution during a typical FC-based bulk Si ALE process has been shown in figure 5. Figure 5(a) shows the thickness versus time in cycle number 27, where the ion bombardment etching step has been shadowed. At the later part of etching step, due to the depletion of etchant, ion enhanced reoxidation will become more important, which stops the top layer etching, and leaves a similar thickness or even thicker than at cycle starts. The overall top layer thickness evolution during the whole process as indicated in figure 5(b) shows that we could only etch the native oxide to about 15–20 Å by this type of ALE process on bulk Si, rather than continuously etching SiO2 on bulk SiO2 substrate. This observation indicates that as the top SiO2 layer on Si gets thin-ner, the substrate reactivity will have a greater impact on the top surface etching behavior. Ion enhanced reoxidation effect is the major obstacle to overcome in order to achieve success-ful native oxide removal from Si. It is also shown in figure 3, which indicates that for a given native oxide layer thickness on Si—in this work about 20 Å—the maximum amount of oxide material removed decreases as ion energy goes up. This is plausible, since ion bombardment for Ar plasma with a small amount of oxygen contamination will lead to ion induced oxi-dation/mixing of oxygen into the Si surface region. The thick-ness of the mixed layer will increase with penetration depth of ions and ion energy, limiting the oxide thickness removal.

Surface chemistry analysis after the cyclic ALE process showed significant amounts of oxygen and fluorine, but low amounts of carbon on the surface for all conditions explored. Therefore, the film thickness measured by ellipsometry on

0 20 40 60 80 100-7

-6

-5

-4

-3

-2

-1

0

1 Ar plasma sputtering of Si200 W, 10 mTorr

[ ssenkcihT ni egnah

C]

Time [s]

15 eV30 eV

Figure 3. Relative thickness change of Si native oxides exposed to Ar plasma with 15 eV (black) and 30 eV (red) ion energy.

J. Phys. D: Appl. Phys. 50 (2017) 254006

D Metzler et al

5

top of the Si surface is fluorinated oxide and not residual FC from the deposition. We conclude that while the cyclic ALE process allows for controlled etching of SiO2 and Si, its use-fulness for native SiO2 layer removal from a highly reactive Si surface cleaning is limited for the conditions and exper-imental chamber used here, regardless of the process param-eters explored. More extensive work on ALE of Si showed that a fluorinated oxide layer persists during the etching of Si substrates [28]. One of the limitations when using this cyclic ALE approach is reoxidation of the Si surface during the reac-tant starved ion bombardment step, similar to the reoxidation seen in figure 3 [26, 28, 37, 38]. Towards the end of each etch cycle the surface becomes fluorine starved. Low energy Ar+ ions along with low fluxes of oxygen neutrals and ions are still striking the reactive Si surface during this time. The most likely source of the very low levels of oxygen impurities that induce reoxidation of the surface in the present apparatus appears to be oxygen introduction by Ar+ bombardment of the quartz coupling window. These factors limit the possibility of using this cyclic ALE approach, developed for controlled bulk material removal, for removal of thin oxide layers from Si surfaces.

3.1.4. Cyclic CF4 injection into continuously biased Ar plasma. To overcome the issue of ion induced reoxidation during the F starved Ar+ ion bombardment etching stage, pro-cess changes were implemented to favor minimum reoxida-tion and carbon contamination. Minimum reoxidation requires short ion bombardment times. Figure 6 shows a schematic of the process. A continuously biased Ar plasma provides low energy (30 eV) Ar+ ion bombardment while periodic CF4 pre-cursor injections were used to provide intermittent supply of chemical etchant to increase oxide etching while at the same time limiting FC film deposition. In addition, CF4 injection rather than C4F8 was used, to improve FC deposition control based on the lower FC film deposition yield for CF4.

Reducing the etch time in between injections from 20 s to 4 s, with the goal of minimizing the thickness increase after the etch stops, led to several strong changes in the thick-ness trajectory, as shown in figure 7, and surface chemistry. For the same 4 s duration of CF4 injection, the net thickness etched per CF4 injection for the (4 s CF4/Ar; 4 s Ar) treatment was much less than seen for the case with extended Ar ion bombardment (4 s CF4/Ar; 20 s Ar). Additionally, the amount of oxidized thickness per cycle regrown is also reduced for the (4 s CF4 /Ar; 4 s Ar) relative to the (4 s CF4 /Ar; 20 s Ar)

case. This suggests that the reoxidized layer is highly reactive and volatile and easily removed again during the subsequent cycle. The two effects essentially cancel each other, leading to a similar overall small net total thickness reduction at the end

FC

Ion Energy

Ar Plasma

40 s

2 s

12 s

10 mTorr pressure, 200 W source power

Etch hctEnoitisopeD hctEnoitisopeD Deposition

Original ALE Process

up to 40 eV

Figure 4. Schematic of the cyclic ALE process used for SiO2 etching. A FC film deposition step is followed by a subsequent etch step with low energy Ar+ ion bombardment.

0 10 20 30 40 50 60 7018

19

20

21

22

23

24

[ ssenkcihT reyaL

opT

]

Relative Time [s]

Reoxidation

Cycle #27C4F

8

30 eV

0 5 10 15 20 25 30 35-50

-40

-30

-20

-10

0

on bulk Si on bulk SiO

2

[ egnahC ssenkcih

T reyaL poT lato

T]

Cycle

C4F

8

30 eV

Etch Stop

Figure 5. Bulk Si sample top layer (FC and oxide) thickness evolution during ALE process using 30 eV and C4F8. (a) Top layer thickness change over time in cycle number 27. Grey shadowing marks the etch step. (b) Overall top layer thickness evolution versus cycle numbers. Native oxide can only be etched to about 15–20 Å by the ALE process.

J. Phys. D: Appl. Phys. 50 (2017) 254006

D Metzler et al

6

of the Ar bombardment of the cycles. Surface chemistry anal-ysis showed, however, that the shorter etches treatment lead to significant oxygen removal while leaving a residual carbon film. This essentially transforms the problem at hand from removing oxide to removing carbon films. The continuously biased process successfully removes oxygen from the surface, but leaves residual carbon and fluorine due to a potentially highly reactive Si surface produced by ion bombardment. One disadvantage of this approach is that it leads to the loss of a fairly large amount of underlying Si substrate material for the process conditions examined. In separate work we determined a substrate Si consumption of about 35 Å during five top oxide ALE cycles.

3.1.5. Post etch H2/Ar treatment. Hydrogen-based cleaning procedures have been explored in the past, e.g. see [36, 40–42]. It has been shown that hydrocarbons can be removed using an H2 plasma [43]. Additionally, Si surfaces can be passivated by hydrogen exposure [44–46]. Previous work has shown that SiO2 etch rates vanish, even with fluorine still present in a reacted surface layer [47, 48]. This suggests that a plasma-based process using a fluorine chemistry will always show at least some residual fluorinated silicon after the process.

As discussed above, the oxygen removal procedure produced a reactive Si surface with residual carbon and fluorine. There-fore, an additional process step to remove these residues left on the surface after a cyclic CF4 continuously biased plasma, as well as to terminate the surface to prevent reoxida-tion, was explored. An H2/Ar plasma treatment performed at 100 mTorr pressure and 400 W source power is promising in this respect. A higher processing pressure was chosen to increase col lisions between gas molecules to minimize ion bombardment of the surface. H2 admixtures of 0%, 10%, 90%, and 100% H2 in Ar were explored, allowing for a H2 rich and Ar rich plasma. In situ ellipsometry showed etching of ≈3 Å for all H2 containing post etch plasma (see figure 8).

The surface chemistries after the continuously biased pro-cess, as well as with a subsequent 10% H2/Ar and 90% H2/Ar plasma, are shown in figure 9 and compared with a Si surface as received and after dilute HF wet clean. A strong reduction of oxygen, fluorine, and carbon impurities is seen after the 90% H2/Ar process. Ar rich post etch plasma show reoxida-tion of the Si surface, while H2 rich plasma do not. This fur-ther supports the idea of ion driven reoxidation. In addition to carbon removal, H2 rich plasma also show a significant reduc-tion in the F 1s signal.

CF4

Ion Energy

Ar Plasma

2 to 20 s

3-4 s

10 mTorr pressure, 200 W source power

30 eV

Injection EtchInjection Etch

Continuous Bias Process

Figure 6. Schematic of the continuously biased etch process. This process utilizes precise, periodic CF4 injections into a continuously biased Ar plasma.

0 10 20 30 40 50 60 70 80

-5

-4

-3

-2

-1

0

[ ssenkcihT ni egnah

C]

Relative Time [s]

200 W, 10 mTorr,30 eV Ion Energy

Continuous bias20 s etch 4 s etch

Figure 7. Relative changes in oxide layer thickness as measured by in situ ellipsometry for a continuously biased etch process with a 4 s CF4 injection.

0 10 60 80 100 120 140 160-8

-6

-4

-2

0

[ ssenkcihT ni egnah

C]

Relative Time [s]

post clean100 mTorr, 400 W

Ar10% H

2/Ar

90% H2/Ar

H2

Figure 8. Relative changes in top layer thicknesses for post cleaning H2/Ar plasma with 0, 10, 90 and 100% H2 admixture after a continuously biased etch.

J. Phys. D: Appl. Phys. 50 (2017) 254006

D Metzler et al

7

3.1.6. Comparison with SiGe substrates. We also performed corresponding studies for native oxide removal from SiGe substrates. Overall, fairly similar trends as reported here for Si substrates were seen. A cyclic CF4 continuously biased process successfully removed oxygen from the surface, but introduced fluorine into the substrate. Since the native oxide layer on SiGe substrates consists primarly of SiOx, the etch process removed this layer and therefore treated SiGe mat-erials showed Ge surface enrichment upon surface clean-ing treatments as compared to native oxide covered SiGe surfaces.

3.2. Discussion

Figure 10 shows the relative intensity of Si, O, and C com-pared to an untreated surface, and the relative intensity of F

compared to a continuously biased process. The as received surface is compared to the three main processes, i.e. Ar sput-tering, continuously biased process with 4 s etches, and a continuously biased process combined with a 90% H2/Ar post treatment. Additionally, a dHF wet clean is included for comparison. It can be seen that the Ar plasma sputtering causes surface oxidation, as described above. The continu-ously biased process transforms the problem from removing oxygen to removing fluorine and carbon. The post treatment successfully removed more than half of the residual fluorine and carbon left after the continuously biased process, leading to overall cleaner surfaces. The combined process reduces oxygen and carbon levels to ≈50% compared to as received levels as can be seen in figure 10. The elemental Si signal is 10% higher while the reacted Si signal is strongly reduced to about half after the combined process. However, this

282 284 286 288 290 2920

1

2

3

]S

PCk[ ytisnetnI

Binding Energy [eV]

C1s20 deg

CF

2

CF

C-C

Fx

C-C

Pristine Si cont. bias 4/4 s inject/etch 10% H

2/Ar post treatment 3 s

90% H2 post treatment 90 s

dHF wet etch

684 686 688 6900

2

4

6

8

10]

SP

Ck[ ytisnetnI

Binding Energy [eV]

F1s20 deg

SiF

x

SiO

xFy

CF

530 532 534 5360

3

6

9

]S

PCk[ ytisnetnI

Binding Energy [eV]

O1s20 deg

SiO

xFy

SiO

2

98 100 102 104 1060

1

2

4

5Si 2p20 deg

]S

PCk[ ytisnetnI

Binding Energy [eV]

SiF

SiF

4

SiF

3

SiF

2

SiO

xFy

SiO

2

Si

(b)

(d)

(a)

(c)

Figure 9. XPS spectra of the (a) Si 2p, (b) C 1s, (c) O 1s, and (d) F 1s measured for a pristine Si sample (black squares), after continuous bias etching (red circles), after a post etch 10% H2/Ar plasma (green triangles), after a post etch 90% H2/Ar plasma (cyan diamonds), and after dilute HF (dHF) wet etch (magenta stars).

J. Phys. D: Appl. Phys. 50 (2017) 254006

D Metzler et al

8

combined approach does not achieve the same levels of oxide removal as a dilute HF wet clean.

It is not sufficient to control the etch depth of a material at atomistic levels. Atomic scale processing, in particular ALE, has to consider the surface reactivity and chemistry of the substrate. Especially when etching or removing very thin layers the residues and surface damage introduced by the ALE

process depend critically on the surface reactivity and chem-istry of the substrate and need to be considered. This work has shown that while fluorocarbon plasma-based ALE is capable of precise material removal, a thin reacted layer is typically left for a silicon substrate after processing. Subsequent treatments are necessary to remove residues and passivate the surface.

ALE is typically based on the formation and subsequent removal of reacted surface layers. While these reacted layers can be thin for a given substrate A and additional ion bombard-ment can allow for high precision etching, the reacted layers will be different for a different substrate B. Additionally, the chemically different substrate B may behave very differently during low energy ion bombardment based removal of the reacted layers. This can lead to significant surface residues on substrate B compared to A after the ALE step is com-pleted. These residues can cause issues, especially if features and desired dimensions are on a similar small scale or highly pure surfaces are desired. Surface oxidation, e.g. based on chamber contamination, often plays a critical role in etching Si-based materials due to the high surface reactivity. In the past, the amount of oxidation was insignificant in comparison to the etched material. However, with the scaling to ultra-thin films and necessity of higher etch precision, this surface oxi-dation becomes more important and a substantial obstacle. The required etch precision can be on the order of several Ångstrom, the same as a typical oxidized layer.

State of the art atomic scale etching is likely to show sim-ilar issues, e.g. after self-aligned contact ALE etching, a Si3N4 bottom stop layer will be very easily oxidized, degrading the device performance, and subsequent treatments for thin oxide removal need to be considered [49]. The ion bombardment, and therefore ion damage, on the surface lead to a significant limitation of plasma-based approaches. Next to ions, chemical reactants cause a mixed surface layer, e.g. F incorporation, further limiting the surface conditions after plasma exposure. Plasma-based ALE approaches have shown to allow ultra high precision etching, however, a subsequent surface treatment, e.g. cleaning, needs to be considered to minimize residues and other contamination. Additionally, it is possible that for certain applications ultra-clean and ultra-precision tooling is required where both residual impurities and ion bombardment energies can be controlled at a higher level than possible in this work. For example, tools with lower plasma potential could reduce the possibility for surface oxidation or damage and leave more energy space for ion bombardment energy control. Also a narrow distribution of ion bombardment energy could also benefit in etching precision control, e.g. ultra-high selectivity or damage-free process.

4. Conclusions and summary

When applying a cyclic SiO2 ALE process to the removal of a native SiO2 layer from a Si substrate, a residual fluorinated oxide layer remains on the surface after etching, indepen-dent of the process parameters explored here. Modifying and optimizing the etching process has led to a significant improve-ment over the initial cyclic ALE process for Si substrates.

0 40 80 120 160

dHF wet etch

F Amount Relativeto cont. bias [%]

15 eV ArAs received

Cont. bias+ post treatment

0 40 80 120 160dHF wet etch

C Amount Relativeto Untreated Si [%]

15 eV ArAs received

Cont. bias+ post treatment

0 40 80 120 160dHF wet etch

O Amount Relativeto Untreated Si [%]

15 eV ArAs received

Cont. bias+ post treatment

0 40 80 120 160dHF wet etch

Si (reacted) AmountRelative to Untreated Si [%]

15 eV ArAs received

Cont. bias+ post treatment

0 40 80 240260

dHF wet etch

Si (elemental) AmountRelative to Untreated Si [%]

15 eV ArAs received

Cont. bias+ post treatment (a)

(b)

(c)

(d)

(e)

Figure 10. Summary of elemental intensities of (a) elemental and (b) reacted Si, (c) oxygen, and (d) carbon for cleaning processes of Si substrates relative to a Si substrate as received. (e) The fluorine intensity is taken relative to the continuously biased process. The treatments shown are Ar plasma sputtering at 15 eV, a continuously biased cleaning process at 30 eV with 5 cycles of 4 s etch time, a continuously biased cleaning process at the same conditions with a subsequent 90% H2/Ar post treatment for 90 s, and dilute HF (dHF) wet etch with 1% solution for 60 s.

J. Phys. D: Appl. Phys. 50 (2017) 254006

D Metzler et al

9

Shortening the ion bombardment to 4 s successfully removes oxygen from the Si surface, but leaves a residual carbon film, essentially transforming the problem. A H2/Ar plasma can be used after the cyclic CF4 continuously biased process to further remove residual carbon and fluorine and passivate the surface. The combined process reduces the oxygen level at the surface to ≈50% while removing ≈40 Å of Si material. While the addi-tion of a second plasma exposure complicates the overall pro-cess, the carbon and fluorine content is significantly reduced.

The substrate material and final surface chemistry are impor-tant for precise etch control in ALE processes. The high reac-tivity of low energy Ar+ ion bombarded Si (and SiGe) surfaces prevents complete removal of thin SiO2 from these surfaces. Both Si and SiGe surfaces are reoxidized even under highly controlled conditions with little oxygen present in the chamber during the Ar+ ion bombardment step of the ALE process.

Acknowledgments

We thank Andrew Knoll, Adam Pranda, Pingshan Luan, and Dr Elliot Bartis for collaboration and helpful insights and discussion on this project. We thank Drs Eric Joseph, Sebas-tian Engelmann, and Robert Bruce from the IBM T J Wat-son Research Center for helpful discussions. We gratefully acknowledge financial support of this work by the National Science Foundation (CBET-1134273), US Department of Energy (DE-SC0001939) and Lam Research Foundation.

References

[1] Irving S M 1971 Solid State Technol. 14 47 [2] Hess D 2008 Handbook of Silicon Wafer Cleaning Technology

2nd edn (Norwich, NY: William Andrew) pp Xxi–Xxii [3] Eriguchi K and Ono K 2008 J. Phys. D: Appl. Phys.

41 024002 [4] Choi K, Ghosh S, Lim J and Lee C M 2003 Appl. Surf. Sci.

206 355–64 [5] Cismaru C, Shohet J L and McVittie J P 2000 Appl. Phys. Lett.

76 2191–3 [6] Petit-Etienne C, Darnon M, Vallier L, Pargon E, Cunge G,

Boulard F, Joubert O, Banna S and Lill T 2010 J. Vac. Sci. Technol. B 28 926–34

[7] Fukasawa M, Nakakubo Y, Matsuda A, Takao Y, Eriguchi K, Ono K, Minami M, Uesawa F and Tatsumi T 2011 J. Vac. Sci. Technol. A 29 041301

[8] Li C, Gupta R, Pallem V and Oehrlein G S 2016 J. Vac. Sc. Technol. A 34 031306

[9] Donnelly V M and Kornblit A 2013 J. Vac. Sc. Technol. A 31 050825

[10] Kanarik K J, Lill T, Hudson E A, Sriraman S, Tan S, Marks J, Vahedi V and Gottscho R A 2015 J. Vac. Sc. Technol. A 33 020802

[11] Coburn J W and Winters H F 1985 Appl. Surf. Sci. 22–3 63–71[12] Winters H F and Coburn J W 1992 Surf. Sci. Rep. 14 161–269[13] Winters H F and Coburn J W 1985 J. Vac. Sci. Technol. B

3 1376–83[14] Standaert T E F M, Hedlund C, Joseph E A, Oehrlein G S and

Dalton T J 2004 J. Vac. Sc. Technol. A 22 53–60[15] Gray D C, Sawin H H and Butterbaugh J W 1991 J. Vac. Sc.

Technol. A 9 779–85[16] Oehrlein G S, Metzler D and Li C 2015 ECS J. Solid State Sci.

Technol. 4 N5041–53

[17] Carver C T, Plombon J J, Romero P E, Suri S, Tronic T A and Turkot R B 2015 ECS J. Solid State Sci. Technol. 4 N5005–9

[18] Kim J K, Cho S I, Lee S H, Kim C K, Min K S, Kang S H and Yeom G Y 2013 J. Vac. Sc. Technol. A 31 061310

[19] Kim J K, Cho S I, Lee S H, Kim C K, Min K S and Yeom G Y 2013 J. Vac. Sc. Technol. A 31 061302

[20] Metzler D, Bruce R, Engelmann S, Joseph E A and Oehrlein G S 2014 J. Vac. Sc. Technol. A 32 020603

[21] Engelmann S et al 2007 J. Vac. Sc. Technol. B 25 1353–64[22] Standaert T E F M, Matsuo P J, Allen S D, Oehrlein G S and

Dalton T J 1999 J. Vac. Sc. Technol. A 17 741–8[23] Kawakami M, Metzler D, Li C and Oehrlein G S 2016

J. Vac. Sc. Technol. A 34 040603[24] Hua X F, Wang X, Fuentevilla D, Oehrlein G S, Celii F G and

Kirmse K H R 2003 J. Vac. Sc. Technol. A 21 1708–16[25] Tompkins H G 1993 A User’s Guide to Ellipsometry

(New York: Dover)[26] Standaert T E F M, Schaepkens M, Rueger N R, Sebel P G M,

Oehrlein G S and Cook J M 1998 J. Vac. Sc. Technol. A 16 239–49

[27] Robey S W and Oehrlein G S 1989 Surf. Sci. 210 429–48[28] Metzler D, Li C, Engelmann S, Bruce R, Joseph E and

Oehrlein G S 2016 J. Vac. Sc. Technol. A 34 01B101[29] Schaepkens M, Standaert T E F M, Rueger N R, Sebel P G M,

Oehrlein G S and Cook J M 1999 J. Vac. Sc. Technol. A 17 26–37

[30] Briggs D 1998 Surface Analysis of Polymers by XPS and Static SIMS (Cambridge: Cambridge University Press)

[31] Bartis E A J, Graves D B, Seog J and Oehrlein G S 2013 J. Phys. D: Appl. Phys. 46 312002

[32] Weilnboeck F et al 2010 J. Vac. Sci. Technol. B 28 993–1004[33] Bruce R L, Weilnboeck F, Lin T, Phaneuf R J, Oehrlein G S,

Long B K, Willson C G and Alizadeh A 2011 J. Vac. Sci. Technol. B 29 041604

[34] Li C, Metzler D, Lai C S, Hudson E A and Oehrlein G S 2016 J. Vac. Sci. Technol. A 34 041307

[35] Rueger N R, Beulens J J, Schaepkens M, Doemling M F, Mirza J M, Standaert T E F M and Oehrlein G S 1997 J. Vac. Sci. Technol. A 15 1881–9

[36] Rueger N R, Doemling M F, Schaepkens M, Beulens J J, Standaert T E F M and Oehrlein G S 1999 J. Vac. Sci. Technol. A 17 2492–502

[37] Oehrlein G S, Zhang Y, Vender D and Haverlag M 1994 J. Vac. Sci. Technol. A 12 323–32

[38] Hikosaka Y, Nakamura M and Sugai H 1994 Japan. J. Appl. Phys. 33 2157–63

[39] Metzler D, Li C, Engelmann S, Bruce R L, Joseph E A and Oehrlein G S 2017 J. Chem. Phys. 146 052801

[40] Yew T R and Reif R 1990 J. Appl. Phys. 68 4681–93[41] Garverick L M, Comfort J H, Yew T R, Reif R, Baiocchi F A

and Luftman H S 1987 J. Appl. Phys. 62 3398–404[42] Chanana R K, Dwivedi R and Srivastava S K 1992 Solid-State

Electron. 35 1417–21[43] Tae H S, Park S J, Hwang S H, Hwang K H, Yoon E,

Whang K W and Song S A 1995 J. Vac. Sci. Technol. B 13 908–13

[44] Thomas R E, Mantini M J, Rudder R A, Malta D P, Hattangady S V and Markunas R J 1992 J. Vac. Sci. Technol. A 10 817–28

[45] Xiao S Q, Xu S and Ostrikov K 2014 Mater Sci. Eng. R 78 1–29

[46] Descoeudres A et al 2014 Appl. Phys. Lett. 99 123506[47] Mews M, Schulze T F, Mingirulli N and Korte L 2013

Appl. Phys. Lett. 102 122106[48] Rauf S, Sparks T, Ventzek P L G, Smirnov V V, Stengach A V,

Gaynullin K G and Pavlovsky V A 2007 J. Appl. Phys. 101 033308

[49] Labonet A P, Carr A, Dechene J M, Shearer J C, Lucas J M, Messer B and Metz A 2016 AVS 63rd Int. Symp. & Exhibition

J. Phys. D: Appl. Phys. 50 (2017) 254006