dsa graphoepitaxy calibrations fo r contact hole ... · dsa graphoepitaxy calibrations fo r contact...

10
DSA graphoepitaxy calibrations for contact hole multiplication Trey Graves, Alessandro Vaglio Pret, Stewart Robertson, Mark Smith (KLA-Tencor) Jan Doise, Joost Bekaert, and Roel Gronheid (imec) ABSTRACT Directed Self-Assembly (DSA) is one of the leading candidates for next generation patterning in IC manufacturing. With the continued delay of EUV and the increasing costs of evermore complex multi- patterning techniques, DSA has the potential to produce small, well-defined features on a tight pitch. The graphoepitaxy DSA approach can be used to form single or multiple uniform contact holes (cylinders) well below the resolution limit of the optical exposure tool in a pre-pattern template. The utility of these patterns in the semiconductor manufacturing process is dependent on the capability of the process to control the size, edge roughness and placement of these DSA structures in the presence of reasonable levels of variation in the DSA material, the processing of that material and the pre-pattern template. In this study, a 3-D Self-Consistent Field Theory (SCFT) model has been developed to describe the behavior of such DSA systems. The utility of the simulator to describe actual physical behavior is explored, by fine tuning the SCFT model input parameters against experimental data for certain pre-pattern configurations and then evaluating the model predictions for other separate pre-pattern shapes. Two separate calibration studies are presented, one with 2-D guide patterns, in which multiple holes are positioned in a 2-D irregular array, and the other with 1-D structures, where the holes are distributed along one direction only. Pattern contours are extracted from CD-SEM images. A metric that measures the CD and placement is used to evaluate the modeled contours against the experimental contours. Keywords: DSA, graphoepitaxy, SCFT, calibration, physical modeling 1. INTRODUCTION DSA is one of the competing technologies for patterning at the 5 nm node. The semiconductor industry, in partnership with universities and IC consortiums, has invested a great deal of effort into making the technology viable for High-Volume Manufacturing (HVM). Progress has been made along a number of fronts. These include reduced defectivity [1], evaluating the strengths and weaknesses of various process flows, and making designs compatible with DSA [2]. In all these cases, simulation of the microphase separation of BCP has helped in the step-by-step improvement of the DSA process flow. Computational Lithography has been a crucial part of developing new processes for the IC industry. Rigorous physical modeling of the lithography process [3] has enabled process engineers to understand the source of variations, how to correct and control them, and improve yield. Rigorous physical modeling aids in the understanding of lithography process control by computing rigorous results for each step in the lithography process. For example, Maxwell's Equations are solved to compute the mask diffraction pattern. The reaction–diffusion equations are modeled to quantify the acid catalyzed reaction that occurs during post-exposure bake. The 3-D nature of these computations gives insight into the optimization strategy for reducing effects such as standing waves, sidewall angle, resist loss, mask shadowing, and more. In this paper, a graphoepitaxy process implemented by imec [4] is modeled using SCFT [5]. SCFT is a mean field solution to the thermodynamics of block copolymer chains. It is rigorous in the sense that it derives from first-principles of statistical mechanics and particle-to-field transformations [5]. SCFT can Advances in Patterning Materials and Processes XXXII, edited by Thomas I. Wallow, Christoph K. Holhle, Proc. of SPIE Vol. 9425, 94250Y · © 2015 SPIE CCC code: 0277-786X/15/$18 · doi: 10.1117/12.2086137 Proc. of SPIE Vol. 9425 94250Y-1 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Upload: tranminh

Post on 24-Apr-2018

218 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

DSA graphoepitaxy calibrations for contact hole multiplication

Trey Graves, Alessandro Vaglio Pret, Stewart Robertson, Mark Smith (KLA-Tencor)

Jan Doise, Joost Bekaert, and Roel Gronheid (imec)

ABSTRACT Directed Self-Assembly (DSA) is one of the leading candidates for next generation patterning in IC manufacturing. With the continued delay of EUV and the increasing costs of evermore complex multi-patterning techniques, DSA has the potential to produce small, well-defined features on a tight pitch. The graphoepitaxy DSA approach can be used to form single or multiple uniform contact holes (cylinders) well below the resolution limit of the optical exposure tool in a pre-pattern template. The utility of these patterns in the semiconductor manufacturing process is dependent on the capability of the process to control the size, edge roughness and placement of these DSA structures in the presence of reasonable levels of variation in the DSA material, the processing of that material and the pre-pattern template.

In this study, a 3-D Self-Consistent Field Theory (SCFT) model has been developed to describe the behavior of such DSA systems. The utility of the simulator to describe actual physical behavior is explored, by fine tuning the SCFT model input parameters against experimental data for certain pre-pattern configurations and then evaluating the model predictions for other separate pre-pattern shapes. Two separate calibration studies are presented, one with 2-D guide patterns, in which multiple holes are positioned in a 2-D irregular array, and the other with 1-D structures, where the holes are distributed along one direction only. Pattern contours are extracted from CD-SEM images. A metric that measures the CD and placement is used to evaluate the modeled contours against the experimental contours.

Keywords: DSA, graphoepitaxy, SCFT, calibration, physical modeling

1. INTRODUCTION DSA is one of the competing technologies for patterning at the 5 nm node. The semiconductor industry, in partnership with universities and IC consortiums, has invested a great deal of effort into making the technology viable for High-Volume Manufacturing (HVM). Progress has been made along a number of fronts. These include reduced defectivity [1], evaluating the strengths and weaknesses of various process flows, and making designs compatible with DSA [2]. In all these cases, simulation of the microphase separation of BCP has helped in the step-by-step improvement of the DSA process flow. Computational Lithography has been a crucial part of developing new processes for the IC industry. Rigorous physical modeling of the lithography process [3] has enabled process engineers to understand the source of variations, how to correct and control them, and improve yield. Rigorous physical modeling aids in the understanding of lithography process control by computing rigorous results for each step in the lithography process. For example, Maxwell's Equations are solved to compute the mask diffraction pattern. The reaction–diffusion equations are modeled to quantify the acid catalyzed reaction that occurs during post-exposure bake. The 3-D nature of these computations gives insight into the optimization strategy for reducing effects such as standing waves, sidewall angle, resist loss, mask shadowing, and more. In this paper, a graphoepitaxy process implemented by imec [4] is modeled using SCFT [5]. SCFT is a mean field solution to the thermodynamics of block copolymer chains. It is rigorous in the sense that it derives from first-principles of statistical mechanics and particle-to-field transformations [5]. SCFT can

Advances in Patterning Materials and Processes XXXII, edited by Thomas I. Wallow, Christoph K. Holhle, Proc. of SPIE Vol. 9425, 94250Y · © 2015 SPIE

CCC code: 0277-786X/15/$18 · doi: 10.1117/12.2086137

Proc. of SPIE Vol. 9425 94250Y-1

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 2: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

§

model the 3-D behavior of each of the blocks in a copolymer in terms of parameters such as the majority block fraction f, the Flory parameter χ, and the radius of gyration Rg. In the limit of long chains, it becomes exact. The formulation can be pushed beyond the mean field by using other techniques such as Complex Langevin technique [5]. In this study, we stick to the mean-field SCFT solution (ignoring thermal fluctuations) in order to test its applicability in modeling real-world data. With full physical resist modeling, resist parameters such as the acid-catalyzed amplification rate kamp, the quencher loading, etc. need to have accurate values for patterning prediction. It is often the case that these parameters cannot be measured, or at least not in isolation. Calibration of these parameters is essential in order to match theory to experiment and to make predictions when process parameters change, such as evaluating the ramifications of changing illumination shapes, mask patterns, or develop time. This is also the case for BCP models mentioned above. The model parameters may be known approximately (e.g., f), but fine-tuning them can lead to better prediction (validation) of structures not included in the original data set. Accurate predictions can in turn lead to fewer cycles for refining a manufacturing process. Finally, some of these parameters may be unknown due to confidentiality restrictions of material suppliers. Keeping this in mind, we have set about to calibrate SCFT model parameters with a calibration data set. A metric (cost function) is chosen to judge the accuracy of the model fit. Experimental results from CD-SEM contours can be compared to the predicted result. Once this calibration is completed, we can test the goodness of the calibration on another set of validation patterns. If the calibration is robust, we can expect the validation to have a similar cost metric for the calibration.

2. PROCESS FLOW The graphoepitaxy process flow begins with a trilayer stack of 100 nm of SoC, 30 nm of SoG, and 85 nm of Negative Tone Development (NTD) resist. The NTD resist is patterned with 193 immersion lithography. A dry etch is used to pattern the holes in the SoG/SoC. The PS-b-PMMA diblock copolymer is spin coated and annealed. The BCP material is AZEMBLYTM PME-585 from EMD Performance Materials. PME-585 is a cylindrical-phase BCP with center-to-center distance of 37nm. The minority block of PMMA is then removed with a wet develop (Figure1). More details can be found in [4].

Figure1.Graphoepitaxy process flow description.

193i litho Dry etch

Coat BCPand anneal

Remove PMMA

85 nm NTD resist30 nm SOG

100 nm SOCSubstrate

Proc. of SPIE Vol. 9425 94250Y-2

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 3: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

3. MODEL SCFT has been used to model homopolymers, diblock and triblock copolymers, polymer brushes, and more [5]. The morphological phase diagram of the BCP in bulk is reasonably well-described by SCFT. The method starts with the statistical mechanics of polymer chains. In the mean field approximation, a system of nonlinear and nonlocal equations are derived that must be solved self-consistently. An iterative "relaxation" method is used to adjust the unknown fields (chemical potentials) at all grid points until the equations are satisfied, thus achieving self-consistency. The main parameters of the model are the majority A-block fraction f, the product of the Flory parameter and the degree of polymerization χN, and the radius of gyration Rg. χN measures the interaction between the A-block and B-block of the copolymer. The radius of gyration is a measure of the length of the polymer chains as they coil. In order to include the graphoepitaxy pre-patterns, the walls must be described. The walls can be included as static wall particles [6,7]. Their description also involves parameters of the form χN. These parameters are used to measure the strength and polarity of the three "surfaces": the vertical walls, the substrate (substrate/BCP interface), and the superstrate (air/BCP interface).

4. WORKFLOW The workflow starts by extracting the guide pattern contour(s) from an SEM image. This shape, along with the thickness of the BCP, is used to build a three-dimensional model of the prepattern. Although the SoG/SoC is 130 nm thick, the patterns are only filled part way. (Thicknesses on a flat Si substrate are roughly 20 nm [4].) The SCF equations can then be solved and the densities of the A–block and B–block determined. Contours are extracted from the B–block and compared to the contours of the same block from the SEM image. The mismatched regions of the contours are determined by the exclusive-or (XOR) operation [8] (Figure 2): CostFunction = Area( ( , ))Perimeter( )

In this equation, Exp stands for the experimental CD-SEM contour and Sim stands for the simulated contour. In order to use a nonlinear optimization method (for example, Levenberg–Marquardt), a scalar cost function must be developed. We take the mismatched area and divide by the perimeter of the SEM contour of the PMMA hole(s), as already reported in previous work [8]. This metric captures both CD and placement errors. For two concentric circles (in this example these might correspond to the experimental and simulated contours), the metric conveniently reduces to the CD error.

Proc. of SPIE Vol. 9425 94250Y-3

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 4: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

Figure 2. The workflow is shown for one particular guide pattern. The gray areas around the three holes in the bottom center figure represent mismatched regions from the XOR operation.

5. COMPARING WALL WETTING POLARITIES The polarity of the wetting of all the surfaces is very important. In Figure 3, two wetting schemes are compared. For PS wetting of the sidewalls and a neutral bottom (middle row in the figure), the correct number of holes is achieved, but the CD and placement of the simulation vs. experiment is poor. PMMA wetting (lower row of figures) gives much better CD and pattern placement.

ExtractGuide Pattern

ExtractContactHoles

Input pattern to simulator

Simulate

Compare

A-Block B-Block

Proc. of SPIE Vol. 9425 94250Y-4

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 5: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

100

GO

plane position =19.48 nm

Figure 3. The top image is the CD-SEM image for three of the 2-D structures. The middle row shows the B-block density for strong PS wetting of the sidewalls; the top and bottom are neutral. The bottom row shows the results with PMMA wetting of the sidewalls and bottom; the top is again neutral. Notice the thin layer of PMMA on the sidewalls and the bottom in the PMMA wetting scheme.

Figure 4. This set of figures shows that the PMMA holes do not make contact from top to bottom. A cross-section is shown in the middle along the arrow that is shown to the left figure. The right figure shows an intensity plot were bright areas are high concentrations of PMMA. Cross-sectional views for the PMMA wetting scheme are shown in Figure 4 . These views show that the simulation predicts that PMMA holes do not make contact from top to bottom.

Proc. of SPIE Vol. 9425 94250Y-5

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 6: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

Flow NINo dHFNo brush

Flow #2dHFNLD -244

Template

O OCD O0 0

Ph,llvlA removal Polymer stripe

Figure 5. The top row of images shows CD-SEM results from the process flow described in this paper (Flow #1). The lower row of images shows another process flow (Flow #2) in which the bottom surface has neutral wetting. (The walls are still PMMA attractive.). There is no dilute HF etch or brush applied after litho in Flow #1. The right columns show that the open hole rate after pattern transfer into the underlying stack is only 50%. This is consistent with PMMA wetting where the PMMA holes do not make contact from top to bottom.

This is deduced experimentally, as shown in Figure 5. The open hole rate after pattern transfer into the underlying stack is only 50%, which may suggest that the simulated cross sections presented in of Figure 4 are capturing the PMMA pillar morphology.

6. PARAMETER OPTIMIZATION FOR 2-D STRUCTURES

In order to extend the cost function to multiple patterns, the metric can be extended with a weighted average.

CostFunction = ∑ ( ( , ))( )

Proc. of SPIE Vol. 9425 94250Y-6

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 7: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

50

0

-50

Cost = 1.14 nm

-50 0 50

50

0

-50

Cost = 1.65 nm Cost = 1.49 nm

-50 0 50 -50 0 50

Cost = 4.02 nm

50

0

-50

50

0

-50

-100 0 100 -100

Cost = 2.63 nm Cost = 2.12 nm

Figure 6. Results for the calibration data set of the 2-D structures. The top image shows the SCFT results for three pre-patterns. The parameters used here were a rough guess based on some hand tuning. The weighted average cost is 2.5 nm. Applying an optimization algorithm resulted in the lower row of figures. The weighted average cost is 1.5 nm. Different weighting schemes are possible; we have chosen to set the weights (denoted by wi) equal to the number of holes in the pattern. As shown in the upper result of Figure 6, the initial weighted cost is 2.5 nm. This result is “hand-tuned” in the sense that the parameters are rough guesses regarding the BCP and wetting conditions. This result is used as the initial guess into an optimization algorithm [9]. For each iteration of the calibration, the optimizer chooses a set of parameters. The SCFT equations are solved for each pattern. The contours are extracted, compared with the XOR operation, and the weighted cost is computed. The optimization algorithm can then evaluate the goodness of the current set of parameters in order to achieve a better fit. The result of the optimization is shown in the lower half of Figure 6. The cost metric has been reduced from 2.5 nm to 1.5 nm by the optimization.

Apply optimization algorithm

Proc. of SPIE Vol. 9425 94250Y-7

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 8: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

50

o

-50

50

o

-50

50

o

Cost = 1.02 nm

-50

-50 0 50

Cost = 0.987 nm

-50 0 50

Cost = 1.71 nm

-50 50

50

0

-50

50

0

-50

-100-50 0 50

Cost = 1.97 nm Cost = 1.43 nm

-50 0 50

Cost = 2.66 nm

Cost = 1.93 nm

-50 0 50

50

o

-50

50

0

-50

-50 0 50

Cost = 2.55 nm

-50 0 50

Cost = 1.7 nm

-50 0 50

Figure 7. Results for the validation data set of the 2-D structures. The weighted average cost is 1.8 nm, which is close to the 1.5 nm achieved in the calibration data set. The resulting optimized parameters are then used in a validation set, as shown in Figure 7; these are nine structures which include patterns with one, three, and six contact holes. As can be seen in Figure 7, the weighted cost (1.8 nm) is close to the calibrated cost of 1.5 nm. CD and hole placement is comparable, too. One hole in the middle three–hole pattern is predicted to be larger than seen on the SEM. This particular prepattern is rather large compared to the other four guide patterns that produce three holes. The shape and size of the pattern is right on the edge of producing an incommensurate structure with more than three holes, behavior that is observed experimentally due to the natural variability of the pre-pattern.

7. PARAMETER OPTIMIZATION FOR 1-D STRUCTURES A calibration and validation was conducted for one other data set. This set uses 1-D elongated holes that form two or three PMMA holes upon annealing (doublets and triplets). For this data set, we chose four doublets and three triplets for the calibration data set. The simultaneous optimization of BCP and wall parameters was able to achieve a cost function of 1.8 nm. The result is shown in Figure 8. Notice that there is less PMMA on the guide pattern’s vertical walls. To achieve a better fit, the wall wetting parameter has been reduced by the optimizer, as compared to the wall wetting in the previous 2-D data set. This may depend upon different side-wall angle of the pre-patterns, as well as different BCP wetting for different templates. Once again, the PMMA holes only extend from the top to the middle in the vertical direction. They do not extend all the way to the bottom and are blocked by a layer of PS. The SCFT is able to pick up some of the nuances from the SEM images. For example, in the triplet that is shown in Figure 8, the center hole is bigger in the y-direction than it is in the x-direction.

Proc. of SPIE Vol. 9425 94250Y-8

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 9: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

4.ry,y,4 ieoeurt.ce

nm)

4...4 Maur.°

Cost = 2.32 nm

Figure 8. Results for the calibration data set of the 1-D structures. Shown are two of the seven calibration patterns. The left column shows CD-SEM images. The center column shows the corresponding 3-D SCFT results for the B-block. The right image shows the XOR comparison of the CD-SEM contour to the simulated contour. The weighted average cost is 1.8 nm.

Figure 9. Results for the validation data set of the 1-D structures. The weighted average cost is 2.0 nm, which is close to the 1.8 nm achieved in the calibration data set. Figure 9 shows the result on the validation data set. This set mostly contains doublets, but there are a few triplets. There are also some doublets (bottom row, left half) that are on the verge of becoming triplets. The

Proc. of SPIE Vol. 9425 94250Y-9

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms

Page 10: DSA graphoepitaxy calibrations fo r contact hole ... · DSA graphoepitaxy calibrations fo r contact hole ... in the semiconductor manufacturing process is depende nt on ... by fine

original (manual) guess of calibration parameters resulted in three holes, instead of the two holes that in fact form for some of these pre-patterns. The calibrated data set was thus able to predict the transition from doublets to triplets as the prepattern grew in width. The overall cost is 2.0 nm, once again in alignment with the calibrated cost of 1.8 nm.

8. CONCLUSION In summary, Self-Consistent Field Theory can match experimental results for graphoepitaxy processes with a variety of patterns. The calibration method involves choosing a suitable metric from which to gauge the fit of the DSA model parameters. In this study, 2-D top-down contours are extracted from a SEM image and compared to the DSA 3-D simulation in order to evaluate the metric. Once determined, this cost metric can be implemented in optimization algorithms that can be used to improve parameter calibration. A well calibrated model can provide insight into the size, placement, and number of holes for a variety of shapes and sizes for graphoepitaxy pre-patterns. Also, because metrology of 3-D morphology is difficult, DSA simulations can be used in conjunction with 2-D SEM metrology and after etch results to infer the 3-D nature of the annealed block copolymer domains.

9. REFERENCES

[1] Mark Somervell et al., “High-Volume Manufacturing Equipment and Processing for Directed Self-Assembly Applications”, Proc. of SPIE Vol. 9051, 90510N (2014). [2] Germain Fenger, Juan Andres Torres, Yuansheng Ma, et al., "Compact model experimental validation for grapho-epitaxy hole processes and its impact in mask making tolerances", Proceedings of SPIE Vol. 9231, 92310Q (2014). [3] John J. Biafore; Stewart A. Robertson; Mark D. Smith; Chris Sallee, “The accuracy of a calibrated PROLITH physical resist model across illumination conditions”, Proc. SPIE 6521, 65211I (2007). [4] Joost P. Bekaert, Jan Doise, Vijaya-Kumar Murugesan Kuppuswamy, et al., "Contact hole multiplication using grapho-epitaxy directed self-assembly: process choices, template optimization, and placement accuracy", Proc. SPIE 9231, 92310R (2014). [5] Glenn Fredrickson, The Equilibrium Theory of Inhomogeneous Polymers, Oxford University Press, 2006. [6] S.M. Hur et al., “SCFT Simulations of Thin Film Blends of Block Copolymer and Homopolymer Laterally Confined in a Square Well”, Macromolecules (2009). [7] Azat Latypov "Towards the fast DSA: improvement of computational performance of the DSA model", Proc. SPIE 9049, 904923 (2014). [8] Germain Fenger et al., “Calibration and application of a DSA Compact model for graphoepitaxy hole processes using contour-based metrology”, Proc. of SPIE, Vol. 9235, 92351X (2014). [9] Press, W. H., Teukolsky, S. A., Vetterling, W. T., and Flannery,B.P., Numerical recipes in C (2nd ed.): the art of scientific computing. Cambridge University Press, New York, NY, 1992.

Proc. of SPIE Vol. 9425 94250Y-10

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 04/22/2015 Terms of Use: http://spiedl.org/terms