from multi to many-core: network on chip challenges · the kalray mppa a real-time noc ? conclusion...

81
From multi to many-core: network on chip challenges On-board software and multicores : opportunities and issues CCT SIL-IRE, Mardi 16 Juin 2015 Marc Boyer ONERA – The French Aerospace Lab June 16, 2015 1/46 M. Boyer NOC

Upload: others

Post on 23-Aug-2020

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

From multi to many-core: network on chip challenges

On-board software and multicores : opportunities and issuesCCT SIL-IRE, Mardi 16 Juin 2015

Marc Boyer

ONERA – The French Aerospace Lab

June 16, 2015

1/46 M. Boyer NOC

Page 2: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Disclaimer

exact information are not always public

the meaning of words sometimes (...) differs

personal experience on limited set of chips

A good overview: “ISSCC TRENDS” http://isscc.org/trends

This and other related topics have been discussed atlength at ISSCC 2015, the foremost global forum for new

developments in the integrated-circuit industry.Next session: Jan. 31 – Feb. 4 2016, San Francisco, CA

http://isscc.org

2/46 M. Boyer NOC

Page 3: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

ONERA experience I

ONERA has been involved in several many-core projects

MARC (2009-2012): Intel Many-Core Application ResearchCommunity academic program

MACSIMA (2013-2017): ONERA internal research project

topic: radar, planification and control-command application onthe same many-core

DREAMS (2013-2017): FP7 European project

topic: mixed-criticality on multi-corepartners: Univ. Siegen, Ikerlan s. coop, Thales, ONERA,RealTime-At-Work, TTTech, Technische Univ. Kaiserslautern,Fortiss, Sintef, Alstom, STMicroelectronics, Virtual OpenSystems, Technological Educational Institute of Crete,Polytechnic Univ. of Valencia, Fentiss, TUV

CAPACITES (2014-2018): LEOC (Logiciel Embarque etObjets Connectes) french-founded project,

3/46 M. Boyer NOC

Page 4: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

ONERA experience II

topic: multicore for critical embedded systems

partners: Airbus, Airbus Helicopter, ARMINES, DassaultAviation, Inria, IRISA, IRIT, IS2T, Kalray, MBDA, ONERA,OpenWide, Probayes, Real-Time At Work, Safran, SupersonicImagine, TIMA, Verimag

15 publications (2011-2015):[16, 19, 23, 17, 20, 15, 21, 3, 1, 8, 6, 7, 11, 13, 14]

4/46 M. Boyer NOC

Page 5: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

5/46 M. Boyer NOC

Page 6: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

6/46 M. Boyer NOC

Page 7: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

7/46 M. Boyer NOC

Page 8: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Some trends [25]

© COPYRIGHT 2015 ISSCC—DO NOT REPRODUCE WITHOUT PERMISSION 96

High-Performance Digital – 2015 Trends Subcommittee Chair: Stefan Rusu, Intel, Santa Clara, CA The relentless march of process technology brings more integration and energy-efficient performance to mainframes, enterprise and cloud servers. ISSCC 2015 features IBM’s high-frequency 8-core, 16-thread System z mainframe processor in 22nm SOI with 64MB of eDRAM L3 cache and 4MB/core eDRAM L2 cache. The SPARC M7 processor from Oracle implements 32 S4 cores, a 1.6TB/s bandwidth 64MB L3 Cache and a 0.5TB/s data bandwidth on-chip network (OCN) to deliver more than 3.0x throughput compared to its predecessor. 280 SerDes lanes support up to 18Gb/s line rate and 1TB/s total bandwidth. Intel’s next generation Xeon processor supports 18 dual-threaded 64b Haswell cores, 45MB L3 cache, 4 DDR4-2133MHz memory channels, 40 8GT/s PCIe lanes, and 60 9.6GT/s QPI lanes. It has 5.56B transistors in Intel’s 22nm tri-gate HKMG CMOS and achieves a 33% performance boost over previous generations. The chip complexity chart below shows the trend in transistor integration on a single chip over the past two decades. While the 1 billion transistor integration threshold was achieved some years ago, we now commonly see processors incorporating more than 5B transistors on a die.

© COPYRIGHT 2015 ISSCC—DO NOT REPRODUCE WITHOUT PERMISSION 97

The maximum core clock frequency seems to have saturated in the range of 5-6GHz, primarily limited by thermal considerations. The nominal operating frequency of the power-limited processors this year is around 3.5GHz. Core counts per die are typically above 10, with increases appearing to slow in recent years. Cache size growth continues, with modern chips incorporating tens of MB on-die..

© COPYRIGHT 2015 ISSCC—DO NOT REPRODUCE WITHOUT PERMISSION 97

The maximum core clock frequency seems to have saturated in the range of 5-6GHz, primarily limited by thermal considerations. The nominal operating frequency of the power-limited processors this year is around 3.5GHz. Core counts per die are typically above 10, with increases appearing to slow in recent years. Cache size growth continues, with modern chips incorporating tens of MB on-die..

© COPYRIGHT 2015 ISSCC—DO NOT REPRODUCE WITHOUT PERMISSION 98

The trend towards digital phase-locked loops (PLL) and delay locked loops (DLL) to better exploit nanometer feature size scaling, and reduce power and area continues. Through use of highly innovative architectural and circuit design techniques, the features of these digital PLLs and DLLs have improved significantly over the recent past. Another new trend evident this year is towards fully digital PLLs being synthesizable and operated with non-LC oscillators. The diagram below shows the jitter performance vs. energy cost for PLLs and multiplying DLLs (MDLL).

8/46 M. Boyer NOC

Page 9: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Cache memory

More cores, and more cache

cache consumes few energy

cache is efficient

But...

how to ensure cache coherency with 32 cores ?

why ?

local cache or local memory ?

implicit or explicit communications ?

message passing vs shared memory

an old/new programming way

9/46 M. Boyer NOC

Page 10: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Cache memory

More cores, and more cache

cache consumes few energy

cache is efficient

But...

how to ensure cache coherency with 32 cores ?

why ?

local cache or local memory ?

implicit or explicit communications ?

message passing vs shared memory

an old/new programming way

9/46 M. Boyer NOC

Page 11: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Cache memory

More cores, and more cache

cache consumes few energy

cache is efficient

But...

how to ensure cache coherency with 32 cores ?

why ?

local cache or local memory ?

implicit or explicit communications ?

message passing vs shared memory

an old/new programming way

9/46 M. Boyer NOC

Page 12: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Cache memory

More cores, and more cache

cache consumes few energy

cache is efficient

But...

how to ensure cache coherency with 32 cores ?

why ?

local cache or local memory ?

implicit or explicit communications ?

message passing vs shared memory

an old/new programming way

9/46 M. Boyer NOC

Page 13: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Cache memory

More cores, and more cache

cache consumes few energy

cache is efficient

But...

how to ensure cache coherency with 32 cores ?

why ?

local cache or local memory ?

implicit or explicit communications ?

message passing vs shared memory

an old/new programming way

9/46 M. Boyer NOC

Page 14: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Cache memory

More cores, and more cache

cache consumes few energy

cache is efficient

But...

how to ensure cache coherency with 32 cores ?

why ?

local cache or local memory ?

implicit or explicit communications ?

message passing vs shared memory

an old/new programming way

9/46 M. Boyer NOC

Page 15: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

10/46 M. Boyer NOC

Page 16: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

11/46 M. Boyer NOC

Page 17: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Network on chip

how to connect chip elements ?

NoC for SoC vs NoC for multicore

homogeneous vs heterogeneous systemaccess to main memory and IO

different approaches depending on manufacturer

less information than on cores

12/46 M. Boyer NOC

Page 18: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

From bus to NoC

A Survey of Research and Practices of Network-on-Chip 5

Fig. 3. Examples of communication structures in Systems-on-Chip. a) traditional bus-based communication,b) dedicated point-to-point links, c) a chip area network.

and design automation techniques and which make for seamless iterations acrossall abstraction levels. Pertaining to this, the complex, dynamic interdependency ofdata streams—arising when using a shared media for data traffic—threatens to foilthe efforts of obtaining minimal interdependence between IP cores. Without specialquality-of-service (QoS) support, the performance of data communication may becomeunwarrantly arbitrary [Goossens et al. 2005].

To ensure the effective exploitation of technology scaling, intelligent use of theavailable chip design resources is necessary at the physical as well as at the logicaldesign level. The means to achieve this are through the development of effective andstructured design methods and ESL tools.

As shown, the major driving factors for the development of global communicationschemes are the ever increasing density of on-chip resources and the drive to utilizethese resources with a minimum of effort as well as the need to counteract the physicaleffects of DSM technologies. The trend is towards a subdivision of processing resourcesinto manageable pieces. This helps reduce design cycle time since the entire chip designprocess can be divided into minimally interdependent subproblems. This also allowsthe use of modular verification methodologies, that is, verification at a low abstractionlevel of cores (and communication network) individually and at a high abstraction levelof the system as a whole. Working at a high abstraction level allows a great degreeof freedom from lower level issues. It also tends towards a differentiation of local andglobal communication. As intercore communication is becoming the performance bot-tleneck in many multicore applications, the shift in design focus is from a traditionalprocessing-centric to a communication-centric one. One top-level aspect of this involvesthe possibility to save on global communication resources at the application level by in-troducing communication aware optimization algorithms in compilers [Guo et al. 2000].System-level effects of technology scaling are further discussed in Catthoor et al. [2004].

A standardized global communication scheme, together with standard communica-tion sockets for IP cores, would make Lego brick-like plug-and-play design styles pos-sible, allowing good use of the available resources and fast product design cycles.

1.2. NoC in SoC

Figure 3 shows some examples of basic communication structures in a sample SoC,for example, a mobile phone. Since the introduction of the SoC concept in the 90s,the solutions for SoC communication structures have generally been characterized bycustom designed ad hoc mixes of buses and point-to-point links [Lahiri et al. 2001]. The

ACM Computing Surveys, Vol. 38, March 2006.

Figure : From bus to NoC [2]

Bus: shared resource

Pt-to-pt: does not scale

NoC:

set of shared resourcesallow parallel communications

13/46 M. Boyer NOC

Page 19: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

A common vocabulary

8 T. Bjerregaard and S. Mahadevan

Fig. 4. Topological illustration of a 4-by-4 grid structured NoC, in-dicating the fundamental components.

which the NoC contains the following fundamental components.

—Network adapters implement the interface by which cores (IP blocks) connect to theNoC. Their function is to decouple computation (the cores) from communication (thenetwork).

—Routing nodes route the data according to chosen protocols. They implement therouting strategy.

—Links connect the nodes, providing the raw bandwidth. They may consist of one ormore logical or physical channels.

Figure 4 covers only the topological aspects of the NoC. The NoC in the figure couldthus employ packet or circuit switching or something entirely different and be imple-mented using asynchronous, synchronous, or other logic. In Section 3, we will go intodetails of specific issues with an impact on the network performance.

2.2. Architectural Issues

The diversity of communication in the network is affected by architectural issues suchas system composition and clustering. These are general properties of SoC but, sincethey have direct influence on the design of the system-level communication infrastruc-ture, we find it worthwhile to go through them here.

Figure 5 illustrates how system composition can be categorized along the axes ofhomogenity and granularity of system cores. The figure also clarifies a basic differencebetween NoC and networks for more traditional parallel computers; the latter have gen-erally been homogeneous and coarse grained, whereas NoC-based systems implementa much higher degree of variety in composition and in traffic diversity.

Clustering deals with the localization of portions of the system. Such localizationmay be logical or physical. Logical clustering can be a valuable programming tool. Itcan be supported by the implementation of hardware primitives in the network, forexample, flexible addressing schemes or virtual connections. Physical clustering, basedon preexisting knowledge of traffic patterns in the system, can be used to minimizeglobal communication, thereby minimizing the total cost of communicating, power andperformancewise.

ACM Computing Surveys, Vol. 38, March 2006.

Figure : Architectureelements[2]

10 T. Bjerregaard and S. Mahadevan

Fig. 6. The flow of data from source to sink through the NoC components with anindication of the types of datagrams and research area.

in which the interconnection network plays an important role. Here, the NoC is a keyelement in supporting a sofisticated parallel programming model.

2.3. Network Abstraction

The term NoC is used in research today in a very broad sense ranging from gate-level physical implementation, across system layout aspects and applications, to de-sign methodologies and tools. A major reason for the widespread adaptation of networkterminology lies in the readily available and widely accepted abstraction models fornetworked communication. The OSI model of layered network communication can eas-ily be adapted for NoC usage as done in Benini and Micheli [2001] and Arteris [2005].In the following, we will look at network abstraction, and make some definitions to beused later in the survey.

To better understand the approaches of different groups involved in NoC, we havepartitioned the spectrum of NoC research into four areas: 1) system, 2) network adapter,3) network and 4) link research. Figure 6 shows the flow of data through the network,indicating the relation between these research areas, the fundamental components ofNoC, and the OSI layers. Also indicated is the basic datagram terminology.

The system encompasses applications (processes) and architecture (cores and net-work). At this level, most of the network implementation details may still be hidden.Much research done at this level is applicable to large scale SoC design in general.The network adapter (NA) decouples the cores from the network. It handles the end-to-end flow control, encapsulating the messages or transactions generated by the coresfor the routing strategy of the Network. These are broken into packets which containinformation about their destination, or connection-oriented streams which do not, buthave had a path setup prior to transmission. The NA is the first level which is networkaware. The network consists of the routing nodes, links, etc, defining the topology andimplementing the protocol and the node-to-node flow control. The lowest level is thelink level. At this level, the basic datagram are flits (flow control units), node levelatomic units from which packets and streams are made up. Some researchers operatewith yet another subdivision, namely phits (physical units), which are the minimumsize datagram that can be transmitted in one link transaction. Most commonly flitsand phits are equivalent, though in a network employing highly serialized links, eachflit could be made up of a sequence of phits. Link-level research deals mostly with

ACM Computing Surveys, Vol. 38, March 2006.

Figure : Network layers [2]

Core/tile: could be also IO/RAM

write/read messages

Network adapter

fragment/reassemble messages into packetssend/receive packetsflow control

Routing node: commutation element

send/receive flits (≈ 64bits)also flow control

14/46 M. Boyer NOC

Page 20: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Data vocabulary

Core/tile: could be also IO/RAM

write/read messages

Network adapter

fragment/reassemble messages into packetssend/receive packetsflow control

Routing node: commutation element

send/receive flits (≈ 64bits)also flow control

15/46 M. Boyer NOC

Page 21: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Topologies

14 T. Bjerregaard and S. Mahadevan

Fig. 9. Regular forms of topologies scale predictably with regard to area andpower. Examples are (a) 4-ary 2-cube mesh, (b) 4-ary 2-cube torus and (c) binary(2-ary) tree.

Fig. 10. Irregular forms of topologies are derived byaltering the connectivity of a regular structure suchas shown in (a) where certain links from a mesh havebeen removed or by mixing different topologies suchas in (b) where a ring coexists with a mesh.

3.2.1. Topology. One simple way to distinguish different regular topologies is in termsof k-ary n-cube (grid-type), where k is the degree of each dimension and n is the numberof dimensions (Figure 9), first described by Dally [1990] for multicomputer networks.The k-ary tree and the k-ary n-dimensional fat tree are two alternate regular formsof networks explored for NoC. The network area and power consumption scales pre-dictably for increasing size of regular forms of topology. Most NoCs implement regularforms of network topology that can be laid out on a chip surface (a 2-dimensional plane)for example, k-ary 2-cube, commonly known as grid-based topologies. The Octagon NoCdemonstrated in Karim et al. [2001, 2002] is an example of a novel regular NoC topol-ogy. Its basic configuration is a ring of 8 nodes connected by 12 bidirectional links whichprovides two-hop communication between any pair of nodes in the ring and a simple,shortest-path routing algorithm. Such rings are then connected edge-to-edge to form alarger, scalable network. For more complex structures such as trees, finding the optimallayout is a challenge on its own right.

Besides the form, the nature of links adds an additional aspect to the topology. Ink-ary 2-cube networks, popular NoC topologies based on the nature of link are the meshwhich uses bidirectional links and torus which uses unidirectional links. For a torus,a folding can be employed to reduce long wires. In the NOSTRUM NoC presented inMillberg et al. [2004], a folded torus is discarded in favor of a mesh with the argumentthat it has longer delays between routing nodes. Figure 9 shows examples of regularforms of topology. Generally, mesh topology makes better use of links (utilization), whiletree-based topologies are useful for exploiting locality of traffic.

Irregular forms of topologies are derived by mixing different forms in a hierarchical,hybrid, or asymmetric fashion as seen in Figure 10. Irregular forms of topologies scale

ACM Computing Surveys, Vol. 38, March 2006.

14 T. Bjerregaard and S. Mahadevan

Fig. 9. Regular forms of topologies scale predictably with regard to area andpower. Examples are (a) 4-ary 2-cube mesh, (b) 4-ary 2-cube torus and (c) binary(2-ary) tree.

Fig. 10. Irregular forms of topologies are derived byaltering the connectivity of a regular structure suchas shown in (a) where certain links from a mesh havebeen removed or by mixing different topologies suchas in (b) where a ring coexists with a mesh.

3.2.1. Topology. One simple way to distinguish different regular topologies is in termsof k-ary n-cube (grid-type), where k is the degree of each dimension and n is the numberof dimensions (Figure 9), first described by Dally [1990] for multicomputer networks.The k-ary tree and the k-ary n-dimensional fat tree are two alternate regular formsof networks explored for NoC. The network area and power consumption scales pre-dictably for increasing size of regular forms of topology. Most NoCs implement regularforms of network topology that can be laid out on a chip surface (a 2-dimensional plane)for example, k-ary 2-cube, commonly known as grid-based topologies. The Octagon NoCdemonstrated in Karim et al. [2001, 2002] is an example of a novel regular NoC topol-ogy. Its basic configuration is a ring of 8 nodes connected by 12 bidirectional links whichprovides two-hop communication between any pair of nodes in the ring and a simple,shortest-path routing algorithm. Such rings are then connected edge-to-edge to form alarger, scalable network. For more complex structures such as trees, finding the optimallayout is a challenge on its own right.

Besides the form, the nature of links adds an additional aspect to the topology. Ink-ary 2-cube networks, popular NoC topologies based on the nature of link are the meshwhich uses bidirectional links and torus which uses unidirectional links. For a torus,a folding can be employed to reduce long wires. In the NOSTRUM NoC presented inMillberg et al. [2004], a folded torus is discarded in favor of a mesh with the argumentthat it has longer delays between routing nodes. Figure 9 shows examples of regularforms of topology. Generally, mesh topology makes better use of links (utilization), whiletree-based topologies are useful for exploiting locality of traffic.

Irregular forms of topologies are derived by mixing different forms in a hierarchical,hybrid, or asymmetric fashion as seen in Figure 10. Irregular forms of topologies scale

ACM Computing Surveys, Vol. 38, March 2006.

16/46 M. Boyer NOC

Page 22: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

17/46 M. Boyer NOC

Page 23: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Routing

Routing:

XY: follows the row first, then moves along the columnNote: reverse communication uses another pathSource routing: source set the path in the headerAdaptative:

route computed “on the fly”minimize link/router loadresearch only ?

18/46 M. Boyer NOC

Page 24: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Routing

Routing:

XY: follows the row first, then moves along the columnNote: reverse communication uses another path

Source routing: source set the path in the headerAdaptative:

route computed “on the fly”minimize link/router loadresearch only ?

18/46 M. Boyer NOC

Page 25: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Routing

Routing:

XY: follows the row first, then moves along the columnNote: reverse communication uses another pathSource routing: source set the path in the header

Adaptative:route computed “on the fly”minimize link/router loadresearch only ?

18/46 M. Boyer NOC

Page 26: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Routing

Routing:

XY: follows the row first, then moves along the columnNote: reverse communication uses another path

Source routing: source set the path in the header

Adaptative:

route computed “on the fly”minimize link/router loadresearch only ?

18/46 M. Boyer NOC

Page 27: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Multicast

Multicast: sending the same data to several cores

multicast NoC: data send once, path sharing

non multicast NoC: data sent several times, path competition

19/46 M. Boyer NOC

Page 28: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Multicast

Multicast: sending the same data to several cores

multicast NoC: data send once, path sharing

non multicast NoC: data sent several times, path competition

19/46 M. Boyer NOC

Page 29: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

20/46 M. Boyer NOC

Page 30: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Router: managing contention

They always are contentions

arbitration is needed

⇒ link scheduling policy⇒ storage is needed

⇒ memory allocation policysuch memory is expensive

⇒ large set of solutions

21/46 M. Boyer NOC

Page 31: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Forwarding

Forwarding: how to transmit data?

store & forward

wormhole

virtual circuit

virtual cut-through

22/46 M. Boyer NOC

Page 32: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Store and forward

common policy in network

send and store full packets in routers

require buffer size many times larger than packet size

23/46 M. Boyer NOC

Page 33: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Wormhole forwarding

like Spacewire

forward flits even whilereceiving

send flits up to blocking

⇒ link flow control

allow large messages /packets

implies blocking, and evendead-locks

24/46 M. Boyer NOC

Page 34: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Virtual circuit enhancement

reduces blocking

require more logic

flit taggingVC id allocationmemory sharingarbitration policy

allows per VC QoS

25/46 M. Boyer NOC

Page 35: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Virtual cut-through forwarding

looks like wormhole restriction

or store&forward enhancement

send packet only if enough space in next router

⇒ require storage of full packet

26/46 M. Boyer NOC

Page 36: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Virtual cut-through forwarding

looks like wormhole restriction

or store&forward enhancement

send packet only if enough space in next router

⇒ require storage of full packet

26/46 M. Boyer NOC

Page 37: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Virtual cut-through forwarding

looks like wormhole restriction

or store&forward enhancement

send packet only if enough space in next router

⇒ require storage of full packet

26/46 M. Boyer NOC

Page 38: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Virtual cut-through forwarding

looks like wormhole restriction

or store&forward enhancement

send packet only if enough space in next router

⇒ require storage of full packet

26/46 M. Boyer NOC

Page 39: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Forwarding: feedback

Per node costForwarding Latency Memory

store & forward packet packet Common in networks

wormhole header header Blocking

virtual cut-through header packet A trade-off (?)

wormhole has good mean performances

... but can lead to dead-locks

virtual circuit has less blocking

... but require more memory and logic

store& forward / virtual cut-through are well known

... but require more memory or small messages

27/46 M. Boyer NOC

Page 40: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

28/46 M. Boyer NOC

Page 41: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

The time-triggered approach

Build a global TDMA schedule

+ avoid any contention

+ small network delays

- require periodic tasks/communications

- does it scale ?

29/46 M. Boyer NOC

Page 42: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Connection oriented

Avoid contention in network, by establishing core-to-coreconnection, and resource reservation.

increases latency (connection establishment)

increases logic

research only ?

30/46 M. Boyer NOC

Page 43: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

31/46 M. Boyer NOC

Page 44: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Tile-based solutions

Initial architecture: [24],MIT, 2007

Tile:

local multi-coreDRAM, I/O...

NoC between tiles

Hierarchical design

⇒ multi-core interferences +NoC interferences

32/46 M. Boyer NOC

Page 45: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

33/46 M. Boyer NOC

Page 46: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

34/46 M. Boyer NOC

Page 47: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Intel SCC architecture

Fig. 7 Router, Tile and Chip micrographs.

FM – Mesh frequency

FN – Core frequencyFB – Base frequency

ODVR – Off-Die Voltage Regulators

VRC – Voltage Regulator Controller

GCB – Global Control Block

East

RouterWes

t

North

South Core select

FM FNIA

Core 0

16B

16B

16B

BW 160GB/s

CCF

DDR3

Mem

ory

Cont

rolle

r 0

DDR3

Mem

ory

Cont

rolle

r 2DD

R3 M

emor

y Co

ntro

ller 3

JTAG

Loca

lEa

st

16B

16B

GCBFB

V0 V1 V2 V3

V4 V5 V6 V7

VRC

ODVR

ODVR

DDR3

Mem

ory

Cont

rolle

r 1

F-change

FM FN

Mesh Interface

IA Core 1

Switch Arbitration

24-deep flit FIFO

Route

Pre-Computation

VC Allocation

Crossbar

SOUTH

Local

EAST

NORTH

WEST

STAGE 1

Link Traversal + Buffer Write

STAGE 2

Switch Allocation

STAGE 3

Buffer Read + VC Allocation

STAGE 4

Switch Traversal

Port 0

Port 1 to 4

clk

1.1V 2GHz 50°C

50°C

3.2X

5.2X

1.1V

VRC

L2 cache

L2 cache

IA Core0

IA Core1

CCF

50°C 2GHz

550mW725MHz

64mW

Freq

uenc

y (G

Hz)

Netw

ork

Pow

er/ T

ile (I

n m

W)

Fmax Power

Vcc (V)

Input

QueueQueue Array(Register File)

gated_clk

MSI

NT

Stg

2-5

-- 44

Router Port 0

Input

Queue

Port enable

Queue Array24x144 FIFO

Gated clock

Ports 1-4

5

clk

1Port Logic

Globalenable

144 144

Flit In Flit Out

Global clock

buffer

Fig. 4 CCF basic operation and timing diagram Fig. 5 Timing optimization using asymmetrical cell based WWFA.

Fig. 1 SCC Block diagram. Fig. 2 Router Pipeline diagram.

Fig. 3 (a) Retiming of buffer writes (b) Speculative buffer reads. (a) (b)

Fig. 8(a) Measured Fmax and Power vs. Vcc (a)

Fig. 6 (a) Port level clock gating (b) Measured benefit.

Fig. 8(b) Measured energy efficiency Fig. 8(c) Router power breakdown

Net

wor

k P

ower

/ Tile

(In

mW

)

No. of active ports

2.4X

1.1V 2GHz 50°C

(b)

978-1-4244-7641-1/10/$26.00 ©2010 IEEE 2010 Symposium on VLSI Circuits/Technical Digest of Technical Papers 80

experimental processor [22]

24 tiles

2 cores per tile

2Tb/s bisection bandwidth

explicit message passing(but virtual globaladdressing)

35/46 M. Boyer NOC

Page 48: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Intel SCC router

Fig. 7 Router, Tile and Chip micrographs.

FM – Mesh frequency

FN – Core frequencyFB – Base frequency

ODVR – Off-Die Voltage Regulators

VRC – Voltage Regulator Controller

GCB – Global Control Block

East

RouterWes

t

North

South Core select

FM FNIA

Core 0

16B

16B

16B

BW 160GB/s

CCF

DDR3

Mem

ory

Cont

rolle

r 0

DDR3

Mem

ory

Cont

rolle

r 2DD

R3 M

emor

y Co

ntro

ller 3

JTAG

Loca

lEa

st

16B

16B

GCBFB

V0 V1 V2 V3

V4 V5 V6 V7

VRC

ODVR

ODVR

DDR3

Mem

ory

Cont

rolle

r 1

F-change

FM FN

Mesh Interface

IA Core 1

Switch Arbitration

24-deep flit FIFO

Route

Pre-Computation

VC Allocation

Crossbar

SOUTH

Local

EAST

NORTH

WEST

STAGE 1

Link Traversal + Buffer Write

STAGE 2

Switch Allocation

STAGE 3

Buffer Read + VC Allocation

STAGE 4

Switch Traversal

Port 0

Port 1 to 4

clk

1.1V 2GHz 50°C

50°C

3.2X

5.2X

1.1V

VRC

L2 cache

L2 cache

IA Core0

IA Core1

CCF

50°C 2GHz

550mW725MHz

64mW

Freq

uenc

y (G

Hz)

Netw

ork

Pow

er/ T

ile (I

n m

W)

Fmax Power

Vcc (V)

Input

QueueQueue Array(Register File)

gated_clk

MSI

NT

Stg

2-5

-- 44

Router Port 0

Input

Queue

Port enable

Queue Array24x144 FIFO

Gated clock

Ports 1-4

5

clk

1Port Logic

Globalenable

144 144

Flit In Flit Out

Global clock

buffer

Fig. 4 CCF basic operation and timing diagram Fig. 5 Timing optimization using asymmetrical cell based WWFA.

Fig. 1 SCC Block diagram. Fig. 2 Router Pipeline diagram.

Fig. 3 (a) Retiming of buffer writes (b) Speculative buffer reads. (a) (b)

Fig. 8(a) Measured Fmax and Power vs. Vcc (a)

Fig. 6 (a) Port level clock gating (b) Measured benefit.

Fig. 8(b) Measured energy efficiency Fig. 8(c) Router power breakdown

Net

wor

k P

ower

/ Tile

(In

mW

)

No. of active ports

2.4X

1.1V 2GHz 50°C

(b)

978-1-4244-7641-1/10/$26.00 ©2010 IEEE 2010 Symposium on VLSI Circuits/Technical Digest of Technical Papers 80

Virtual Circuit forwarding

8 VC for the whole router

crossbar output

36/46 M. Boyer NOC

Page 49: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

37/46 M. Boyer NOC

Page 50: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Spidergon STNoC

67

the ring and the optimization based on the spidergon cross-

links only, the SFR method shows a few added cross-links,

meaning a limited area overhead but low performance in

terms of latency and power consumption. For the interme-

diate values of all the metrics shown in Figure 5, the Pareto

points are obtained by the FFR method, while the high per-

formance Pareto points, in terms of both network latency

and power consumption, correspond to the network config-

urations generated by SFS. Figure 5 shows as all the Pareto

solutions generated by the four methods have, as expected,

low area values with respect to spidergon, reaching the same

performance in terms of network latency or better perfor-

mance in terms of power consumption.

Figure 6 shows the STNoC topology comparison among

ring, spidergon and four custom topologies optimized for

the MPEG4 application. The four custom topologies have

been selected as the best topology for each approach in

terms of product Power ∗ Latency ∗ Area. The perfor-

mance comparison among ring, spidergon and the four cus-

tom topologies shown in Figure 6, is reported in Table 1.

The solutions have been compared in terms of network area,

average power consumption of the network, network la-

tency, area∗power∗latency product, aggregate bandwidth

and number of added links. For area, power consumption,

network latency and the area ∗ power ∗ latency product,

the results for the four custom topologies and for spidergon

are presented in Table 1 in percentage with respect to the

ring values, while for the number of added links and for the

aggregate bandwidth the reported values are absolute.

The topologies shown in Figure 6 reflect the characteris-

tics already described in Section 5. Since the Fully meth-

ods (FFR and FFS) utilize the degrees of freedom given by

the large design space to try to optimize the topology, these

topologies show ”crazy” irregular connections for the added

cross-links. The FFR solution (see Figure 6(b)) confirms the

presence of the ”short” cross-links that are consequence of

the initial mapping on the ring topology. In fact, among the

5 added cross-links, only one is ”long”. The FFS solution,

on the other side, requires to add ”long” cross-links caused

by the initial mapping on spidergon. Both solutions have

good performance results in terms of power (-7.66% and

-8.82% respectively) and latency (-19.31% and -22.76% re-

spectively) with a limited area overhead (+15.81 and +19.78

respectively) with respect to ring. Confirming the analy-

sis previously done for Figure 5, the SFR solution (adding

only 2 cross-links) shows the best results in terms of area

overhead with respect to ring (+5.92%) among all custom

solutions but with only a limited performance increment (-

3.77% and -8.03% respectively for power and network la-

tency). The Area ∗ Power ∗ Latency product for SFR

value is the worst among the four methods. On the op-

posite side, the Subset method offers the best value of the

Area ∗ Power ∗ Latency product: -21.57 with respect to

bab

idct

mcpu

sram2

sram1

risc

adsp

up

samp

vu

rast

au

sdram

(a) RING

bab

idct

mcpu

sram2

sram1

risc

adsp

up

samp

vu

rast

au

sdram

(b) FFR

vu

au

bab

adsp

risc

idct

sram1

sram2

rast

sdram

mcpu

up

samp

(c) FFS

bab

idct

mcpu

sram2

sram1

risc

adsp

up

samp

vu

rast

au

sdram

(d) SFR

vu

au

bab

adsp

risc

idct

sram1

sram2

rast

sdram

mcpu

up

samp

(e) SFS

vu

au

bab

adsp

risc

idct

sram1

sram2

rast

sdram

mcpu

up

samp

(f) SPIDERGON

Figure 6. STNoC topologies comparison

ring. Adding only 4 cross-links, it provides a limited area

overhead but good values for power and latency (-10.63%

and -22.62% respectively).

Table 1 also confirms the motivation of this work. In

fact, looking at the results of the two standard topologies

(ring and spidergon) it is possible to note how spidergon re-

duces the values of latency (-22.93%), power consumption

(-7.59%) and aggregate bandwidth of the network compared

to ring reducing the number of hops for each communica-

tion but increasing significantly the network area (+41.4%)

due to the router complexity. Comparing the two standard

topologies using a metric that tries to find cost/performance

trade-offs, as the case of the Area∗Power∗Latency prod-

uct, ring and spidergon behaves similarly. In this case, ring

is slightly better than spidergon for the Area ∗ Power ∗Latency product (+0.82).

7 Conclusion

In this paper, we presented four different approaches

used for the application-specific topology customization

of STNoC, the network on-chip architecture developed by

STMicroelectronics. Starting from different initial map-

7

A NoC for SoC (?) [5, 18]

application specific topology

Time-Triggered schedulingsoon

38/46 M. Boyer NOC

Page 51: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

39/46 M. Boyer NOC

Page 52: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Kalray architecture

A 256-cores chip [9]

torus topology

16 tiles

16 “simple” cores pertile

40/46 M. Boyer NOC

Page 53: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Kalray Network Adapter

Figure 2: MPPAR©-256 D-NoC Tx structure.

Figure 3: Flow constrained by a (σ, ρ) model.

so flows interfere on a node only if they share a link to thenext node. This interface performs a round-robin (RR) arbi-tration at the packet granularity between the FIFO queuesthat contain data to send on a link (Figure 4). The NoCrouters have thus been designed for simplicity while induc-ing a minimal amount of perturbations on (σ, ρ) flows.

Figure 4: MPPAR©-256 NoC router model.

An additional benefit of the router design comes fromthe association of a unique FIFO queue with each source-destination pair. The resulting decoupling of flows and theirinteraction makes the overflow of the queues on a closedNoC circuit a necessary condition for the appearance of net-work deadlocks. By preventing every single FIFO queue

from overflowing through NoC configuration, we eliminatethe possibility of deadlocks. It is thus not necessary to resortto specific routing techniques such as turn-models.

2. MPPAR© D-NOC CALCULUSThe goal of the MPPAR© D-NoC calculus is to compute the

(σ,ρ) injection parameters for flows that have already beenassigned routes on the D-NoC. These parameters shouldguarantee: the application minimal bandwidth requirements;the absence of FIFO queue overflow in the D-NoC routers;and the fairness of bandwidth allocation between the differ-ent flows. The key MPPAR© D-NoC architectural featuresthat make applicable a (σ,ρ) calculus are:

• Packets are transmitted one after the other over anylink, so we avoid the aggregation issues implied by the(min,+) network calculus: when leaky-buckets flowsare FIFO-multiplexed in rate-latency network elements,the service curves offered to a single flow are not nec-essarily themselves rate-latency curves [12];

• The packetization effects are taken care of by consid-ering a suitable rate-latency type of service that isoffered by the link arbiters; precisely, the latency isdlf = (ml − 1)Lmax (see below) and the rate is 1;

• The link arbiters are work-conserving and the NoCinjection scheme may be considered as a special caseof weighted fair queueing (WF2Q) [9].

2.1 General Problem Formulation

2.1.1 Variables and Constraints

On the MPPAR© D-NoC, the only variables considered arethe injection rates ρi associated with the bandwidth limitersof each flow. Indeed, the window sizes are considered fixed,thereby giving a direct relation between ρi and its corre-sponding σi = ρi(1− ρi)Tw. The constraints applied to theflow rates are all direct consequences of either the problemstatement or the architectural features of the D-NoC:

• Application constraints: some flows require a minimalinjection rate ρmin

i ≤ ρi.• Link capacity constraints:

∑f∈F (l) ρf ≤ 1 for any link

l shared by a set of flows F (l). Over-booking of linksmay not occur as this will result in saturation of theFIFO queues.

• Queue backlog constraints:∑f∈F (q) σ

lf ≤ Qsize for

any FIFO queue q in front of the router arbiter of linkl, with Qsize the maximum FIFO size and F (q) the setof flows sharing the queue.

These constraints are local and only apply to specific net-work elements: flows, links and FIFO queues. We collectall these constraints in order to obtain a formulation whosesolutions yields the D-NoC injection parameters. Whilethe link capacity constraints are straightforward, the queuebacklog constraints require more attention to details. Flowscoming from different directions and sharing the same linkfor their next hop will trigger a link access round-robin arbi-tration. A consequence of this is that their individual bursti-ness may increase. It should be noted that when two flows

8 channels [10]

explicit communications

per channel traffic limiter

⇒ HW support for latencycomputation

41/46 M. Boyer NOC

Page 54: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Kalray Network router

Figure 2: MPPAR©-256 D-NoC Tx structure.

Figure 3: Flow constrained by a (σ, ρ) model.

so flows interfere on a node only if they share a link to thenext node. This interface performs a round-robin (RR) arbi-tration at the packet granularity between the FIFO queuesthat contain data to send on a link (Figure 4). The NoCrouters have thus been designed for simplicity while induc-ing a minimal amount of perturbations on (σ, ρ) flows.

Figure 4: MPPAR©-256 NoC router model.

An additional benefit of the router design comes fromthe association of a unique FIFO queue with each source-destination pair. The resulting decoupling of flows and theirinteraction makes the overflow of the queues on a closedNoC circuit a necessary condition for the appearance of net-work deadlocks. By preventing every single FIFO queue

from overflowing through NoC configuration, we eliminatethe possibility of deadlocks. It is thus not necessary to resortto specific routing techniques such as turn-models.

2. MPPAR© D-NOC CALCULUSThe goal of the MPPAR© D-NoC calculus is to compute the

(σ,ρ) injection parameters for flows that have already beenassigned routes on the D-NoC. These parameters shouldguarantee: the application minimal bandwidth requirements;the absence of FIFO queue overflow in the D-NoC routers;and the fairness of bandwidth allocation between the differ-ent flows. The key MPPAR© D-NoC architectural featuresthat make applicable a (σ,ρ) calculus are:

• Packets are transmitted one after the other over anylink, so we avoid the aggregation issues implied by the(min,+) network calculus: when leaky-buckets flowsare FIFO-multiplexed in rate-latency network elements,the service curves offered to a single flow are not nec-essarily themselves rate-latency curves [12];

• The packetization effects are taken care of by consid-ering a suitable rate-latency type of service that isoffered by the link arbiters; precisely, the latency isdlf = (ml − 1)Lmax (see below) and the rate is 1;

• The link arbiters are work-conserving and the NoCinjection scheme may be considered as a special caseof weighted fair queueing (WF2Q) [9].

2.1 General Problem Formulation

2.1.1 Variables and Constraints

On the MPPAR© D-NoC, the only variables considered arethe injection rates ρi associated with the bandwidth limitersof each flow. Indeed, the window sizes are considered fixed,thereby giving a direct relation between ρi and its corre-sponding σi = ρi(1− ρi)Tw. The constraints applied to theflow rates are all direct consequences of either the problemstatement or the architectural features of the D-NoC:

• Application constraints: some flows require a minimalinjection rate ρmin

i ≤ ρi.• Link capacity constraints:

∑f∈F (l) ρf ≤ 1 for any link

l shared by a set of flows F (l). Over-booking of linksmay not occur as this will result in saturation of theFIFO queues.

• Queue backlog constraints:∑f∈F (q) σ

lf ≤ Qsize for

any FIFO queue q in front of the router arbiter of linkl, with Qsize the maximum FIFO size and F (q) the setof flows sharing the queue.

These constraints are local and only apply to specific net-work elements: flows, links and FIFO queues. We collectall these constraints in order to obtain a formulation whosesolutions yields the D-NoC injection parameters. Whilethe link capacity constraints are straightforward, the queuebacklog constraints require more attention to details. Flowscoming from different directions and sharing the same linkfor their next hop will trigger a link access round-robin arbi-tration. A consequence of this is that their individual bursti-ness may increase. It should be noted that when two flows

virtual cut-throughforwarding

round-robin arbitration

42/46 M. Boyer NOC

Page 55: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

43/46 M. Boyer NOC

Page 56: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Real-time with NoC?

Challenge:

bound Worst case Interference Time (WCIT)

⇒ bound NoC Worst Case Traversal Time (WCTT)

Real-Time NoC:

there will be one: TT extension of STMicro Spidergon STNoC

there are some HW mechanisms

deactivation of cache coherencybandwidth limiters

Solutions:

execution model

analyse methods

44/46 M. Boyer NOC

Page 57: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Real-time with NoC?

Challenge:

bound Worst case Interference Time (WCIT)

⇒ bound NoC Worst Case Traversal Time (WCTT)

Real-Time NoC:

there will be one: TT extension of STMicro Spidergon STNoC

there are some HW mechanisms

deactivation of cache coherencybandwidth limiters

Solutions:

execution model

analyse methods

44/46 M. Boyer NOC

Page 58: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Real-time with NoC?

Challenge:

bound Worst case Interference Time (WCIT)

⇒ bound NoC Worst Case Traversal Time (WCTT)

Real-Time NoC:

there will be one: TT extension of STMicro Spidergon STNoC

there are some HW mechanisms

deactivation of cache coherencybandwidth limiters

Solutions:

execution model

analyse methods

44/46 M. Boyer NOC

Page 59: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Many-cores are arrivingTrends

Network on ChipOverviewRoutingContentionOther solutionsTiles

Three selected solutionsIntel SCCSpidergon STNoCThe Kalray MPPA

A real-time NoC ?

Conclusion

45/46 M. Boyer NOC

Page 60: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Conclusion

The bad news

no large real-time processormarket

neither NoC one

the NoC is a commonshared resource

The good news

cache coherence is no moreaffordable

⇒ less implicit communication

The times they are changing

from shared memory to message passing

46/46 M. Boyer NOC

Page 61: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Conclusion

The bad news

no large real-time processormarket

neither NoC one

the NoC is a commonshared resource

The good news

cache coherence is no moreaffordable

⇒ less implicit communication

The times they are changing

from shared memory to message passing

46/46 M. Boyer NOC

Page 62: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Conclusion

The bad news

no large real-time processormarket

neither NoC one

the NoC is a commonshared resource

The good news

cache coherence is no moreaffordable

⇒ less implicit communication

The times they are changing

from shared memory to message passing

46/46 M. Boyer NOC

Page 63: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Conclusion

The bad news

no large real-time processormarket

neither NoC one

the NoC is a commonshared resource

The good news

cache coherence is no moreaffordable

⇒ less implicit communication

The times they are changing

from shared memory to message passing

46/46 M. Boyer NOC

Page 64: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Conclusion

The bad news

no large real-time processormarket

neither NoC one

the NoC is a commonshared resource

The good news

cache coherence is no moreaffordable

⇒ less implicit communication

The times they are changing

from shared memory to message passing

46/46 M. Boyer NOC

Page 65: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Conclusion

The bad news

no large real-time processormarket

neither NoC one

the NoC is a commonshared resource

The good news

cache coherence is no moreaffordable

⇒ less implicit communication

The times they are changing

from shared memory to message passing

46/46 M. Boyer NOC

Page 66: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Why multi-coresTheoretical limitsArchitecture impact

1/14 M. Boyer NOC

Page 67: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Why multi-coresTheoretical limitsArchitecture impact

2/14 M. Boyer NOC

Page 68: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Some limits [4, 12]

Moore’s law

The transistor density doubles every generation.

Pollack’s rules

Performance (of a single core) is roughly proportional to squareroot of the number of transistors.

3/14 M. Boyer NOC

Page 69: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

More limits [4, 12]

Amdahl’s law

Given a program, with fraction f ∈ [0, 1] that can be executed inparallel. Then, the speed-up with n cores is bounded by

1

(1− f ) + fn

(1)

Gustafson’s law

Given a program, with fraction f ∈ [0, 1] that can be executed inparallel, n processors allows to handle a problem n

n+f (1−n) larger.

Corollary

With more processors, programmers find more parallelism inproblems...

4/14 M. Boyer NOC

Page 70: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

More limits [4, 12]

Amdahl’s law

Given a program, with fraction f ∈ [0, 1] that can be executed inparallel. Then, the speed-up with n cores is bounded by

1

(1− f ) + fn

(1)

Gustafson’s law

Given a program, with fraction f ∈ [0, 1] that can be executed inparallel, n processors allows to handle a problem n

n+f (1−n) larger.

Corollary

With more processors, programmers find more parallelism inproblems...

4/14 M. Boyer NOC

Page 71: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

More limits [4, 12]

Amdahl’s law

Given a program, with fraction f ∈ [0, 1] that can be executed inparallel. Then, the speed-up with n cores is bounded by

1

(1− f ) + fn

(1)

Gustafson’s law

Given a program, with fraction f ∈ [0, 1] that can be executed inparallel, n processors allows to handle a problem n

n+f (1−n) larger.

Corollary

With more processors, programmers find more parallelism inproblems...

4/14 M. Boyer NOC

Page 72: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

The power limit

Power consumption

W = CV 2f

The capacity (C ) is technology dependant.Cache memory uses few energy.

Power GFlop/Watt

Mono/Quadri-Core 150W 7GF/W

GPGPU 300W 10GF/W

Many-core 30W 70GF/W

5/14 M. Boyer NOC

Page 73: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Outline

Why multi-coresTheoretical limitsArchitecture impact

6/14 M. Boyer NOC

Page 74: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Symmetric multicores ?

Forecast [12, 4]: next chips will have

a few “large” cores for sequential part

several “small” cores for parallel part

on the same chip ? (multi-core vs GPGPU)

7/14 M. Boyer NOC

Page 75: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Bibliographie I

Julie Baro, Frederic Boniol, Mikel Cordovilla, Eric Noulard, and Claire Pagetti.Off-line (optimal) multiprocessor scheduling of dependent periodic tasks.In Proceedings of the 27th ACM Symposium on Applied Computing (SAC’2012),March 2012.http://www.acm.org/conferences/sac/sac2012/.

Tobias Bjerregaard and Shankar Mahadevan.A survey of research and practices of network-on-chip.ACM Computing Surveys (CSUR), 38(1):1, 2006.

Frederic Boniol, Hugues Casse, Eric Noulard, and Claire Pagetti.Deterministic execution model on cots hardware.In Architecture of Computing Systems - ARCS2012, Lecture Notes in ComputerScience. Springer, 2012.http://www.arcs2012.tum.de/.

Shekhar Borkar and Andrew A. Chien.The future of microprocessors.Commun. ACM, 54:67–77, May 2011.

8/14 M. Boyer NOC

Page 76: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Bibliographie II

Marcello Coppola, Miltos D. Grammatikakis, Riccardo Locatelli, GiuseppeMaruccia, and Lorenzo Pieralisi.Design of Cost-Efficient Interconnect Processing Units: Spidergon STNoC.CRC Press, Inc., Boca Raton, FL, USA, 1st edition, 2008.

Mikel Cordovilla, Frederic Boniol, Julien Forget, Eric Noulard, and Claire Pagetti.

Developing critical embedded systems on multicore architectures: theprelude-schedmcore toolset.In 19th International Conference on Real-Time and Network Systems (RTNS2011), Nantes, France, September 29-30 2011. IRCCyN lab, IRCCyN lab.http://hal.inria.fr/inria-00618587/en/.

Mikel CORDOVILLA, Frederic BONIOL, Eric NOULARD, and Claire PAGETTI.Multiprocessor schedulability analyzer.In 26th ACM Symposium of Applied Computing (Real-Time Systems Track),March 2011.

Bruno d’Ausbourg, Marc Boyer, Eric Noulard, and Claire Pagetti.Deterministic execution on many-core platforms: application to the scc.Technical report, Hasso-Planner Institute, December 2011.In 4th MARC Symposium, Potsdam, Germany.

9/14 M. Boyer NOC

Page 77: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Bibliographie III

Benoıt Dupont de Dinechin, Pierre Guironnet de Massas, Guillaume Lager,Clement Leger, Benjamin Orgogozo, Jerome Reybert, and Thierry Strudel.A distributed run-time environment for the kalray mppa R©-256 integratedmanycore processor.Procedia Computer Science, 18(0):1654 – 1663, 2013.2013 International Conference on Computational Science.

Benoıt Dupont de Dinechin, Yves Durand, Duco van Amstel, and AlexandreGhiti.Guaranteed services of the noc of a manycore processor.In Proc. of the 7th Int. Workshop on Network on Chip Architectures(NoCArc’14), Cambridge, United Kingdom, December 2014.

Guy Durrieu, Madeleine FaugA¨re, Sylvain Girbal, Daniel Gracia PA c©rez, ClairePagetti, and Wolfgang Puffitsch.Predictable flight management system implementation on a multicore processor.In Proceedings of the 7th Conference on Embedded Real Time Software andSystems (ERTS’14), 2014.

Mark D. Hill and Michael R. Marty.Amdahl’s law in the multicore era.Computer, 41(7):30–38, July 2008.

10/14 M. Boyer NOC

Page 78: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Bibliographie IV

Angeliki Kritikakou, Olivier Baldellon, Claire Pagetti, Christine Rochange, andMatthieu Roy.Run-time control to increase task parallelism in mixed-critical systems.In 26th Euromicro Conference on Real-Time Systems (ECRTS’14), pages119–128, July 2014.

Angeliki Kritikakou, Claire Pagetti, Christine Rochange, Matthieu Roy,Madeleine Faugere, Sylvain Girbal, and Daniel Gracia Perez.Distributed run-time wcet controller for concurrent critical tasks in mixed-criticalsystems.In Proceedings of the 22th International Conference on Real-Time and NetworkSystems (RTNS’14), pages 139–148, 2014.

Alessandra Melani, Eric Noulard, and Luca Santinelli.Learning from probabilities: Dependences within real-time systems.In Proceedings of the 18th IEEE International Conference on EmergingTechnologies and Factory Automation (ETFA’2013), September 2013.http://www.etfa2013.org/.

11/14 M. Boyer NOC

Page 79: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Bibliographie V

Eric Noulard.Real-time scheduling on multi/many-processor: from specification to real-timeexecution, August 2015.Invited presentation to ETR’21015, http://etr2015.irisa.fr.

Claire Pagetti, David Saussie, Romain Gratia, Eric Noulard, and Pierre Siron.The ROSACE case study: From simulink specification to multi/many-coreexecution.In Proceedings of the 20th IEEE Real-Time and Embedded Technology andApplications Symposium (RTAS’2014), April 2014.http://2014.rtas.org/.

Gianluca Palermo, Giovanni Mariani, Cristina Silvano, Riccardo Locatelli, andMarcello Coppola.Mapping and topology customization approaches for application-specific stnocdesigns.In Application-specific Systems, Architectures and Processors, 2007. ASAP. IEEEInternational Conf. on, pages 61–68. IEEE, 2007.

Wolfgang Puffitsch, Eric Noulard, and Claire Pagetti.Off-line mapping of multi-rate dependent task sets to many-core platforms.submitted to journal (currently reviewed).

12/14 M. Boyer NOC

Page 80: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Bibliographie VI

Wolfgang Puffitsch, Eric Noulard, and Claire Pagetti.Explicit precedence constraints in safety-critical java.In Proceedings of the 11th International Workshop on Java Technologies forReal-time and Embedded Systems (JTRES’2013), October 2013.http://jtres2013.atego.com/.

Wolfgang Puffitsch, Eric Noulard, and Claire Pagetti.Mapping a multi-rate synchronous language to a many-core processor.In Proceedings of the 19th IEEE Real-Time and Embedded Technology andApplications Symposium (RTAS’2013), April 2013.http://www.cister.isep.ipp.pt/rtas2013/.

Praveen Salihundam, Shailendra Jain, Tiju Jacob, Shasi Kumar, VasanthaErraguntla, Yatin Hoskote, Sriram Vangal, Gregory Ruhl, and Nitin Borkar.A 2 Tb/s 6x4 mesh network for a single-chip cloud computer with DVFS in 45nm CMOS.IEEE journal of solid-state circuits, 46(4):757–766, April 2011.

13/14 M. Boyer NOC

Page 81: From multi to many-core: network on chip challenges · The Kalray MPPA A real-time NoC ? Conclusion 5/46 M. BoyerNOC. Outline Many-cores are arriving Trends Network on Chip ... Another

Bibliographie VII

Luca Santinelli, FrA c©dA c©ric Boniol, Eric Noulard, Claire Pagetti, andWoflgang Puffitsch.Integrated development framework for safety-critical embedded systems.In Proceedings of the 1st Formal Methods for Timing Verification Workshop(FMTV’2014), May 2014.http://www.merge-project.eu/fmtv14-workshop.

Michael Bedford Taylor.Tiled Microprocessors.PhD thesis, Massachusetts Institute of Technology, 2007.

Axel Thomsen, Boris Murmann, Andreia Cathelin, Aarno Parssinen, DanielFriedman, T. J. Watson, Stephen Kosonocky, Stefaon Rusu, Joo Sun Choi,Makoto Ikeda, and Eugenio Cantatore.Isscc 2015 trends.Technical report, IEEE international solid-state circuits conference, 2015.

14/14 M. Boyer NOC