“from possible to practical”

31
From Possible to Practical From Possible to Practical The Evolution of Nanoimprint for Patterned Media The Evolution of Nanoimprint for Patterned Media Paul Hofemann March 13, 2009

Upload: others

Post on 12-Apr-2022

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: “From Possible to Practical”

““From Possible to PracticalFrom Possible to Practical””The Evolution of Nanoimprint for Patterned MediaThe Evolution of Nanoimprint for Patterned Media

Paul HofemannMarch 13, 2009

Page 2: “From Possible to Practical”

HDD Areal Density Industry Roadmap

12001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015

Toda

y

1,000

Area

l Den

sity (

Gbit/

in2 )

10,000

100

10

Perpendicular Recording

Perpendicular Recording

Bit Patterned

Bit Patterned

Discrete Track Discrete Track

Media Technology RoadmapMedia Technology Roadmap

N            S

S

N

N

BPMBPM-- 25nm half pitch25nm half pitch

2

Page 3: “From Possible to Practical”

HDD Industry Is Now Leading the ITRS Lithography Resolution Roadmap

Sources: ITRS Roadmap (FLASH) ; Tom Coughlin Assoc. May’08 (TFH)

’02 ‘03 ‘04 ‘05 ‘06 ‘07 ‘08 ‘09 ‘10 ‘11 ‘12 ‘13 ‘14

200

10080

60

4030

20

NAND FLASH

NAND FLASH

Patt. Media

Patt. Media

HDD TFHHDD TFH To

day

…and must accomplish this at a fraction of the cost!

Res

olut

ion

(nm

)

3

Page 4: “From Possible to Practical”

What is Different About This Transition?

Requires lithography beyond the most aggressive International Technology for Semiconductors (ITRS) roadmap

Introduces processes (e.g., litho and etch) that are notcurrently in the disk media production fabs

Requires equipment innovations, process integration during actual HDD device development. Tool specification requirements are evolving.

4

Page 5: “From Possible to Practical”

Disk Media Manufacturing Process Flow

Wash Sputter COC Lube Burnish Flight Test

Today’s Unpatterned Media: $4 to $6/disk

Wash

Imprint Coating

Imprint Etch

Planarization

Resist Strip

Manufacturing Flow

Patterned Media Added Cost: $1 to $2/disk

5

Page 6: “From Possible to Practical”

Nanoimprint Lithography Entering New Phase In Preparation for High Volume Manufacturing

2005-2008 Technical Feasibility– Sub-20nm resolution demonstrated– Discrete Track and Bit Patterned– Supports areal densities beyond 1 Tb/in2

2009-2010 Manufacturing Readiness– Process stability (repeatability, reproducibility)– NIL system production robustness– Cost of Ownership requirements

Throughput, footprint, consumables, defects

– Pilot-Line learningProcess Integration (coaters, cleaners, NIL, etch, other)

Yield learning and controlPatterned Media fab layout, efficiency, automation

2010-2011 Begin Manufacturing AdoptionCover Picture: Molecular Imprints Imprio HD2200

6

Page 7: “From Possible to Practical”

OEM Roadmaps Must Align With Industry Transition

Patterned Media Adoption versus Capital Equipment

7

Page 8: “From Possible to Practical”

Nanoimprint S-FIL Aligned to HDD Industry’s Patterned Media Adoption Timeline

Patterned Media Adoption versus Capital Equipment

Imprio 1100

Imprio HD2200

10 Tools Sold To HDD Industry

Future HVM

8

®

Page 9: “From Possible to Practical”

S-FIL Technology

Inkjet Dispense

9

Page 10: “From Possible to Practical”

S-FIL Technology

Template Contacts Resist

10

Page 11: “From Possible to Practical”

S-FIL Technology

Capillary Forces Fill Template

11

Page 12: “From Possible to Practical”

S-FIL Technology

Resist Exposure

12

Page 13: “From Possible to Practical”

S-FIL Technology

Template Separation

13

Page 14: “From Possible to Practical”

Drop-on-Demand Enables Pattern Transfer Fidelity

14

Page 15: “From Possible to Practical”

Pattern Transfer for DTM and BPM

CD:LWR (3σ):

Imprint36.2 ± 1.1 nm

2.9 nm

Descum 34.6 ± 1.4 nm

2.5 nm

Oxide Etch 34.7 ± 1.0 nm

2.8 nm

Clean 36.7 ± 1.4 nm

2.9 nm

Discrete Track Structures

Bit Patterned Structures

25 nm half pitch Imprinted

Pillars

15

Page 16: “From Possible to Practical”

Patterned Media Imprint Examples

BPM BPM -- 25nm half pitch25nm half pitchResist PillarsResist Pillars

DTR DTR -- 50nm half pitch50nm half pitch Servo PatternsServo Patterns

16

Page 17: “From Possible to Practical”

Imprint lithography resolution is ultimately determined by the resolution on the template

Current emphasis on developing a ‘Manufacturing Solution’

Nanoimprint Demonstrated Technical Ability to 2.5nm

Source J. A. Rogers F. Hua, Y. Sun, A. Gaur, M. A. Meitl, L. Bilhaut, L. Rotkina, J. Wang, P. Geil, M. Shim, and, Nanoletters, Vol. 4, No. 12, 2467-2471, 2004

2.4nm carbon nanotube is adhered to a template

Imprint clearly shows the replication of the CNT feature

17

Page 18: “From Possible to Practical”

Transitioning from R&D to Pilot-lines

HD2200 Double-Sided 180 disks/hour

Process stability— Repeatability and reproducability

NIL system production robustness

Cost of Ownership requirements— Throughput, footprint, consumables, defects

Pilot-Line learning— Process Integration (ie., coaters,cleaners,NIL,etch)

— Yield learning and control— Fab layout, efficiency, automation

2009-2010

18

2nd Generation S-FIL Nanoimprint

Page 19: “From Possible to Practical”

High Throughput Double-Sided Patterning

Cassettes

Templates

Robot

Note: Timing and sequencing is an illustration only

GUIHD2200

19

Page 20: “From Possible to Practical”

Video of 2nd Generation HDD Nanoimprint System

HD2200

Page 21: “From Possible to Practical”

Pilot-Lines Require a Focus on Tool Robustness

Week# Jobs Req

uested

(each job is ~12

hr run

)

Imprio HD2200 Alpha System

Early Imprio 1100 Failure Pareto                            Early Imprio HD2200 IRONMAN

# Failu

res

MII has implemented re-design and/or OEM solutions to address these reliability issues

IRONMAN testing expanded to include some installed base tools.

21

Page 22: “From Possible to Practical”

Defect Learning Is A Major Focus of Pilot Lines

22

Template

Disk

Repeater

Wafer

Defect Class            Observation                            Examples 

Examples Only

Large Effort Underway To Fully Understand Nanoimprint Defectivity

Page 23: “From Possible to Practical”

5 um

Particles on template cause repeating defects, but often not permanent…

Some Particles on the Template Get Removed During Normal Imprint

11st st ImprintImprint

30um

22ndnd ImprintImprint 33rd rd Imprint Imprint

44thth ImprintImprint

23

Page 24: “From Possible to Practical”

If Required to Remove Stubborn Particles, Cleaning Does Not Appear to Harm the Template

24

Page 25: “From Possible to Practical”

300dph-400dph Disks Per Hour (Double-Sided)1x HVM = 2x HD2200 = 11x Imprio 1100

HVM I-1100

HD2200

3rd Generation Tool Will Focus on Cost of Ownership and Clean Room Footprint

25 Productivity Increase With Each Generation

3rd Gen1st Gen

2nd Gen

Page 26: “From Possible to Practical”

Lower CoO Roadmap with Each Generation

1st Gen(I-1100)

2nd Gen (HD2200)

3rd Gen(HVM)

$2.63

$0.84

$0.49

MII HDD Platform Total CoO ($’s/disk)

CoO Includes:Tool

– Capital– Footprint– Uptime– Yield

Consumables– Resist– Gases– Light Source– Adhesion

Deposition<$0.35<$0.35

Note: Provided as estimates only26

Page 27: “From Possible to Practical”

Fully Patterned DTR Template (Before metal layer Strip)

Master and Working Replicate Templates

Standard 6-inch round fused silica substrate

Rotary e-beam generates master patterned template

Imprint lithography transfers pattern to working replicates

Commercial mask shops ideally suited for this role

27

Page 28: “From Possible to Practical”

Master and Working Replicate Templates

28

Rotary E-beam Writer NIL - Template Replicator NIL - Disks

One master by rotary-stage e-beam writer

Replicate master into “working replicas” Each working replica template imprints 10,000 disks

One MasterOne Master 10,000 Template Replicates10,000 Template Replicates 100 million disks100 million disks

TR1100

HD2200

Page 29: “From Possible to Practical”

29

Supply Chain Coordination and Collaboration is Required

Template Supply Other Materials Supplier Interaction Yield Mgmt

Coupled processes:

Imprint coating

Imprint lithography

Etch

• New chemicals

• Std cassettes

• Std SMIF pods

• Automation protocol

• Defect detection &

classification gaps

• Implementation

strategy (i.e., binning,

process monitoring)

Page 30: “From Possible to Practical”

2009-2010 Pilot Lines Will Be Busy!

Process refinement and integration will require close collaboration with OEM/HDD Manufacturers

Increased focus on integration, cost of ownership and yield − More manufacturing personnel are getting involved – good sign!

HDD manufacturers picking areal density points for first pattern media products

New litho/etch talent will be appearing in media fabs

30

Page 31: “From Possible to Practical”

Hard Disk Drive Industry…Driving Areal Density and Lithography!

Global demand for digital storage will continue to motivate the HDD industry’s areal density progress

Patterned media provides technical roadmap beyond 1 Tb/in2

Imprint lithography enables remarkable sub-20nm resolution at extraordinary low cost

Nanoimprint Lithography is evolving from ‘Possible to Practical with it’s 3rd generation tool

31