gateway ma6 1228

47
1 1 2 2 3 3 4 4 5 5 6 6 7 7 8 8 A A B B C C D D JACK PC87541V Yonah / CALISTOGA / ICH7-M CPU CORE ISL6260+6208 POWER 1.2V/44A HTREFCLK Keyboard MODEM DAA CPUCLK,CPUCLK# FLASH FSB Azalia MINI-Card ICSXXXX 56pins RJ11 AMP STAC-9250 33MHZ, 3.3V PCI 479 Pins (uPGA) MA6 BLOCK DIAGRAM 1466 BGA JACK C1A & C2A 32.768KHz Calistoga JACK RJ45 SBLINKCLK, SBLINKCLK# CPU Yonah/Merom ICH7-M HEADPHONE, 2ND HEADPHONE, MIC OSC14M PORT REPLICATOR 4X PCI-E INTEGRADED VGA FUNCTION CHARGER MAX8724 & SELECTER Intel 82573E/L FAN 652 BGA NORTH BRIDGE TQFP 176 WIRE PCI-E CLOCK GEN 14.318MHz SYSTEM MAX8743 POWER(3/5V) MAX1993 VGACORE (1.2V/NB_CORE/1.25V) MAX9710ETP DISCHARGE NBSRCCLK, NBSRCCLK# NBSRCCLK, NBSRCCLK# 25MHz Touchpad 32.768KHz DMI LINK MAX8743 1.5VS_5/+1.05V/2.5V CPU THERMAL SENSOR SIO PC87383 Azalia LPC PG 2 PG 3,4 PG 3 PG 5,6,7,8,9,10 PG12,13,14,15 PG 29 PG 30 PG 30 PG 30 PG 24,25 PG 24 PG 24 PG 35 PG 36 PG 36 PG 36 PG 32 PG 31 PG 38 PG 39,40 PG 41 PG 37 PG 35 PG 42 B,C,D 2 PCI_INT DDRII 533/667 MHz DDRII-SODIMM2 PG 11 DDRII-SODIMM1 PG 11 VIDEO RAM CRT port PG 23 880 PCBGA PG 22 PG 16,17,18,19,20 ATI M54P PG 23 FORCalistoga (LCD/CRT/S-VIDEO) PCI-EXPRESS S-VIDEO LCD Panel PG 21 DDRII 533/667 MHz CardBus/1394 PCI DEVICES IRQ ROUTING REQ/GNT # IDSEL # AD25 DEVICE TI PCI-7412 CARDBUS / IEEE 1394 CONTROLLER/CF CARD READER SD/MMC, SM, MS PG 28 1394 CONN CARDBUS SLOT X1 48MHz PG 26,27,28 PG 26 24.576MHz 4 IN 1 PG 27 TPM PG 36 PG 44 PG 45 USB (USB4) 1394 X1 LAN 10/100/1G Audio JACK PCI-E X1 CRT/S-Video Parallel/Serial Port MODEM Media Bay PG 33 PG 34 2nd USB FDD Bluetooth PATA ODD/HDD USB6 AUX Battery PG 33 SATA 1st USB PORT X 4 USB 2.0 USB0~3 SATA HDD PATA (USB5) Internal HDD PG 32 DDR2_1.8VSUS, 0.9V PG 43 196 BGA GDDR3 BLOCK DIAGRAM 3B Custom 1 47 Wednesday, December 28, 2005 Size Document Number Rev Date: Sheet of Quanta Computer Inc. PROJECT : MA6

Upload: meng798651

Post on 29-Oct-2014

71 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Gateway MA6 1228

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

A A

B B

C C

D D

JACKPC87541V

Yonah / CALISTOGA / ICH7-M

CPU CORE ISL6260+6208POWER 1.2V/44AHTREFCLK

Keyboard

MODEMDAA

CPUCLK,CPUCLK#

FLASH

FSB

Azalia

MINI-Card

ICSXXXX56pins

RJ11

AMP

STAC-9250

33MHZ, 3.3V PCI

479 Pins (uPGA)

MA6 BLOCK DIAGRAM

1466 BGA

JACK

C1A & C2A

32.768KHz

Calistoga

JACKRJ45

SBLINKCLK, SBLINKCLK#

CPU Yonah/Merom

ICH7-M

HEADPHONE, 2ND HEADPHONE, MIC

OSC14M

PORT REPLICATOR

4X PCI-E

INTEGRADED VGA FUNCTION

CHARGER MAX8724 &SELECTER

Intel 82573E/L

FAN

652 BGA

NORTH BRIDGE

TQFP 176

WIRE

PCI-E

CLOCK GEN

14.318MHz

SYSTEM MAX8743 POWER(3/5V)

MAX1993 VGACORE(1.2V/NB_CORE/1.25V)

MAX9710ETP

DISCHARGE

NBSRCCLK, NBSRCCLK#

NBSRCCLK, NBSRCCLK#

25MHz

Touchpad

32.768KHzDMI LINK

MAX8743 1.5VS_5/+1.05V/2.5V

CPU THERMALSENSOR

SIOPC87383

Azalia

LPC

PG 2

PG 3,4

PG 3

PG 5,6,7,8,9,10

PG12,13,14,15

PG 29

PG 30

PG 30

PG 30

PG 24,25

PG 24PG 24

PG 35

PG 36 PG 36PG 36

PG 32

PG 31

PG 38

PG 39,40

PG 41

PG 37

PG 35

PG 42

B,C,D2PCI_INT

DDRII 533/667 MHz

DDRII-SODIMM2

PG 11DDRII-SODIMM1

PG 11

VIDEO RAM

CRT port

PG 23

880 PCBGA

PG 22

PG 16,17,18,19,20

ATI M54P

PG 23FORCalistoga (LCD/CRT/S-VIDEO)

PCI-EXPRESS

S-VIDEO

LCD Panel

PG 21

DDRII 533/667 MHz

CardBus/1394

PCI DEVICES IRQ ROUTING

REQ/GNT #IDSEL #AD25

DEVICE

TI PCI-7412

CARDBUS / IEEE 1394 CONTROLLER/CF

CARDREADERSD/MMC,SM, MS

PG 28

1394CONN

CARDBUSSLOT X1

48MHz

PG 26,27,28

PG 26

24.576MHz

4 IN 1

PG 27

TPM

PG 36

PG 44

PG 45

USB (USB4)1394 X1LAN 10/100/1G

Audio JACKPCI-E X1CRT/S-VideoParallel/Serial Port

MODEM

Media Bay

PG 33

PG 34

2nd

USB FDD

Bluetooth

PATA ODD/HDD

USB6

AUX Battery

PG 33

SATA

1st

USB PORT X 4 USB 2.0

USB0~3

SATA HDD

PATA(USB5)

Internal HDD

PG 32

DDR2_1.8VSUS, 0.9V

PG 43

196 BGA

GDDR3

BLOCK DIAGRAM 3BCustom

1 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

Page 2: Gateway MA6 1228

A

A

B

B

C

C

D

D

E

E

4 4

3 3

2 2

1 1

Place these terminationto close CK410M.

Iref=5mA,Ioh=4*Iref

SMbus address D2 /IDT

0 0 1 133 100 330 1 1 166 100 330 1 0 200 100 330 0 0 266 100 331 0 0 333 100 331 1 0 400 100 331 1 1 200 100 33

FSC FSB FSA CPU SRC PCI1 0 1 100 100 33

Default

120 ohms@100Mhz

"0" : 96MHz"1" : 100MHz

DREFSSCLK Frequency Select.

120 ohms@100Mhz

CL=20 - 22P

Close to IC <500mils

25 mils

25 mils

IDT CV140 /56pins ICS954206AGT /56pins

Stuff 0 ohm for 533MHz, NC for 667MHz

These are for backdrive issue

EC-A01

Place these termination to close CK410M.

EC-A01

ATI49.933

CLKRP53RP47

INTELXX

Rev_C

CLOCK GENERATOR 3ACustom

2 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

RHCLK_CPU#

VDD_SRC_CPU

IREF

VDD_REF

RHCLK_MCH#RHCLK_MCH

PM_STPPCI#PM_STPCPU#

CG_XIN

CG_XOUT RHCLK_CPU

VDD_SRC_CPU

VDD_48

R_PCLK_ICH

R_PCLK_7412R_PCLK_551

+3V

R_PCLK

VDD_PCI

VDD_48

VDD_REF

VDD_A

VDD_PCI

R_PCLK_TPM

DREFCLK#DREFCLK R_DOT96

R_DOT96#

+1.05V

VDD_A

VDD_SRC_CPU

CGCLK_SMBCGDAT_SMB

R_PCLK_SIO

VR_PWRGD_CK410#

PCLK_SIOPCLK_TPM

PCLK_ICHPCLK_551PCLK_7412

VR_PWRGD_CK410#

R_48M

CLK_BSEL1

CLK_BSEL2

CLK_BSEL0

CLK_BSEL0

CLK_BSEL2

CLK_BSEL1

CLK_PCIE_3GPLL

CLK_PCIE_DOCK#

CLK_PCIE_ICH

CLK_PCIE_3GPLL#

CLK_PCIE_DOCK

CLK_PCIE_ICH#

SRC_MINICARD1#

CLK_PCIE_SATA

CLK_PCIE_PEG

DREFSSCLK

SRC_MINICARD1

CLK_PCIE_SATA#

CLK_PCIE_LAN#

DREFCLK#

DREFSSCLK#

CLK_PCIE_PEG#

CLK_PCIE_LAN

DREFCLK

CGCLK_SMB

CGDAT_SMB

RSRC_MINICARD1#

R_PCIE_DOCK

R_PCIE_ICH

RSRC_MINICARD1

R_PCIE_ICH#

R_PCIE_LAN#R_PCIE_LAN

R_PCIE_DOCK#

R_DREFSSCLKR_DREFSSCLK#

CLK_MCH_BCLK#

CLK_CPU_BCLK

CLK_MCH_BCLK

CLK_CPU_BCLK#

R_SATAR_SATA#

R_MCH#R_MCH

R_PCIE_PEG#R_PCIE_PEG

14M_REF

+3V

+3V

+3V

+3V

+3V

+1.05V

+1.05V

+1.05V

+3V

+3V

+3V

R317 0

R328 *0

R506 2.2/F_6

R281 *10K

C699

10U-6.3V_8RP47 33X2

24

13

R238 4.7K

RP52 49.9/FX224

13

RP43

33X2

24

13

R314 *10K

C451 33P

R516 2.2/F_6

R237 33

C70010U-6.3V_8

Y314.318MHZ

21

RP55 49.9/FX224

13

RP51 49.9/FX224

13

R315 2.2K

C69510U-6.3V_8

C4610.1U

C6980.1U

R305 15

RP39 33X224

13

R320 1K

R240 33

C478

*15P

U16

SN74LVC1G04DCKR

12

4 3

5

R285 10K

C6930.1U

C70110U-6.3V_8

R515 1_6

R221 *0

R313 *10K

R225 *0

CK-410M

U14

ICS954206AG-T

50

49

3

7

28

10

52

34

4443

56

51

48

1

4

38

47

42

37

12

6 29 45

9

2

40

36

39

55

21

13

11

46

41

54

8

35

14

5316

5

15

17

19

22

24

26

31

33

18

20

23

25

27

30

32

XTAL_IN

XTAL_OUT

PCI3

VDD_PCI_2

VDD_SRC1

Vtt_PwrGd#/PD

REF0

VDD_SRC2

CPU0CPU0#

PCI2

GN

D_R

EF

VDD_REF

VDD_PCI_1

PCI4

GN

DA

SDATA

VDD_CPU

VDD

A

FSA/USB_48MHz

GN

D_P

CI_

2G

ND

_SR

CG

ND

_CPU

PCIF1/100_96M#

GN

D_P

CI_

1

CPU1#

CPU2_ITP/SRC7

IREF

PCI/SRC_STOP#

VDD_SRC0

GN

D_4

8

VDD_48

SCLK

CPU1

CPU_STOP#

PCIF0/ITP_EN

CPU2#_ITP/SRC7#

DOT96MHz

FSC/REF1FSB/TEST_MODE

PCI5

DOT96MHz#

SRC0/DREFSSCLK

SRC1

SRC2

SRC3

SRC4_SATA

SRC5

SRC6

SRC0#/DREFSSCLK#

SRC1#

SRC2#

SRC3#

SRC4#_SATA

SRC5#

SRC6#

R220 *0

R303 33

R282 33

RP37 49.9/FX224

13

RP49 49.9/FX224

13

R304 33

RP54 49.9/FX224

13

C395*10P

L26ACB2012L-120-T

R222 1K

R316 15

RP50 49.9/FX224

13

Q15RHU002N06

3

2

1

RP48 33X224

13

C411 33P

R234 1K

RP38 33X224

13

C472

15P

R286 10K

R236 1K

C6970.1U

L44ACB2012L-120-T

R24110K

R283 33

C45510U-6.3V_8

C4470.1U

R509 475/F_6

C4620.1U

RP35 49.9/FX224

13

RP40 33X224

13

C858

15P

RP46 33X224

13

C39415P

Q14RHU002N06

3

2

1

C460

*10P

RP42 33X224

13

RP44 33X224

13

RP53 49.9/FX224

13

R235 *0C473

*10P

R224 0

R284 33

RP41 33X224

13

R239 33

R319 1K

RP58 49.9/FX224

13

R233 0

R223 1K

RP36 49.9/FX224

13

C4520.1U

C4560.1U

RP45 33X224

13

R24210K

R318 56

C6960.1U

VR_PWRGD_CK410#(45)PM_STPPCI#(14)

PM_STPCPU#(14)

14M_ICH (14)

CLK_CPU_BCLK (3)CLK_CPU_BCLK# (3)

CLK_MCH_BCLK (5)CLK_MCH_BCLK# (5)

PCLK_7412 (26)PCLK_551 (35)

+3V(3,7,8,9,11,12,13,14,15,16,17,18,19,22,23,28,31,32,33,35,36,38,41,44,45)

DREFCLK(7)DREFCLK#(7)

+1.05V(3,4,5,7,8,9,12,15,38,42)

CGCLK_SMB(11,32)CGDAT_SMB(11,32)

PCLK_ICH (13)

PCLK_TPM (36)PCLK_SIO (31)

XDP_BPM#3 (3)

MCH_BSEL2 (7)

CPU_BSEL1(3)

XDP_BPM#1 (3)

XDP_BPM#2 (3)

CPU_BSEL0(3) MCH_BSEL0 (7)

MCH_BSEL1 (7)

CPU_BSEL2(3)

PDAT_SMB(14,24,37)

PCLK_SMB(14,24,37)

VR_PWRGD_CK410(14)

CLKUSB_48(14)CLK48M(28)

CLK_PCIE_LAN (24)CLK_PCIE_LAN# (24)

CLK_PCIE_DOCK (37)CLK_PCIE_DOCK# (37)

SRC_MINICARD1# (32)SRC_MINICARD1 (32)

DREFSSCLK (7)DREFSSCLK# (7)

PCLK_LPC_DEBUG (32)

CLK_PCIE_SATA (12)CLK_PCIE_SATA# (12)

CLK_PCIE_PEG# (16)CLK_PCIE_PEG (16)

CLK_PCIE_3GPLL# (7)CLK_PCIE_3GPLL (7)

CLK_PCIE_ICH (13)CLK_PCIE_ICH# (13)

14M_SIO (31)

Page 3: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

Layout note: 0.5" max for GTLREF

Near to CPU <500mils

XDP PU_R < 0.2"

XDP PU_R < 0.2"

20/15mils

25/25mils

ADDRESS: 98H

CPU(1 OF 2 ) 2AB

3 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

H_A#3

H_A#5H_A#6H_A#7

H_REQ#0H_REQ#1H_REQ#2H_REQ#3H_REQ#4

H_A#17H_A#18H_A#19H_A#20H_A#21H_A#22H_A#23H_A#24H_A#25H_A#26H_A#27H_A#28H_A#29H_A#30H_A#31

H_STPCLK_R#

H_A#4

H_THERMDA

TP_EXTBREF

TP_SPARE0TP_SPARE1TP_SPARE2TP_SPARE3TP_SPARE4TP_SPARE5TP_SPARE6TP_SPARE7

H_THERMDC

H_A#8H_A#9H_A#10H_A#11H_A#12H_A#13H_A#14H_A#15H_A#16

XDP_DBRESET#XDP_TRST#

XDP_BPM#5

XDP_TMSXDP_TDOXDP_TDIXDP_TCK

H_RS#0H_RS#1H_RS#2

H_D#32

COMP0COMP1COMP2

H_D#33H_D#34H_D#35H_D#36H_D#37H_D#38H_D#39H_D#40H_D#41H_D#42H_D#43H_D#44H_D#45H_D#46H_D#47

H_D#48H_D#49H_D#50H_D#51H_D#52H_D#53H_D#54H_D#55H_D#56H_D#57H_D#58H_D#59H_D#60H_D#61H_D#62H_D#63

H_D#16

H_GTLREF

H_D#0H_D#1H_D#2H_D#3H_D#4H_D#5H_D#6H_D#7H_D#8H_D#9H_D#10H_D#11H_D#12H_D#13H_D#14H_D#15

TP_A32#TP_A33#TP_A34#TP_A35#TP_A36#TP_A37#TP_A38#TP_A39#TP_APM0#TP_APM1#

TP_HFPLL

H_D#17H_D#18H_D#19H_D#20H_D#21H_D#22H_D#23H_D#24H_D#25H_D#26H_D#27H_D#28H_D#29H_D#30H_D#31

XDP_TDI

XDP_BPM#5

XDP_TCK

+1.05V

XDP_TRST#

H_PROCHOT#

ABCLK

H_THERMDA

H_THERMDC

6648OVERT#

ABDATA

6648VCC

THCLK_SMB

THDAT_SMB

XDP_DBRESET#

XDP_TMS

COMP3

+1.05V

+1.05V

+1.05V

+3V

+3V

+3V

+3V

+1.05V

+1.05V

+1.05V

+1.05V

T199T198

R64010K

RESERVED

ADDR GROUP 0 C

ONTROL

XDP/ITP SIGNALS

THERM

H CLK

U44A

PZ47903-2741-01

J4L4M3K5M1N2J1N3P5P2L1P4P1R1L2

K3H2K2J3L5

Y2U5R3W6U4Y5U2R4T5T3

W3W5Y4

W2Y1V4

A6A5C4

D5C6B4A3

AA1AA4AB2AA3M4N5T2V3B2C3

B25

T22

D2F6D3C1AF1D22C23C24

A22A21

D21A24A25

C7

AD4AD3AD1AC4AC2AC1AC5AA6AB3AB5AB6C20

G6E4

B1F3F4G3G2

D20B3

H4

F1

H5F21E1

H1E2G5

A[3]#A[4]#A[5]#A[6]#A[7]#A[8]#A[9]#A[10]#A[11]#A[12]#A[13]#A[14]#A[15]#A[16]#ADSTB[0]#

REQ[0]#REQ[1]#REQ[2]#REQ[3]#REQ[4]#

A[17]#A[18]#A[19]#A[20]#A[21]#A[22]#A[23]#A[24]#A[25]#A[26]#A[27]#A[28]#A[29]#A[30]#A[31]#ADSTB[1]#

A20M#FERR#IGNNE#

STPCLK#LINT0LINT1SMI#

RSVD[01]#RSVD[02]#RSVD[03]#RSVD[04]#RSVD[05]#RSVD[06]#RSVD[07]#RSVD[08]#RSVD[09]#RSVD[10]#

RSVD[11]#

RSVD[12]#

RSVD[13]#RSVD[14]#RSVD[15]#RSVD[16]#RSVD[17]#RSVD[18]#RSVD[19]#RSVD[20]#

BCLK[0]BCLK[1]

PROCHOTTHERMDATHERMDC

THERMTRIP#

BPM[0]#BPM[1]#BPM[2]#BPM[3]#PRDY#PREQ#

TCKTDI

TDOTMS

TRST#DBR#

HIT#HITM#

RESET#RS[0]#RS[1]#RS[2]#TRDY#

IERR#INIT#

LOCK#

BR0#

DEFER#DRDY#DBSY#

ADS#BNR#BPRI#

T200

R57 54.9/F

C8302200P_6

T195

R59 54.9/F R66010K

T192

R151K/F

T197

Q52*MMBT3904

2

1 3

R636 *0

C8350.1U

R58 54.9/F

Q48RHU002N06

3

2

1

R2356

R19 *54.9/FQ51

RHU002N063

2

1

T16

R674*330

T190

R675*10K

T201T204

T28

R16 54.9/FR17 27.4/F

R54*200R677 51

T5

DATA GRP 3

DATA GRP 0

DATA GRP 1

MISC

DATA GRP 2

U44B

PZ47903-2741-01

E22F24E26H22F23G25E25E23K24G24J24J23H26F26K22H25H23G22J26

N22K25P26R23L25L22L23M23P25P22P23T24R24L26T25N24M24N25M26

AD26

C26

D25

B22B23C21

R26U26U1V1

E5B5D24D6D7AE6

AC22AC23AB22AA21AB21AC25AD20AE22AF23AD24AE21AD21AE25AF25AF22AF26AD23AE24AC20

AA23AB24V24V26W25U23U25U22AB25W22Y23AA26Y26Y22AC26AA24W24Y25V23

D[0]#D[1]#D[2]#D[3]#D[4]#D[5]#D[6]#D[7]#D[8]#D[9]#D[10D[11]#D[12]#D[13]#D[14]#D[15]#DSTBN[0]#DSTBP[0]#DINV[0]#

D[16]#D[17]#D[18]#D[19]#D[20]#D[21]#D[22]#D[23]#D[24]#D[25]#D[26]#D[27]#D[28]#D[29]#D[30]#D[31]#DSTBN[1]#DSTBP[1]#DINV[1]#

GTLREF

TEST1

TEST2

BSEL[0]BSEL[1]BSEL[2]

COMP[0]COMP[1]COMP[2]COMP[3]

DPRSTP#DPSLP#DPWR#

PWRGOODSLP#PSI#

D[48]#D[49]#D[50]#D[51]#D[52]#D[53]#D[54]#D[55]#D[56]#D[57]#D[58]#D[59]#D[60]#D[61]#D[62]#D[63]#

DSTBN[3]#DSTBP[3]#

DINV[3]#

D[32]#D[33]#D[34]#D[35]#D[36]#D[37]#D[38]#D[39]#D[40]#D[41]#D[42]#D[43]#D[44]#D[45]#D[46]#D[47]#

DSTBN[2]#DSTBP[2]#

DINV[2]#

T196

T203

R2156

T7

R65010K

T26

T21

Q50RHU002N06

3

2

1

T194

U41

G781P8

4

6

5

1

2

3

7

8

OVERT#

ALERT#

GND

VCC

DXP

DXN

SDA

SCLK

R678 *1KR62 27.4/F

T202

T205

T206

R56 54.9/F

T191

R55 0

T9

T32

T33

T27

T17

T6

T8

R61 54.9/FT193

R654 220_6

R142K/F

T12

R60 54.9/F

H_A#[31:3](5)

H_ADSTB#0(5)H_REQ#[4:0](5)

H_A#[31:3](5)

H_ADSTB#1(5)

H_A20M#(12)

H_IGNNE#(12)

H_STPCLK#(12)H_INTR(12)H_NMI(12)

H_SMI#(12)

H_FERR#(12)

H_ADS# (5)H_BNR# (5)

H_DRDY# (5)H_DBSY# (5)

H_BREQ#0 (5)

H_LOCK# (5)

H_HIT# (5)H_HITM# (5)

XDP_BPM#0XDP_BPM#1 (2)XDP_BPM#2 (2)XDP_BPM#3 (2)

XDP_BPM#4

H_BPRI# (5)

H_DEFER# (5)

H_INIT# (12)

H_CPURST# (5)

H_RS#[2:0] (5)H_TRDY# (5)

CLK_CPU_BCLK# (2)CLK_CPU_BCLK (2)

PM_THRMTRIP# (7,12)

H_DSTBN#0(5)H_DSTBP#0(5)H_DINV#0(5)H_D#[63:0](5)

H_D#[63:0](5)

H_DINV#1(5)

H_DSTBN#1(5)H_DSTBP#1(5)

CPU_BSEL0(2)CPU_BSEL1(2)CPU_BSEL2(2)

H_DSTBN#2 (5)H_DSTBP#2 (5)H_DINV#2 (5)

H_D#[63:0] (5)

H_D#[63:0] (5)

H_DSTBN#3 (5)H_DSTBP#3 (5)H_DINV#3 (5)

ICH_DPRSTP# (12,45)H_DPSLP# (12)

H_DPWR# (5)H_PWRGD (12)

H_CPUSLP# (5,12)PSI# (45)

+1.05V (2,4,5,7,8,9,12,15,38,42)

VR_TT# (45)

THERM_ALERT#(14,35)

SYS_SHDN#(41)

ABDATA(35,40)

ABCLK(35,40)

XDP_DBRESET# (14)

THCLK_SMB(11,17,36)

THDAT_SMB(11,17,36)

Page 4: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

Connect to PWM , special layout

CPU(2 OF 2 ) 2AB

4 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

+1.05VVCC_CORE+1.5V

VCC_COREVCC_CORE

+1.05V

VCC_CORE

+1.5V+1.5V

+1.05VVCC_CORE

VCC_CORE

C6222U-10V_8

C5822U-10V_8

C10122U-10V_8

C84122U-10V_8

C85022U-10V_8

C84822U-10V_8

C3922U-10V_8

U44D

PZ47903-2741-01

A4A8

A11A14A16A19A23A26

B6B8

B11B13B16B19B21B24C5C8

C11C14C16C19

C2C22C25

D1D4D8

D11D13D16D19D23D26

E3E6E8

E11E14E16E19E21E24

F5F8

F11F13F16F19

F2F22F25G4G1

G23G26

H3H6

H21H24

J2J5

J22J25K1K4

K23K26

L3L6

L21L24M2M5

M22M25

N1N4

N23N26

P3

P6P21P24R2R5R22R25T1T4T23T26U3U6U21U24V2V5V22V25W1W4W23W26Y3Y6Y21Y24AA2AA5AA8AA11AA14AA16AA19AA22AA25AB1AB4AB8AB11AB13AB16AB19AB23AB26AC3AC6AC8AC11AC14AC16AC19AC21AC24AD2AD5AD8AD11AD13AD16AD19AD22AD25AE1AE4AE8AE11AE14AE16AE19AE23AE26AF3AF6AF8AF11AF13AF16AF19AF21AF24

VSS[001]VSS[002]VSS[003]VSS[004]VSS[005]VSS[006]VSS[007]VSS[008]VSS[009]VSS[010]VSS[011]VSS[012]VSS[013]VSS[014]VSS[015]VSS[016]VSS[017]VSS[018]VSS[019]VSS[020]VSS[021]VSS[022]VSS[023]VSS[024]VSS[025]VSS[026]VSS[027]VSS[028]VSS[029]VSS[030]VSS[031]VSS[032]VSS[033]VSS[034]VSS[035]VSS[036]VSS[037]VSS[038]VSS[039]VSS[040]VSS[041]VSS[042]VSS[043]VSS[044]VSS[045]VSS[046]VSS[047]VSS[048]VSS[049]VSS[050]VSS[051]VSS[052]VSS[053]VSS[054]VSS[055]VSS[056]VSS[057]VSS[058]VSS[059]VSS[060]VSS[061]VSS[062]VSS[063]VSS[064]VSS[065]VSS[066]VSS[067]VSS[068]VSS[069]VSS[070]VSS[071]VSS[072]VSS[073]VSS[074]VSS[075]VSS[076]VSS[077]VSS[078]VSS[079]VSS[080]VSS[081]

VSS[082]VSS[083]VSS[084]VSS[085]VSS[086]VSS[087]VSS[088]VSS[089]VSS[090]VSS[091]VSS[092]VSS[093]VSS[094]VSS[095]VSS[096]VSS[097]VSS[098]VSS[099]VSS[100]VSS[101]VSS[102]VSS[103]VSS[104]VSS[105]VSS[106]VSS[107]VSS[108]VSS[109]VSS[110]VSS[111]VSS[112]VSS[113]VSS[114]VSS[115]VSS[116]VSS[117]VSS[118]VSS[119]VSS[120]VSS[121]VSS[122]VSS[123]VSS[124]VSS[125]VSS[126]VSS[127]VSS[128]VSS[129]VSS[130]VSS[131]VSS[132]VSS[133]VSS[134]VSS[135]VSS[136]VSS[137]VSS[138]VSS[139]VSS[140]VSS[141]VSS[142]VSS[143]VSS[144]VSS[145]VSS[146]VSS[147]VSS[148]VSS[149]VSS[150]VSS[151]VSS[152]VSS[153]VSS[154]VSS[155]VSS[156]VSS[157]VSS[158]VSS[159]VSS[160]VSS[161]VSS[162]C849

22U-10V_8C8922U-10V_8

C10522U-10V_8

C45

0.01U

C84422U-10V_8

C6122U-10V_8

C84522U-10V_8

R690100/F

C8522U-10V_8

C8422U-10V_8

C107

0.1U_6

C6722U-10V_8

C40

0.1U_6

C6822U-10V_8

C7122U-10V_8

C9622U-10V_8

C85322U-10V_8

C3122U-10V_8

+C140330U/2.5V/ESR-9/POS

C84222U-10V_8

U44C

PZ47903-2741-01

A7A9

A10A12A13A15A17A18A20

B7B9

B10B12B14B15B17B18B20C9

C10C12C13C15C17C18

D9D10D12D14D15D17D18

E7E9

E10E12E13E15E17E18E20

F7F9

F10F12F14F15F17F18F20AA7AA9

AA10AA12AA13AA15AA17AA18AA20

AB9AC10AB10AB12AB14AB15AB17AB18

AB20AB7AC7AC9AC12AC13AC15AC17AC18AD7AD9AD10AD12AD14AD15AD17AD18AE9AE10AE12AE13AE15AE17AE18AE20AF9AF10AF12AF14AF15AF17AF18AF20

V6G21J6K6M6J21K21M21N21N6R21R6T21T6V21W21

B26

AD6AF5AE5AF4AE3AF2AE2

AF7

AE7

VCC[001]VCC[002]VCC[003]VCC[004]VCC[005]VCC[006]VCC[007]VCC[008]VCC[009]VCC[010]VCC[011]VCC[012]VCC[013]VCC[014]VCC[015]VCC[016]VCC[017]VCC[018]VCC[019]VCC[020]VCC[021]VCC[022]VCC[023]VCC[024]VCC[025]VCC[026]VCC[027]VCC[028]VCC[029]VCC[030]VCC[031]VCC[032]VCC[033]VCC[034]VCC[035]VCC[036]VCC[037]VCC[038]VCC[039]VCC[040]VCC[041]VCC[042]VCC[043]VCC[044]VCC[045]VCC[046]VCC[047]VCC[048]VCC[049]VCC[050]VCC[051]VCC[052]VCC[053]VCC[054]VCC[055]VCC[056]VCC[057]VCC[058]VCC[059]VCC[060]VCC[061]VCC[062]VCC[063]VCC[064]VCC[065]VCC[066]VCC[067]

VCC[68]VCC[69]VCC[70]VCC[71]VCC[72]VCC[73]VCC[74]VCC[75]VCC[76]VCC[77]VCC[78]VCC[79]VCC[80]VCC[81]VCC[82]VCC[83]VCC[84]VCC[85]VCC[86]VCC[87]VCC[88]VCC[89]VCC[90]VCC[91]VCC[92]VCC[93]VCC[94]VCC[95]VCC[96]VCC[97]VCC[98]VCC[99]

VCC[100]

VCCP[01]VCCP[02]VCCP[03]VCCP[04]VCCP[05]VCCP[06]VCCP[07]VCCP[08]VCCP[09]VCCP[10]VCCP[11]VCCP[12]VCCP[13]VCCP[14]VCCP[15]VCCP[16]

VCCA

VID[0]VID[1]VID[2]VID[3]VID[4]VID[5]VID[6]

VCCSENSE

VSSSENSE

C7022U-10V_8

C127

0.1U_6

C10422U-10V_8

C84622U-10V_8

C85222U-10V_8

C5722U-10V_8

C11022U-10V_8

C84722U-10V_8

C126

0.1U_6C8722U-10V_8

C4322U-10V_8

C41

0.1U_6

C95

10U-6.3V_8

R689100/F

C8622U-10V_8

C85122U-10V_8

C108

0.1U_6

C8322U-10V_8

C11122U-10V_8

C84322U-10V_8

H_VID0 (45)H_VID1 (45)H_VID2 (45)H_VID3 (45)H_VID4 (45)H_VID5 (45)H_VID6 (45)

VCCSENSE (45)

VSSSENSE (45)

+1.05V (2,3,5,7,8,9,12,15,38,42)VCC_CORE (38,45)+1.5V (7,8,9,13,15,32,38,42)

Page 5: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A AShort Stub < 100milsextract from same point

15 mils/10mils

15 mils/10mils

GMCH HOST(1 OF 6 ) 2AB

5 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

H_D#0H_D#1H_D#2H_D#3H_D#4H_D#5H_D#6H_D#7H_D#8H_D#9H_D#10H_D#11H_D#12H_D#13H_D#14H_D#15H_D#16H_D#17H_D#18H_D#19H_D#20H_D#21H_D#22H_D#23H_D#24H_D#25H_D#26H_D#27H_D#28H_D#29H_D#30H_D#31H_D#32H_D#33H_D#34H_D#35H_D#36H_D#37H_D#38H_D#39H_D#40H_D#41H_D#42H_D#43H_D#44H_D#45H_D#46H_D#47H_D#48H_D#49H_D#50H_D#51H_D#52H_D#53H_D#54H_D#55H_D#56H_D#57H_D#58H_D#59H_D#60H_D#61H_D#62H_D#63

H_XRCOMPH_XSCOMPH_XSWING

H_YRCOMPH_YSCOMPH_YSWING

H_A#3H_A#4H_A#5H_A#6H_A#7H_A#8H_A#9H_A#10H_A#11H_A#12H_A#13H_A#14H_A#15H_A#16H_A#17H_A#18H_A#19H_A#20H_A#21H_A#22H_A#23H_A#24H_A#25H_A#26H_A#27H_A#28H_A#29H_A#30H_A#31

H_DINV#0H_DINV#1H_DINV#2H_DINV#3

H_DSTBN#0H_DSTBN#1H_DSTBN#2H_DSTBN#3

H_DSTBP#0H_DSTBP#1H_DSTBP#2H_DSTBP#3

H_REQ#0H_REQ#1H_REQ#2H_REQ#3H_REQ#4

H_RS#0H_RS#1H_RS#2

H_VREF

H_VREF

H_XRCOMP

H_XSCOMP

H_XSWING

H_YSWING

H_YSCOMP

H_YRCOMP

+1.05V

+1.05V

+1.05V

+1.05V

+1.05V

+1.05V

R3554.9/F

HOST

U34A

Calistoga

H9C9E11G11F11G12F9H11J12G14D9J14H13J15F14D12A11C11A12A13E13G13F12B12B14C12A14C14D14

E8B9C13J13C6F6C7

AG1AG2

B7

E1E2E4

Y1U1W1

F1J1H1J6H3K2G1G2K9K1K7J8H4J3

K11G4

T10W11

T3U7U9

U11T11W9T1T8T4

W7U5T9

W6T5

AB7AA9W4W3Y3Y7

W5Y10AB8W2

AA4AA7AA2AA6

AA10Y8

AA1AB4AC9

AB11AC11

AB3AC2AD1AD9AC1AD7AC6AB5

AD10AD4AC8

A7C3

J7W8U3AB10

J9H8

K4T7Y5AC4

K3T6AA5AC5

D3D4B3

D8G8B8F8A8

B4E6D6

E3E7

K13

H_A#_3H_A#_4H_A#_5H_A#_6H_A#_7H_A#_8H_A#_9

H_A#_10H_A#_11H_A#_12H_A#_13H_A#_14H_A#_15H_A#_16H_A#_17H_A#_18H_A#_19H_A#_20H_A#_21H_A#_22H_A#_23H_A#_24H_A#_25H_A#_26H_A#_27H_A#_28H_A#_29H_A#_30H_A#_31

H_ADS#H_ADSTB#_0H_ADSTB#_1

H_VREF_0H_BNR#H_BPRI#

H_BREQ#0

H_CLKIN#H_CLKIN

H_CPURST#

H_XRCOMPH_XSCOMPH_XSWING

H_YRCOMPH_YSCOMPH_YSWING

H_D#_0H_D#_1H_D#_2H_D#_3H_D#_4H_D#_5H_D#_6H_D#_7H_D#_8H_D#_9H_D#_10H_D#_11H_D#_12H_D#_13H_D#_14H_D#_15H_D#_16H_D#_17H_D#_18H_D#_19H_D#_20H_D#_21H_D#_22H_D#_23H_D#_24H_D#_25H_D#_26H_D#_27H_D#_28H_D#_29H_D#_30H_D#_31H_D#_32H_D#_33H_D#_34H_D#_35H_D#_36H_D#_37H_D#_38H_D#_39H_D#_40H_D#_41H_D#_42H_D#_43H_D#_44H_D#_45H_D#_46H_D#_47H_D#_48H_D#_49H_D#_50H_D#_51H_D#_52H_D#_53H_D#_54H_D#_55H_D#_56H_D#_57H_D#_58H_D#_59H_D#_60H_D#_61H_D#_62H_D#_63

H_DBSY#H_DEFER#

H_DINV#_0H_DINV#_1H_DINV#_2H_DINV#_3

H_DPWR#H_DRDY#

H_DSTBN#_0H_DSTBN#_1H_DSTBN#_2H_DSTBN#_3

H_DSTBP#_0H_DSTBP#_1H_DSTBP#_2H_DSTBP#_3

H_HIT#H_HITM#

H_LOCK#

H_REQ#_0H_REQ#_1H_REQ#_2H_REQ#_3H_REQ#_4

H_RS#_0H_RS#_1H_RS#_2

H_SLPCPU#H_TRDY#

H_VREF_1C750.1U

R30100/F

T170

C820.1U

R58524.9/F_6

C7970.1U

R573100/F

R57754.9/F

C760.1U

R34100/F

R57124.9/F_6

R29200/F

R33221/F

R604 0

R575221/F

T169

H_D#[63:0](3)

CLK_MCH_BCLK(2)CLK_MCH_BCLK#(2)

H_A#[31:3] (3)

H_DINV#[3:0] (3)

H_DSTBN#[3:0] (3)

H_DSTBP#[3:0] (3)

H_REQ#[4:0] (3)

H_RS#[2:0] (3)

H_CPUSLP# (3,12)H_TRDY# (3)

H_HIT# (3)H_HITM# (3)H_LOCK# (3)

H_ADS# (3)H_ADSTB#0 (3)H_ADSTB#1 (3)

H_BNR# (3)

H_BREQ#0 (3)

H_DBSY# (3)

H_DRDY# (3)H_DPWR# (3)

H_BPRI# (3)

H_CPURST# (3)

H_DEFER# (3)

+1.05V (2,3,4,7,8,9,12,15,38,42)

Page 6: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

GMCH DDR(2 OF 6) 2AB

6 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

M_A_BS#0M_A_BS#1M_A_BS#2

M_A_CAS#M_A_DM0M_A_DM1M_A_DM2M_A_DM3M_A_DM4M_A_DM5M_A_DM6M_A_DM7

M_A_DQS2M_A_DQS1M_A_DQS0

M_A_DQS4M_A_DQS3

M_A_DQS5

M_A_DQS7M_A_DQS6

M_A_DQS#0

M_A_DQS#3M_A_DQS#2M_A_DQS#1

M_A_DQS#5M_A_DQS#4

M_A_DQS#6M_A_DQS#7

M_A_A0M_A_A1M_A_A2M_A_A3

M_A_A7

M_A_A5M_A_A6

M_A_A4

M_A_A10M_A_A11M_A_A12

M_A_A8M_A_A9

M_A_A13

TP_MA_RCVENIN#TP_MA_RCVENOUT#

M_B_DM0M_B_DM1M_B_DM2M_B_DM3M_B_DM4M_B_DM5M_B_DM6M_B_DM7

M_B_DQS0

M_B_DQS#0

M_B_A0

TP_MB_RCVENOUT#TP_MB_RCVENIN#

M_B_DQS1M_B_DQS2M_B_DQS3M_B_DQS4M_B_DQS5M_B_DQS6M_B_DQS7

M_B_DQS#1M_B_DQS#2M_B_DQS#3M_B_DQS#4M_B_DQS#5M_B_DQS#6M_B_DQS#7

M_B_A1M_B_A2M_B_A3M_B_A4M_B_A5M_B_A6M_B_A7M_B_A8M_B_A9M_B_A10M_B_A11M_B_A12M_B_A13

M_B_DQ38

M_B_DQ18

M_B_DQ58

M_B_DQ19

M_B_DQ39

M_B_DQ59

M_B_DQ20

M_B_DQ40

M_B_DQ1

M_B_DQ60

M_B_DQ21

M_B_DQ41

M_B_DQ61

M_B_DQ22

M_B_DQ42

M_B_DQ2

M_B_DQ62

M_B_DQ23

M_B_DQ43

M_B_DQ3

M_B_DQ63

M_B_DQ24

M_B_DQ44

M_B_DQ4

M_B_DQ25

M_B_DQ45

M_B_DQ5

M_B_DQ0

M_B_DQ26

M_B_DQ46

M_B_DQ6

M_B_DQ27

M_B_DQ47

M_B_DQ7

M_B_DQ28

M_B_DQ48

M_B_DQ8

M_B_DQ29

M_B_DQ9

M_B_DQ49

M_B_DQ30

M_B_DQ10

M_B_DQ50

M_B_DQ31

M_B_DQ11

M_B_DQ51

M_B_DQ32

M_B_DQ12

M_B_DQ52

M_B_DQ33

M_B_DQ13

M_B_DQ53

M_B_DQ34

M_B_DQ14

M_B_DQ54

M_B_DQ35

M_B_DQ15

M_B_DQ55

M_B_DQ36

M_B_DQ16

M_B_DQ56

M_B_DQ37

M_B_DQ17

M_B_DQ57

M_A_DQ1M_A_DQ2M_A_DQ3M_A_DQ4M_A_DQ5M_A_DQ6M_A_DQ7M_A_DQ8M_A_DQ9M_A_DQ10M_A_DQ11M_A_DQ12M_A_DQ13M_A_DQ14M_A_DQ15M_A_DQ16M_A_DQ17M_A_DQ18M_A_DQ19M_A_DQ20M_A_DQ21M_A_DQ22M_A_DQ23M_A_DQ24M_A_DQ25M_A_DQ26M_A_DQ27M_A_DQ28M_A_DQ29M_A_DQ30M_A_DQ31M_A_DQ32M_A_DQ33M_A_DQ34M_A_DQ35M_A_DQ36M_A_DQ37M_A_DQ38M_A_DQ39M_A_DQ40M_A_DQ41M_A_DQ42M_A_DQ43M_A_DQ44M_A_DQ45M_A_DQ46M_A_DQ47M_A_DQ48M_A_DQ49M_A_DQ50M_A_DQ51M_A_DQ52M_A_DQ53M_A_DQ54M_A_DQ55M_A_DQ56M_A_DQ57M_A_DQ58M_A_DQ59M_A_DQ60M_A_DQ61M_A_DQ62M_A_DQ63

M_A_DQ0

DDR SYSTEM MEMORY B

U34E

Calistoga

AK39AJ37AP39AR41AJ38AK38AN41AP41AT40AV41AU38AV38AP38AR40AW38AY38BA38AV36AR36AP36BA36AU36AP35AP34AY33BA33AT31AU29AU31AW31AV29

AW29AM19AL19AP14AN14AN17AM16AP15AL15AJ11AH10

AJ9AN10AK13AH11AK10

AJ8BA10

AW10BA4

AW4AY10

AY9AW5AY5AV4AR5AK4AK3AT4AK5AJ5AJ3

AT24AV23AY28

AR24AK36AR38AT36BA31AL17AH8BA5AN4

AM39AT39AU35AR29AR16AR10AR7AN5AM40AU39AT35AP29AP16AT10AT7AP5

AY23AW24AY24AR28AT27AT28AU27AV28AV27AW27AV24BA27AY27AR23

AU23AK16AK18AR27

SB_DQ0SB_DQ1SB_DQ2SB_DQ3SB_DQ4SB_DQ5SB_DQ6SB_DQ7SB_DQ8SB_DQ9SB_DQ10SB_DQ11SB_DQ12SB_DQ13SB_DQ14SB_DQ15SB_DQ16SB_DQ17SB_DQ18SB_DQ19SB_DQ20SB_DQ21SB_DQ22SB_DQ23SB_DQ24SB_DQ25SB_DQ26SB_DQ27SB_DQ28SB_DQ29SB_DQ30SB_DQ31SB_DQ32SB_DQ33SB_DQ34SB_DQ35SB_DQ36SB_DQ37SB_DQ38SB_DQ39SB_DQ40SB_DQ41SB_DQ42SB_DQ43SB_DQ44SB_DQ45SB_DQ46SB_DQ47SB_DQ48SB_DQ49SB_DQ50SB_DQ51SB_DQ52SB_DQ53SB_DQ54SB_DQ55SB_DQ56SB_DQ57SB_DQ58SB_DQ59SB_DQ60SB_DQ61SB_DQ62SB_DQ63

SB_BS_0SB_BS_1SB_BS_2

SB_CAS#SB_DM_0SB_DM_1SB_DM_2SB_DM_3SB_DM_4SB_DM_5SB_DM_6SB_DM_7

SB_DQS_0SB_DQS_1SB_DQS_2SB_DQS_3SB_DQS_4SB_DQS_5SB_DQS_6SB_DQS_7

SB_DQS#_0SB_DQS#_1SB_DQS#_2SB_DQS#_3SB_DQS#_4SB_DQS#_5SB_DQS#_6SB_DQS#_7

SB_MA_0SB_MA_1SB_MA_2SB_MA_3SB_MA_4SB_MA_5SB_MA_6SB_MA_7SB_MA_8SB_MA_9

SB_MA_10SB_MA_11SB_MA_12SB_MA_13

SB_RAS#SB_RCVENIN#

SB_RCVENOUT#SB_WE#

T19T25

DDR SYSTEM MEMORY A

U34D

Calistoga

AJ35AJ34

AM31AM33AJ36AK35AJ32AH31AN35AP33AR31AP31AN38AM36AM34AN33AK26AL27AM26AN24AK28AL28AM24AP26AP23AL22AP21AN20AL23AP24AP20AT21AR12AR14AP13AP12AT13AT12AL14AL12AK9AN7AK8AK7AP9AN9AT5AL5AY2

AW2AP1AN2AV2AT3AN1AL2AG7AF9AG4AF6AG9AH6AF4AF8

AU12AV14BA20

AY13AJ33AM35AL26AN22AM14AL9AR3

AK33AT33AN28AM22AN12AN8AP3AG5

AH4

AK32AU33AN27AM21AM12AL8AN3AH5

AY16AU14AW16BA16BA17AU16AV17AU17AW17AT16AU13AT17AV20AV12

AW14AK23AK24AY14

SA_DQ0SA_DQ1SA_DQ2SA_DQ3SA_DQ4SA_DQ5SA_DQ6SA_DQ7SA_DQ8SA_DQ9SA_DQ10SA_DQ11SA_DQ12SA_DQ13SA_DQ14SA_DQ15SA_DQ16SA_DQ17SA_DQ18SA_DQ19SA_DQ20SA_DQ21SA_DQ22SA_DQ23SA_DQ24SA_DQ25SA_DQ26SA_DQ27SA_DQ28SA_DQ29SA_DQ30SA_DQ31SA_DQ32SA_DQ33SA_DQ34SA_DQ35SA_DQ36SA_DQ37SA_DQ38SA_DQ39SA_DQ40SA_DQ41SA_DQ42SA_DQ43SA_DQ44SA_DQ45SA_DQ46SA_DQ47SA_DQ48SA_DQ49SA_DQ50SA_DQ51SA_DQ52SA_DQ53SA_DQ54SA_DQ55SA_DQ56SA_DQ57SA_DQ58SA_DQ59SA_DQ60SA_DQ61SA_DQ62SA_DQ63

SA_BS_0SA_BS_1SA_BS_2

SA_CAS#SA_DM_0SA_DM_1SA_DM_2SA_DM_3SA_DM_4SA_DM_5SA_DM_6

SA_DQS_0SA_DQS_1SA_DQS_2SA_DQS_3SA_DQS_4SA_DQS_5SA_DQS_6SA_DQS_7

SA_DM_7

SA_DQS#_0SA_DQS#_1SA_DQS#_2SA_DQS#_3SA_DQS#_4SA_DQS#_5SA_DQS#_6SA_DQS#_7

SA_MA_0SA_MA_1SA_MA_2SA_MA_3SA_MA_4SA_MA_5SA_MA_6SA_MA_7SA_MA_8SA_MA_9

SA_MA_10SA_MA_11SA_MA_12SA_MA_13

SA_RAS#SA_RCVENIN#

SA_RCVENOUT#SA_WE#

T30T36

M_A_BS#0 (11)M_A_BS#1 (11)M_A_BS#2 (11)M_A_CAS# (11)

M_A_DM[7:0] (11)

M_A_DQS[7:0] (11)

M_A_DQS#[7:0] (11)

M_A_A[13:0] (11)

M_A_RAS# (11)

M_A_WE# (11)

M_B_BS#0 (11)M_B_BS#1 (11)M_B_BS#2 (11)M_B_CAS# (11)M_B_DM[7:0] (11)

M_B_DQS[7:0] (11)

M_B_DQS#[7:0] (11)

M_B_A[13:0] (11)

M_B_RAS# (11)

M_B_WE# (11)

M_B_DQ[63:0](11)

M_A_DQ[63:0](11)

Page 7: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

Layout as short as passableNC from WW45

15mils/10mils

15mils/15mils

20mils/20mils space

< 0.1" . 15mils/15mils space

INT VGA NC

EXT VGA NC

DC Blocked Cap.INT VGA NC

INTEL3939XX255XXXX150150150000X0

CRTR665R666R648R649R616R603R646R643R644R661R645R647R662R663R664R602R601

ATIXX00X0000XXXXXX0X

INTEL4.99KX0001501501500X0X

TVR676R686R628R629R630R615R614R613R618R631R633R632

ATIX0XXX000X0X0

INTEL0XX000

LVDSR671R619R620R634R635R670

ATIX2.2K2.2KXXX

ATIXX00X0000XXXXXX0XXX

CRTR665R666R648R649R616R603R646R643R644R661R645R647R662R663R664R602R601R668R667

INTEL3939XX255XXXX150150150000X000

GMCH DMI VEDIO(3 OF 6) 2ACustom

7 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

MCH_RSVD_5MCH_RSVD_6MCH_RSVD_7MCH_RSVD_8TV_DCONSEL0TV_DCONSEL1MCH_RSVD_11MCH_RSVD_12MCH_RSVD_13MCH_RSVD_14MCH_RSVD_15

MCH_CFG_5MCH_CFG_6MCH_CFG_7

MCH_CFG_8MCH_CFG_9MCH_CFG_10MCH_CFG_11MCH_CFG_12MCH_CFG_13

MCH_CFG_14MCH_CFG_15MCH_CFG_16MCH_CFG_17

MCH_CFG_18MCH_CFG_19MCH_CFG_20

TP_MCH_NC0TP_MCH_NC1TP_MCH_NC2TP_MCH_NC3TP_MCH_NC4TP_MCH_NC5TP_MCH_NC6TP_MCH_NC7TP_MCH_NC8TP_MCH_NC9TP_MCH_NC10TP_MCH_NC11TP_MCH_NC12TP_MCH_NC13TP_MCH_NC14TP_MCH_NC15TP_MCH_NC16TP_MCH_NC17TP_MCH_NC18

RST IN# MCH

M_OCDCOMP_1M_OCDCOMP_0

M_RCOMPM_RCOMP#

DMI_TXN2DMI_TXN3

DMI_RXP3DMI_RXP2DMI_RXP1DMI_RXP0

PM_EXTTS#0

PM_EXTTS#1M_RCOMP#

M_RCOMP

CLK_MCH_OE#MCH_RSVD_1MCH_RSVD_2MCH_RSVD_3MCH_RSVD_4

+3V+V1.5_PCIE

1.8VSUSSMDDR_VREF

MCH_CFG_3MCH_CFG_4

MCH_CFG_[12:5]MCH_CFG_[20:16]

DMI_TXN0DMI_TXN1

MCH_CFG_9

SMDDR_VREF_MCH

DMI_TXP0

DMI_RXN0

DMI_TXP1

DMI_RXN1

DMI_TXP2

DMI_RXN2

DMI_TXP3

DMI_RXN3CRT_GREEN

PEG_RXP1

TLO1+_1

PEG_RXN13

PEG_RXP10

PEG_TXN9

PEG_TXN4

DDCCLK_R

PEG_RXP13

PEG_TXN11

CRT_BLUE

PEG_RXP4

TUO2+_1

TUO2-_1

TV_COMP_A

TV_C/R

PEG_RXP0

L_CLKCTLB

CRT_R_COM

CRTIREF

PEG_RXN3

PEG_RXN1

DDCCLK

PEG_RXN4

L_CLKCTLA

TUCO+_1

PEG_TXP7

PEG_TXN8

TLO0+_1

TLO0-_1

PEG_TXN12

CRT_COM#

D_CLK_1

PEG_RXP8PEG_RXP7

PEG_RXN7

TLCO+_1

PEG_RXP11

PEG_RXP6

L_CLKCTLB

TLCO-_1

PEG_RXP3

DDCDATA

PEG_TXP15

PEG_TXP10

TUCO-_1

PEG_RXN8

PEG_TXP12

PEG_RXN5

PEG_TXN14

PEG_TXP11

PEG_TXN1

PEG_TXN3

PEG_TXN7

PEG_RXP15

HSYNC

TUO0+_1

TV_Y/G_A

PEG_RXN10

TLO1-_1

DDCDATA_R

PEG_TXP1

PEG_RXN14

CRT_B_COM

PEG_RXN11

PEG_TXP8

PEG_RXP14

L_VBG

PEG_TXN10

EXP_A_COMPX

PEG_TXN0

TLO2-_1

TV_Y/G

TUO0-_1

TV_COMP

HSYNC_COM

PEG_TXP14

VSYNC

PEG_TXN2

TUO1-_1

PEG_RXP12

PEG_RXN12

PEG_RXN15

CRT_RED

PEG_RXP9

PEG_RXN9

PEG_TXP5

PEG_RXP5

TUO1+_1

PEG_TXP2

PEG_TXN6

PEG_TXP13

PEG_RXN2

PEG_TXP0

PEG_RXP2

CRT_G_COM

TLO2+_1

PEG_RXN6

PEG_RXN0

PEG_TXP3

D_DATA_1

PEG_TXP4

PEG_TXP6

L_IBG

PEG_TXP9

TV_C/R_A

L_CLKCTLA

PEG_TXN15

PEG_TXN5

PEG_TXN13

PEG_TXN_C0

PEG_TXN_C11

PEG_TXN_C14

PEG_TXN15

PEG_TXN_C8

PEG_TXN_C4

PEG_TXN_C15

PEG_TXN10

PEG_TXN_C3

PEG_TXN2

PEG_TXN_C9

PEG_TXN8

PEG_TXN_C6

PEG_TXN11

PEG_TXN0

PEG_TXN_C7

PEG_TXN4

PEG_TXN_C[0..15]

PEG_TXN_C5

PEG_TXN_C13

PEG_TXN14

PEG_TXN7

PEG_TXN9

PEG_TXN1

PEG_TXN6

PEG_TXN_C10

PEG_TXN_C1

PEG_TXN3

PEG_TXN12

PEG_TXN5

PEG_TXN_C12

PEG_TXN_C2

PEG_TXN13

CRT_RED

CRT_COM#

HSYNC

CRT_GREEN

CRTIREFCRT_BLUE

VSYNC

TUO2-_1

TLO0+_1

TLCO-_1

TUCO+_1

TLO1+_1

TUO0-_1

TLO1-_1

TLCO+_1

TLO2+_1

TUO1-_1

TUO0+_1

TUO1+_1

TUCO-_1

TLO2-_1

TUO2+_1

TLO0-_1

PEG_TXP5

PEG_TXP6

PEG_TXP9

PEG_TXP_C4PEG_TXP4

PEG_TXP1

PEG_TXP_C10

PEG_TXP_C5

PEG_TXP_C8

PEG_TXP_C1

PEG_TXP12

PEG_TXP13

PEG_TXP8

PEG_TXP_C12

PEG_TXP_C6

PEG_TXP_C11

PEG_TXP_C2

PEG_TXP10

PEG_TXP15

PEG_TXP_C[0..15]

PEG_TXP_C0

PEG_TXP3

PEG_TXP7

PEG_TXP11

PEG_TXP_C7

PEG_TXP_C9

PEG_TXP_C13

PEG_TXP_C14

PEG_TXP_C15

PEG_TXP0

PEG_TXP_C3

PEG_TXP14

PEG_TXP2

TVIREF

1.8VSUS

+3V

SMDDR_VREF_MCH

+3V

+3V

SMDDR_VREF

1.8VSUS

+V1.5_PCIE

+2.5V

+3V

+1.05V

+1.05V

+1.5V

+1.5V+1.5V

SMDDR_VREF_MCH

R648 0C806 0.1U

R616 *255/F

R624 *10K/F

RP63 *0X224

13

T180

R615 150/F

C807 0.1U

T14

C217 0.1U

T43

R618*0_6

T24

R601 *0

R4480.6/F

R649 0

T37

T160

T23

T41

RP64 *0X224

13

R668 *0

C223 0.1U

T35

C225 0.1U

R664 *0

R630 *0

C212 0.1U

R603 0

R661 *150/F

T184

R628 *0

T161

R631 0

R583 24.9/F_6R671 *0

T162

R560 *10K/F_6

C215 0.1U

R644 0

C219 0.1U

C796 0.1U

T165

R561 0

R31*40.2/F

T39

T176

T181

T175

R619 2.2K

T178

R69100/F

T187

C222 0.1U

C218 0.1U

T13

R643 0

T164

T188

R670 *0

RP68 *0X224

13

R663 *0

R665 *39

R617 1.5K/F_6

T159

C804 0.1U

C210 0.1U

R658 *10K/F

T168

RP67 *0X224

13

R647 *150/F

RP62 *0X224

13

C216 0.1U

LVDS

PCI-EXPRESS GRAPHICS

TVVGA

U34C

Calistoga

D40D38

F34G38H34J38L34M38N34P38R34T38V34W38Y34AA38AB34AC38

D34F38G34H38J34L38M34N38P34R38T34V38W34Y38AA34AB38

F36G40H36J40L36M40N36P40R36T40V36W40Y36AA40AB36AC40

D36F40G36H40J36L40M36N40P36R40T36V40W36Y40AA36AB40

J30H30H29G26G25B38C35F32C33C32

A33A32

C37B35A37

B37B34A36

E27E26

G30D30F29

F30D29F28

D32

A16C18A19

J20B16B18B19

E23D23

C26C25

C22B22

G23J22

A21B21

H23

EXP_A_COMPIEXP_A_COMPO

EXP_A_RXN_0EXP_A_RXN_1EXP_A_RXN_2EXP_A_RXN_3EXP_A_RXN_4EXP_A_RXN_5EXP_A_RXN_6EXP_A_RXN_7EXP_A_RXN_8EXP_A_RXN_9

EXP_A_RXN_10EXP_A_RXN_11EXP_A_RXN_12EXP_A_RXN_13EXP_A_RXN_14EXP_A_RXN_15

EXP_A_RXP_0EXP_A_RXP_1EXP_A_RXP_2EXP_A_RXP_3EXP_A_RXP_4EXP_A_RXP_5EXP_A_RXP_6EXP_A_RXP_7EXP_A_RXP_8EXP_A_RXP_9

EXP_A_RXP_10EXP_A_RXP_11EXP_A_RXP_12EXP_A_RXP_13EXP_A_RXP_14EXP_A_RXP_15

EXP_A_TXN_0EXP_A_TXN_1EXP_A_TXN_2EXP_A_TXN_3EXP_A_TXN_4EXP_A_TXN_5EXP_A_TXN_6EXP_A_TXN_7EXP_A_TXN_8EXP_A_TXN_9

EXP_A_TXN_10EXP_A_TXN_11EXP_A_TXN_12EXP_A_TXN_13EXP_A_TXN_14EXP_A_TXN_15

EXP_A_TXP_0EXP_A_TXP_1EXP_A_TXP_2EXP_A_TXP_3EXP_A_TXP_4EXP_A_TXP_5EXP_A_TXP_6EXP_A_TXP_7EXP_A_TXP_8EXP_A_TXP_9

EXP_A_TXP_10EXP_A_TXP_11EXP_A_TXP_12EXP_A_TXP_13EXP_A_TXP_14EXP_A_TXP_15

L_BKLTENL_CLKCTLAL_CLKCTLBL_DDC_CLKL_DDC_DATAL_IBGL_VBGL_VDDENL_VREFHL_VREFL

LA_CLK#LA_CLK

LA_DATA#_0LA_DATA#_1LA_DATA#_2

LA_DATA_0LA_DATA_1LA_DATA_2

LB_CLK#LB_CLK

LB_DATA#_0LB_DATA#_1LB_DATA#_2

LB_DATA_0LB_DATA_1LB_DATA_2

L_BKLTCTL

TV_DACA_OUTTV_DACB_OUTTV_DACC_OUT

TV_IREFTV_IRTNATV_IRTNBTV_IRTNC

CRT_BLUECRT_BLUE#

CRT_DDC_CLKCRT_DDC_DATA

CRT_GREENCRT_GREEN#

CRT_HSYNCCRT_IREF

CRT_REDCRT_RED#

CRT_VSYNC

R6860

R673 *100K

R559*10K/F_6

C800 0.1U

R646 0

T167

T42

C214 0.1U

R662 *0

C795 0.1U

T10

C802 0.1U

T177

T38

R676 *4.99K/F

T22

T186

T31

T182

T40

T185

T29

T183

T46

C805 0.1U

R666 *39

R634 *0

C211 0.1U

PM

MISCNC

DDR MUXING

CLK

DMI

CFG

RSVD

U34B

Calistoga

D1C41C1

BA41BA40BA39BA3BA2BA1B41B2

AY41AY1

AW41AW1A40A4

A39A3

H28H27

AY35AR1AW7AW40

AW35AT1AY7AY40

AU20AT20BA29AY29

AW13AW12AY21AW21

AL20AF10

BA13BA12AY20AU21

AV9AT9

AK1AK41

J25K27J26

K16K18J18F18E15F15E18D19D16G16E16D15G15K15C15H16G18H15

G28F25H26G6

AH33AH34

A27A26C40D41

AE35AF39AG35AH39

AC35AE39AF35AG39

AE37AF41AG37AH41

AC37AE41AF37AG41

AF33AG33

T32R32

AG11AF11

K28

J19

H32

F3F7

H7

K30J29A41A35A34D28D27

NC0NC1NC2NC3NC4NC5NC6NC7NC8NC9NC10NC11NC12NC13NC14NC15NC16NC17NC18

SDVO_CTRLCLKSDVO_CTRLDATA

SM_CK_0SM_CK_1SM_CK_2SM_CK_3

SM_CK#_0SM_CK#_1SM_CK#_2SM_CK#_3

SM_CKE_0SM_CKE_1SM_CKE_2SM_CKE_3

SM_CS#_0SM_CS#_1SM_CS#_2SM_CS#_3

SM_OCDCOMP_0SM_OCDCOMP_1

SM_ODT_0SM_ODT_1SM_ODT_2SM_ODT_3

SM_RCOMP#SM_RCOMP

SM_VREF_0SM_VREF_1

CFG_18CFG_19CFG_20

CFG_0CFG_1CFG_2CFG_3CFG_4CFG_5CFG_6CFG_7CFG_8CFG_9CFG_10CFG_11CFG_12CFG_13CFG_14CFG_15CFG_16CFG_17

PM_BMBUSY#PM_EXTTS#_0PM_EXTTS#_1PM_THRMTRIP#PWROKRSTIN#

D_REFCLKIN#D_REFCLKIN

D_REFSSCLKIN#D_REFSSCLKIN

DMI_RXN_0DMI_RXN_1DMI_RXN_2DMI_RXN_3

DMI_RXP_0DMI_RXP_1DMI_RXP_2DMI_RXP_3

DMI_TXN_0DMI_TXN_1DMI_TXN_2DMI_TXN_3

DMI_TXP_0DMI_TXP_1DMI_TXP_2DMI_TXP_3

G_CLKIN#G_CLKIN

RSVD_1RSVD_2

RSVD_5RSVD_6

LT_RESET#

RSVD_8

RSVD_0

RSVD_3RSVD_4

RSVD_7

RSVD_9RSVD_10RSVD_11RSVD_12RSVD_13RSVD_14RSVD_15

T189

R667 *0

C213 0.1U

R613 150/F

R602 0

T34

T18

R652 10K/F

T11

T15

C221 0.1U

C798 0.1U

RP65 *0X224

13

RP66 *0X224

13

C792 0.1U

R669 10K/F

C808 0.1U

R645 *150/F

C224 0.1U

R672 *100K

C791 0.1U

R620 2.2K

T179

C803 0.1U

R656 *10K/F

R4080.6/F

RP61 *0X224

13

R614 150/F

C220 0.1U

R635 *0

C793 0.1U

T163

C794 0.1U

R6320

R657 10K/F

T166

R633 *0_6

R629 *0

R51*40.2/F

T20

C789 0.1U

MCH_BSEL0(2)MCH_BSEL1(2)MCH_BSEL2(2)

MCH_CFG_[12:5](8)

MCH_ICH_SYNC(13)

PM_BMBUSY#(14)

PM_THRMTRIP#(3,12)

PM_EXTTS#0(11)PM_EXTTS#1(14)

DELAY_VR_PWRGOOD(14,45)

PLT_RST-R#(13)

M_CLK_DDR0 (11)M_CLK_DDR1 (11)M_CLK_DDR2 (11)M_CLK_DDR3 (11)

M_CLK_DDR#0 (11)M_CLK_DDR#1 (11)M_CLK_DDR#2 (11)M_CLK_DDR#3 (11)

M_CKE1 (11)M_CKE0 (11)

M_CKE3 (11)M_CKE2 (11)

M_CS#0 (11)M_CS#1 (11)M_CS#2 (11)M_CS#3 (11)

M_ODT1 (11)M_ODT0 (11)

M_ODT3 (11)M_ODT2 (11)

CLK_PCIE_3GPLL# (2)CLK_PCIE_3GPLL (2)DREFCLK# (2)DREFCLK (2)DREFSSCLK# (2)DREFSSCLK (2)

+3V (2,3,8,9,11,12,13,14,15,16,17,18,19,22,23,28,31,32,33,35,36,38,41,44,45)+V1.5_PCIE (9)

1.8VSUS (8,11,38,43,44)SMDDR_VREF (11,38,43)

DMI_RXP[3:0] (13)

DMI_TXP[3:0] (13)

DMI_TXN[3:0] (13)

MCH_CFG_[20:16](8)

MCH_CFG_13(8)

DMI_RXN[3:0] (13)

+1.05V (2,3,4,5,8,9,12,15,38,42)

CRT_B_COM(17,23)

CRT_R_COM(17,23)

PEG_RXN[15:0] (16)

EDID_DATA(17,22)

VSYNC_COM(17,23)

PEG_RXP[15:0] (16)

TV_C/R(17,23,37)

DDCCLK(17,23)

HSYNC_COM(17,23)

EDID_CLK(17,22)

CRT_G_COM(17,23)

DDCDATA(17,23)

TV_COMP(17,23,37)TV_Y/G(17,23,37)

BLON(18,22)

DISP_ON(18,22)

PEG_TXN_C[0..15] (16)

TXUOUT1-(18,22)

TXUOUT0-(18,22)

TXLCLKOUT-(18,22)

TXUCLKOUT+(18,22)

TXUOUT0+(18,22)

TXUOUT1+(18,22)

TXLOUT0+(18,22)TXLOUT0-(18,22)

TXLOUT2-(18,22)

TXLOUT1+(18,22)

TXLOUT2+(18,22)

TXUOUT2-(18,22)

TXUCLKOUT-(18,22)

TXUOUT2+(18,22)

TXLCLKOUT+(18,22)

TXLOUT1-(18,22)

PEG_TXP_C[0..15] (16)

DPST_PWM(22)

Page 8: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

GMCH Strap pin

4.MCH_CFG_9 PCI Exp Graphics Lane: Low =Reserved,High=Mobility

5.MCH_CFG_10 Host PLL VCC Select: Low=Reserved, High=Mobility

6.MCH_CFG_11: No Stuff.

7.MCH_CFG_16 FSB Dynmic ODT: Low=Dynamic ODT Disabled, High=Dynamic ODT Enabled.

1.MCH_CFG_5 Low = DMI X2, High=DMIX4

2.MCH_CFG_6 DDR : Low =Moby Dick, High= Calistoga (Default)

3.MCH_CFG_7 CPU Strap Low=RSVD, High=Mobile CPU

8.MCH_CFG_18 VCC Select: LOW=1.05V, High=1.5V

9.MCH_CFG_19 DMI LANE Reversal:Low=Normal,High=LANES Reversed.

10.MCH_CFG_20 PCIE Backward interpoerability mode: Low= only SDVOor PCIE x1 is operational (defaults) ,High=SDVO and PCIE x1 areoperation simultaneously via the PEG port.

place C118 on BA15 Ball

place C121 on BA23 Ball

place C129 on AJ23 Ball

25mils

25mils

25mils

120mils

100mils

EC-A02

GMCH PW & STRAP(4 OF 6) 2ACustom

8 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

CFG_RSVD_0_R

+1.05V

1.8VSUS

+1.5V_AUX

VCC_SM106VCC_SM107

VCC_SM2VCC_SM1

+1.5V_AUX

+3V

+3V

+3V

1.8VSUS

+1.05V +1.05V

C1210.47U_6

C1180.47U_6

C1030.1UC109

10U-6.3V_8

R605 *2.2K

C1290.47U_6

+

C33

330U/2.5V/ESR-9/POS

C748 0.47U_6

C122

10U-6.3V_8

VCC

U34G

Calistoga

AA33W33P33N33L33J33

AA32Y32

W32V32P32N32M32L32J32

AA31W31V31T31R31P31N31M31

AA30Y30

W30V30U30T30R30P30N30M30L30

AA29Y29

W29V29U29R29P29M29L29

AB28AA28

Y28V28U28T28R28P28N28M28L28P27N27M27L27P26N26L26N25M25L25P24N24M24

AB23AA23

Y23P23N23M23L23

AC22AB22

Y22W22P22N22M22L22

AC21AA21W21N21M21L21

AC20AB20

Y20W20P20N20M20L20

AB19AA19

Y19N19M19L19N18M18

AU41AT41AM41AU40BA34AY34AW34AV34AU34AT34AR34BA30AY30AW30AV30AU30AT30AR30AP30AN30AM30AM29AL29AK29AJ29AH29AJ28AH28AJ27AH27BA26AY26AW26AV26AU26AT26AR26AJ26AH26AJ25AH25AJ24AH24BA23AJ23BA22AY22AW22AV22AU22AT22AR22AP22AK22AJ22AK21AK20BA19AY19AW19AV19AU19AT19AR19AP19AK19AJ19AJ18AJ17AH17AJ16AH16BA15AY15AW15AV15AU15AT15AR15AJ15AJ14AJ13AH13AK12AJ12AH12AG12AK11BA8AY8AW8AV8AT8AR8AP8BA6AY6AW6AV6AT6AR6AP6AN6AL6AK6AJ6AV1AJ1

L18P17N17M17N16M16L16

VCC_0VCC_1VCC_2VCC_3VCC_4VCC_5VCC_6VCC_7VCC_8VCC_9VCC_10VCC_11VCC_12VCC_13VCC_14VCC_15VCC_16VCC_17VCC_18VCC_19VCC_20VCC_21VCC_22VCC_23VCC_24VCC_25VCC_26VCC_27VCC_28VCC_29VCC_30VCC_31VCC_32VCC_33VCC_34VCC_35VCC_36VCC_37VCC_38VCC_39VCC_40VCC_41VCC_42VCC_43VCC_44VCC_45VCC_46VCC_47VCC_48VCC_49VCC_50VCC_51VCC_52VCC_53VCC_54VCC_55VCC_56VCC_57VCC_58VCC_59VCC_60VCC_61VCC_62VCC_63VCC_64VCC_65VCC_66VCC_67VCC_68VCC_69VCC_70VCC_71VCC_72VCC_73VCC_74VCC_75VCC_76VCC_77VCC_78VCC_79VCC_80VCC_81VCC_82VCC_83VCC_84VCC_85VCC_86VCC_87VCC_88VCC_89VCC_90VCC_91VCC_92VCC_93VCC_94VCC_95VCC_96VCC_97VCC_98VCC_99VCC_100VCC_101VCC_102VCC_103

VCC_SM_0VCC_SM_1VCC_SM_2VCC_SM_3VCC_SM_4VCC_SM_5VCC_SM_6VCC_SM_7VCC_SM_8VCC_SM_9

VCC_SM_10VCC_SM_11VCC_SM_12VCC_SM_13VCC_SM_14VCC_SM_15VCC_SM_16VCC_SM_17VCC_SM_18VCC_SM_19VCC_SM_20VCC_SM_21VCC_SM_22VCC_SM_23VCC_SM_24VCC_SM_25VCC_SM_26VCC_SM_27VCC_SM_28VCC_SM_29VCC_SM_30VCC_SM_31VCC_SM_32VCC_SM_33VCC_SM_34VCC_SM_35VCC_SM_36VCC_SM_37VCC_SM_38VCC_SM_39VCC_SM_40VCC_SM_41VCC_SM_42VCC_SM_43VCC_SM_44VCC_SM_45VCC_SM_46VCC_SM_47VCC_SM_48VCC_SM_49VCC_SM_50VCC_SM_51VCC_SM_52VCC_SM_53VCC_SM_54VCC_SM_55VCC_SM_56VCC_SM_57VCC_SM_58VCC_SM_59VCC_SM_60VCC_SM_61VCC_SM_62VCC_SM_63VCC_SM_64VCC_SM_65VCC_SM_66VCC_SM_67VCC_SM_68VCC_SM_69VCC_SM_70VCC_SM_71VCC_SM_72VCC_SM_73VCC_SM_74VCC_SM_75VCC_SM_76VCC_SM_77VCC_SM_78VCC_SM_79VCC_SM_80VCC_SM_81VCC_SM_82VCC_SM_83VCC_SM_84VCC_SM_85VCC_SM_86VCC_SM_87VCC_SM_88VCC_SM_89VCC_SM_90VCC_SM_91VCC_SM_92VCC_SM_93VCC_SM_94VCC_SM_95VCC_SM_96VCC_SM_97VCC_SM_98VCC_SM_99

VCC_SM_100VCC_SM_101VCC_SM_102VCC_SM_103VCC_SM_104VCC_SM_105VCC_SM_106VCC_SM_107

VCC_104VCC_105VCC_106VCC_107VCC_108VCC_109VCC_110

+

C34

330U/2.5V

/ES

R-9/P

OS

R611 *2.2K

R607 *2.2K

C49

10U-6.3V_8

R655 *1K

C780 0.47U_6

R626 *2.2K

+ PC93330U-2.5V

C46

10U-6.3V_8

C1790.1U

C97

1U-10V_4

R609 *2.2K

R610 *2.2K

NCTF

U34F

Calistoga

AD27AC27AB27AA27

Y27W27V27U27T27R27

AD26AC26AB26AA26

Y26W26V26U26T26R26

AD25AC25AB25AA25

Y25W25V25U25T25R25

AD24AC24AB24AA24

Y24W24V24U24T24R24

AD23V23U23T23R23

AD22V22U22T22R22

AD21V21U21T21R21

AD20V20U20T20R20

AD19V19U19T19

AD18AC18AB18AA18

Y18W18V18U18T18

AE27AE26AE25AE24AE23AE22AE21AE20AE19AE18AC17Y17U17

R19AG18AF18R18AG17AF17AE17AD17AB17AA17W17V17T17R17AG16AF16AE16AD16AC16AB16AA16Y16W16V16U16T16R16AG15AF15AE15AD15AC15AB15

AG27AF27AG26AF26AG25AF25AG24AF24AG23AF23AG22AF22AG21AF21AG20AF20AG19AF19

AA15Y15W15V15U15T15R15

VCC_NCTF0VCC_NCTF1VCC_NCTF2VCC_NCTF3VCC_NCTF4VCC_NCTF5VCC_NCTF6VCC_NCTF7VCC_NCTF8VCC_NCTF9VCC_NCTF10VCC_NCTF11VCC_NCTF12VCC_NCTF13VCC_NCTF14VCC_NCTF15VCC_NCTF16VCC_NCTF17VCC_NCTF18VCC_NCTF19VCC_NCTF20VCC_NCTF21VCC_NCTF22VCC_NCTF23VCC_NCTF24VCC_NCTF25VCC_NCTF26VCC_NCTF27VCC_NCTF28VCC_NCTF29VCC_NCTF30VCC_NCTF31VCC_NCTF32VCC_NCTF33VCC_NCTF34VCC_NCTF35VCC_NCTF36VCC_NCTF37VCC_NCTF38VCC_NCTF39VCC_NCTF40VCC_NCTF41VCC_NCTF42VCC_NCTF43VCC_NCTF44VCC_NCTF45VCC_NCTF46VCC_NCTF47VCC_NCTF48VCC_NCTF49VCC_NCTF50VCC_NCTF51VCC_NCTF52VCC_NCTF53VCC_NCTF54VCC_NCTF55VCC_NCTF56VCC_NCTF57VCC_NCTF58VCC_NCTF59VCC_NCTF60VCC_NCTF61VCC_NCTF62VCC_NCTF63VCC_NCTF64VCC_NCTF65VCC_NCTF66VCC_NCTF67VCC_NCTF68VCC_NCTF69VCC_NCTF70VCC_NCTF71VCC_NCTF72

VSS_NCTF0VSS_NCTF1VSS_NCTF2VSS_NCTF3VSS_NCTF4VSS_NCTF5VSS_NCTF6VSS_NCTF7VSS_NCTF8VSS_NCTF9

VSS_NCTF10VSS_NCTF11VSS_NCTF12

VCCAUX_NCTF18VCCAUX_NCTF19VCCAUX_NCTF20VCCAUX_NCTF21VCCAUX_NCTF22VCCAUX_NCTF23VCCAUX_NCTF24VCCAUX_NCTF25VCCAUX_NCTF26VCCAUX_NCTF27VCCAUX_NCTF28VCCAUX_NCTF29VCCAUX_NCTF30VCCAUX_NCTF31VCCAUX_NCTF32VCCAUX_NCTF33VCCAUX_NCTF34VCCAUX_NCTF35VCCAUX_NCTF36VCCAUX_NCTF37VCCAUX_NCTF38VCCAUX_NCTF39VCCAUX_NCTF40VCCAUX_NCTF41VCCAUX_NCTF42VCCAUX_NCTF43VCCAUX_NCTF44VCCAUX_NCTF45VCCAUX_NCTF46VCCAUX_NCTF47VCCAUX_NCTF48VCCAUX_NCTF49VCCAUX_NCTF50

VCCAUX_NCTF0VCCAUX_NCTF1VCCAUX_NCTF2VCCAUX_NCTF3VCCAUX_NCTF4VCCAUX_NCTF5VCCAUX_NCTF6VCCAUX_NCTF7VCCAUX_NCTF8VCCAUX_NCTF9

VCCAUX_NCTF10VCCAUX_NCTF11VCCAUX_NCTF12VCCAUX_NCTF13VCCAUX_NCTF14VCCAUX_NCTF15VCCAUX_NCTF16VCCAUX_NCTF17

VCCAUX_NCTF51VCCAUX_NCTF52VCCAUX_NCTF53VCCAUX_NCTF54VCCAUX_NCTF55VCCAUX_NCTF56VCCAUX_NCTF57

C754 0.47U_6

R627 *2.2K

C1060.47U_6

R641

*0/B

C880.1U

R625 *2.2K

R653 *1K

R612 *2.2K

C940.1U

C1170.1U

C761 0.47U_6

R651 *1K

C900.1U

MCH_CFG_5(7)

MCH_CFG_6(7)

MCH_CFG_7(7)

MCH_CFG_9(7)

MCH_CFG_10(7)

MCH_CFG_11(7)

MCH_CFG_16(7)

MCH_CFG_19(7)

MCH_CFG_18(7)

MCH_CFG_20(7)

+1.05V(2,3,4,5,7,9,12,15,38,42)

1.8VSUS(7,11,38,43,44)

+1.5V_AUX(4,7,9,13,15,32,38,42)

MCH_CFG_12(7)

MCH_CFG_13(7)

Page 9: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

RC0805

Shall Add more bypass caps for 1.05V

80mils

25mils

60mils

60mils60mils

30mils

60mils

VTT_56 , VTT_71 and 72 are attached with 0.1u seperated.Checking

ATIX0X0X0

LVDSR598R591R599C812R623C823

INTEL0X00.1UF00.1UF

ATIX0X0

INTEL0X0X

CRTR600C821L2R24

INTEL0X

ATIX0

TVL3R22

Rev_C

Rev_C

Rev_C

Rev_C

Rev_CRev_C

GMCH POWER (5 OF 6) 2ACustom

9 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

VCCA_LVDS

+V3.3_ATVBG

+V3.3_ATVBG

VCCGFOLLOW

3GPLL_FB_R

V1_5SFOLLOW

+3V

+1.05V+1.5V+V1.5_PCIE+2.5V

+V3.3_ATVBG

VCCSYNC

+V3.3_ATVBG

VCCD_LVDS

+1.5V +V1.5_DPLLA+V3.3_TVDAC

+V1.5_DPLLB

+V1.5_HPLL

+V1.5_MPLL

+1.05V+2.5V+V3.3_ATVBG

+V2.5_CRTDAC

+V1.5_3GPLL

+V2.5_CRTDAC

+1.5V

+1.5V+V1.5_3GPLL

+1.5V_AUX +1.5V

+V3.3_TVDAC +3V

+1.5V

+2.5V

+2.5V+V1.5_PCIE

+V1.5_DPLLA

+V1.5_HPLL+V1.5_DPLLB

+V1.5_MPLL

+V3.3_ATVBG

+V3.3_ATVBG

+V3.3_ATVBG

+1.5V

+V1.5_TVDAC

+3V

+V1.5_QTVDAC

+1.5V_AUX

+V1.5_TVDAC +1.5V

+V1.5_QTVDAC

+1.05V+V3.3_ATVBG

+V3.3_ATVBG

+V3.3_ATVBG

+V3.3_ATVBG

+3V +1.5V

+1.05V

+2.5V

+1.05V

+V1.5_PCIE

+1.5V

+1.05V

+2.5V

+1.5V

+2.5V

C59

0.1U

L63 10uH_8

C2294.7U-10V_6

C78610U-6.3V_8

C774

0.1U

C76310U-6.3V_8

C640.022U

C50 0.47U_6

C7310U-6.3V_8

C230

0.1U

C990.022U

C823 0

C600.022U

D7

PDZ5.6B

21

C226

0.1U

C560.022U

C812

0

L60 FCM2012C-121

C53 0.47U_6

R2510/F_6

C78410U-6.3V_8

C660.022U

R27

10/F_6

C1000.022U

C76610U-6.3V_8

C770.22U

C980.22U

+ C783220U-2.5V

+C35

330U/2.5V/ESR-9/POS

C79

0.47U_6

C785

0.1U

C128

0.1U

C2080.1U_6

L4 FCM2012C-121

C81

0.1U

L8 10uH_8

R5580.5/F_6

C910.1U

C790

22U-10V_8

R22 0_6

C809

0.1U

C822*10U-6.3V_8

C820*0.01U

C65

0.1U

R623 *0_8

C92

0.1U

C74

22U-10V_8

+ C141470U-2.5V

C540.47U_6

C80

0.1U

POWER

U34H

Calistoga

C30B30A30

R13N13M13L13AB12AA12Y12W12V12U12T12R12P12N12M12L12R11P11N11M11R10P10N10M10P9N9M9R8P8N8M8P7N7M7R6P6M6A6R5P5N5M5P4N4M4R3P3N3M3R2P2M2D2AB1

AJ41AB41

Y41V41R41N41

G41AC33

F21E21

B26C39AF1

A38

AF2

H20

E19F19C20D20E20F20

AH1AH2

A28B28C28

D21

A23B23B25

H19

AK31AF31AE31AC31AL30AK30AJ30AH30AG30AF30AE30AD30

W13V13U13T13

AB13AA13Y13

H22

L41

AC14AB14W14

T14R14P14N14M14L14AD13AC13

AC30AG29AF29AE29AD29AC29AG28AF28AE28AH22AJ21AH21AJ20AH20AH19

P19P16

AH15P15

AH14AG14AF14AE14

R1P1N1M1

V14

Y14AF13

G21

B39

G20

H41

AE13AF12AE12AD12

VCC_TXLVDS0VCC_TXLVDS1VCC_TXLVDS2

VTT_19VTT_20VTT_21VTT_22VTT_23VTT_24VTT_25VTT_26VTT_27VTT_28VTT_29VTT_30VTT_31VTT_32VTT_33VTT_34VTT_35VTT_36VTT_37VTT_38VTT_39VTT_40VTT_41VTT_42VTT_43VTT_44VTT_45VTT_46VTT_47VTT_48VTT_49VTT_50VTT_51VTT_52VTT_53VTT_54VTT_55VTT_56VTT_57VTT_58VTT_59VTT_60VTT_61VTT_62VTT_63VTT_64VTT_65VTT_66VTT_67VTT_68VTT_69VTT_70VTT_71VTT_72

VCC3G0VCC3G1VCC3G2VCC3G3VCC3G4VCC3G5

VCCA_3GBGVCCA_3GPLL

VCCA_CRTDAC0VCCA_CRTDAC1

VCCA_DPLLAVCCA_DPLLBVCCA_HPLL

VCCA_LVDS

VCCA_MPLL

VCCA_TVBG

VCCA_TVDACA0VCCA_TVDACA1VCCA_TVDACB0VCCA_TVDACB1VCCA_TVDACC0VCCA_TVDACC1

VCCD_HMPLL0VCCD_HMPLL1

VCCD_LVDS0VCCD_LVDS1VCCD_LVDS2

VCCD_TVDAC

VCC_HV0VCC_HV1VCC_HV2

VCCD_QTVDAC

VCCAUX0VCCAUX1VCCAUX2VCCAUX3VCCAUX4VCCAUX5VCCAUX6VCCAUX7VCCAUX8VCCAUX9VCCAUX10VCCAUX11

VTT_15VTT_16VTT_17VTT_18

VTT_12VTT_13VTT_14

VCCSYNC

VCC3G6

VTT_0VTT_1VTT_2

VTT_4VTT_5VTT_6VTT_7VTT_8VTT_9

VTT_10VTT_11

VCCAUX12VCCAUX13VCCAUX14VCCAUX15VCCAUX16VCCAUX17VCCAUX18VCCAUX19VCCAUX20VCCAUX21VCCAUX22VCCAUX23VCCAUX24VCCAUX25VCCAUX26VCCAUX27VCCAUX28VCCAUX29VCCAUX30VCCAUX31VCCAUX32VCCAUX33VCCAUX34

VTT_73VTT_74VTT_75VTT_76

VTT_3

VCCAUX35VCCAUX36

VSSA_CRTDAC

VSSA_LVDS

VSSA_TVBG

VSSA_3GBG

VCCAUX37VCCAUX38VCCAUX39VCCAUX40

C51

2.2U-6.3V_6

D6PDZ5.6B

21

L3*FCM2012C-121

L5

FCM2012C-121

R24 0_6

C47

4.7U-10V_8

R598 *0_8

C821

0

L2 *FCM2012C-121

R599*0_6

L541uH_6

C63

0.1U

C420.022U

+ C825470U-2.5V

L6

FCM2012C-121

C72

*10U-6.3V_8

C78 0.22U_6

R600

*0_6

R26 0_8

R591 0

C52

0.1U

C227

0.1U

C48

10U-6.3V_8

C38

0.1U

C787

0.1U

C44

0.1U

L5591nH_L32x25-22

+3V (2,3,7,8,11,12,13,14,15,16,17,18,19,22,23,28,31,32,33,35,36,38,41,44,45)

+1.05V (2,3,4,5,7,8,12,15,38,42)+1.5V (4,7,8,13,15,32,38,42)+V1.5_PCIE (7)+2.5V (7,17,18,38,42)

Page 10: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

GMCH GND(6 OF 6) 2ACustom

10 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

VSS

U34J

Calistoga

AT23AN23AM23AH23AC23W23K23J23F23C23

AA22K22G22F22E22D22A22

BA21AV21AR21AN21AL21AB21

Y21P21K21J21H21C21

AW20AR20AM20AA20

K20B20A20

AN19AC19W19K19G19C19

AH18P18H18D18A18

AY17AR17AP17AM17AK17AV16AN16AL16

J16F16C16

AN15AM15AK15

N15M15L15B15A15

BA14AT14AK14AD14AA14

U14K14H14E14

AV13AR13AN13AM13AL13AG13

P13F13D13B13

AY12AC12

K12H12E12

AD11AA11

Y11

J11D11B11AV10

AW9AR9AH9AB9Y9R9G9E9A9AG8AD8AA8U8K8C8BA7AV7AP7AL7AJ7AH7AF7AC7R7G7D7AG6AD6AB6Y6U6N6K6H6B6AV5AF5AD5AY4AR4AP4AL4AJ4Y4U4R4J4F4C4AY3AW3AV3AL3AH3AG3AF3AD3AC3AA3G3AT2AR2AP2AK2AJ2AD2AB2Y2U2T2N2J2

AG10AC10W10U10BA9

AL10AJ10

AP10

H2F2C2AL1

VSS_180VSS_181VSS_182VSS_183VSS_184VSS_185VSS_186VSS_187VSS_188VSS_189VSS_190VSS_191VSS_192VSS_193VSS_194VSS_195VSS_196VSS_197VSS_198VSS_199VSS_200VSS_201VSS_202VSS_203VSS_204VSS_205VSS_206VSS_207VSS_208VSS_209VSS_210VSS_211VSS_212VSS_213VSS_214VSS_215VSS_216VSS_217VSS_218VSS_219VSS_220VSS_221VSS_222VSS_223VSS_224VSS_225VSS_226VSS_227VSS_228VSS_229VSS_230VSS_231VSS_232VSS_233VSS_234VSS_235VSS_236VSS_237VSS_238VSS_239VSS_240VSS_241VSS_242VSS_243VSS_244VSS_245VSS_246VSS_247VSS_248VSS_249VSS_250VSS_251VSS_252VSS_253VSS_254VSS_255VSS_256VSS_257VSS_258VSS_259VSS_260VSS_261VSS_262VSS_263VSS_264VSS_265VSS_266VSS_267VSS_268VSS_269VSS_270VSS_271VSS_272

VSS_273VSS_274VSS_275VSS_276

VSS_285VSS_286VSS_287VSS_288VSS_289VSS_290VSS_291VSS_292VSS_293VSS_294VSS_295VSS_296VSS_297VSS_298VSS_299VSS_300VSS_301VSS_302VSS_303VSS_304VSS_305VSS_306VSS_307VSS_308VSS_309VSS_310VSS_311VSS_312VSS_313VSS_314VSS_315VSS_316VSS_317VSS_318VSS_319VSS_320VSS_321VSS_322VSS_323VSS_324VSS_325VSS_326VSS_327VSS_328VSS_329VSS_330VSS_331VSS_332VSS_333VSS_334VSS_335VSS_336VSS_337VSS_338VSS_339VSS_340VSS_341VSS_342VSS_343VSS_344VSS_345VSS_346VSS_347VSS_348VSS_349VSS_350VSS_351VSS_352VSS_353VSS_354VSS_355VSS_356

VSS_280VSS_281VSS_282VSS_283VSS_284

VSS_278VSS_279

VSS_277

VSS_357VSS_358VSS_359VSS_360

VSS

U34I

Calistoga

AC41AA41W41T41P41M41J41F41

AV40AP40AN40AK40AJ40AH40AG40AF40AE40

B40AY39

AW39AV39AR39AN39AJ39AC39AB39AA39

Y39W39V39T39R39P39N39M39L39J39H39G39F39D39

AT38AM38AH38AG38AF38AE38

C38AK37AH37AB37AA37

Y37W37V37T37R37P37N37M37L37J37H37G37F37D37

AY36AW36AN36AH36AG36AF36AE36AC36

C36B36

BA35AV35AR35AH35AB35AA35

Y35W35V35T35R35P35

AF34AE34AC34C34AW33AV33AR33AE33AB33Y33V33T33R33M33H33G33F33D33B33AH32AG32AF32AE32AC32AB32G32B32AY31AV31AN31AJ31AG31AB31Y31AB30E30AT29AN29AB29T29N29K29G29E29C29B29A29BA28AW28AU28AP28AM28AD28AC28W28J28E28AP27AM27AK27J27G27F27C27B27AN26M26K26F26D26AK25P25K25H25E25D25A25BA24AU24AL24AW23

N35M35L35J35H35G35F35D35

AN34

AK34AG34VSS_0

VSS_1VSS_2VSS_3VSS_4VSS_5VSS_6VSS_7VSS_8VSS_9VSS_10VSS_11VSS_12VSS_13VSS_14VSS_15VSS_16VSS_17VSS_18VSS_19VSS_20VSS_21VSS_22VSS_23VSS_24VSS_25VSS_26VSS_27VSS_28VSS_29VSS_30VSS_31VSS_32VSS_33VSS_34VSS_35VSS_36VSS_37VSS_38VSS_39VSS_40VSS_41VSS_42VSS_43VSS_44VSS_45VSS_46VSS_47VSS_48VSS_49VSS_50VSS_51VSS_52VSS_53VSS_54VSS_55VSS_56VSS_57VSS_58VSS_59VSS_60VSS_61VSS_62VSS_63VSS_64VSS_65VSS_66VSS_67VSS_68VSS_69VSS_70VSS_71VSS_72VSS_73VSS_74VSS_75VSS_76VSS_77VSS_78VSS_79VSS_80VSS_81VSS_82VSS_83VSS_84VSS_85VSS_86VSS_87

VSS_99VSS_100VSS_101VSS_102VSS_103VSS_104VSS_105VSS_106VSS_107VSS_108VSS_109VSS_110VSS_111VSS_112VSS_113VSS_114VSS_115VSS_116VSS_117VSS_118VSS_119VSS_120VSS_121VSS_122VSS_123VSS_124VSS_125VSS_126VSS_127VSS_128VSS_129VSS_130VSS_131VSS_132VSS_133VSS_134VSS_135VSS_136VSS_137VSS_138VSS_139VSS_140VSS_141VSS_142VSS_143VSS_144VSS_145VSS_146VSS_147VSS_148VSS_149VSS_150VSS_151VSS_152VSS_153VSS_154VSS_155VSS_156VSS_157VSS_158VSS_159VSS_160VSS_161VSS_162VSS_163VSS_164VSS_165VSS_166VSS_167VSS_168VSS_169VSS_170VSS_171VSS_172VSS_173VSS_174VSS_175VSS_176VSS_177VSS_178VSS_179

VSS_88VSS_89VSS_90VSS_91VSS_92VSS_93VSS_94VSS_95VSS_96

VSS_97VSS_98

Page 11: Gateway MA6 1228

A

A

B

B

C

C

D

D

E

E

4 4

3 3

2 2

1 1

Place these Caps near So-Dimm1.Place these Caps near So-Dimm1.

Place these Caps near So-Dimm2.Place these Caps near So-Dimm2.

CLOCK 0,1 CLOCK 3,4

SMbus address A0 SMbus address A1

CKE 2,3CKE 0,1( Channel A ) ( Channel B )

High=5.2mmHigh=9.2mm

Layout note: Place one cap close to every 2 pullup resistors terminated to SMDDR_VTERMDDR II SODIMM 2ACustom

11 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

M_A_DM3

M_A_A11

M_A_DQS1M_A_DQS#1

M_A_A2

M_A_DQ27

M_A_A0

M_A_A4

M_A_DQ8

M_A_DQ26

M_A_DQS5

M_A_DQ56

M_A_A3

M_A_DQ12

M_A_A13

M_A_A1

M_A_A5

M_A_DQS#3

M_A_A10

M_A_DQS3

M_A_DQ41

M_A_BS#0M_A_WE#

M_A_DQ14M_A_DM1

M_CS#1

M_A_DM0

M_A_DM7

M_A_DQ25

M_A_CAS#

M_A_DQS#7

M_A_DM5

M_A_DQ63

M_CS#0

M_A_DQ44

M_A_DQ29

M_A_A7

M_A_DQ59

M_A_DQ3

M_A_DQ24

M_A_DQS#5

M_A_A6

M_A_DQ28

M_A_A8

M_A_DQ2

M_A_DQ38

M_A_DQ33

M_A_DQ50

M_A_DQ19

M_A_DQS0

M_A_DQ11M_A_DQ10

M_A_DQS4

M_A_DQ13

M_A_DQ1

M_A_DQ7

M_A_DQ5

M_A_DQ42 M_A_DQ46M_A_DQ43

M_A_DQ40

M_A_DQ47

M_A_DQ60

M_A_DQ55M_A_DQ51

M_A_DQ39

M_A_DQ61

SMDDR_VREF_DIMM

M_A_DQS#0

M_A_DQS6

M_A_DQ34

M_A_DQ22

M_A_DQ37

M_A_DQ23

M_A_DQ36

M_A_DQ57

M_A_DQS#4

M_A_DQ45

M_ODT1

M_A_DQ18

M_A_DQ6

M_ODT0

M_A_DQ54

CGDAT_SMB

M_CLK_DDR0M_CLK_DDR#0

M_A_BS#1

M_A_DQS#6

CGCLK_SMB

M_A_DQS2M_A_DQS#2

M_A_RAS#

M_A_DQ58M_A_DQ62

M_A_DQ4M_A_DQ0

M_A_DQ53M_A_DQ52

M_B_DM3

M_B_A11

M_B_DQS1M_B_DQS#1

M_B_A2

M_B_DQ26

M_A_DM2

M_B_A0

M_B_A4

M_B_DQ9

M_B_DQ27

M_B_DQS5

M_B_DQ61

M_B_A3

M_B_DQ13

M_B_A13

M_B_A1

M_B_A5

M_B_DQS#3

M_B_A10

M_B_DQS3

M_B_DQ41

M_B_BS#0

M_A_DQ21

M_B_WE#

M_B_DQ8M_B_DM1

M_CS#3

M_B_DM0

M_B_DM7

M_B_DQ29

M_B_CAS#

M_A_DQS7M_B_DQS#7

M_B_DQ62

M_B_DM5

M_CS#2

M_B_DQ44

M_B_DQ59

M_B_DQ25

M_B_A7

M_B_DQ3

M_B_DQ28

M_B_DQS#5

M_B_A6

M_B_DQ24

M_B_A8

M_B_DQ2

M_B_DQ35

M_A_DQ17

M_A_DQ35M_A_DQ32

M_A_DM6

M_B_DQ34

M_B_DQ55

M_B_DQ23

M_B_DQS0

M_A_DQ9 M_B_DQ15M_B_DQ14

M_B_DQS4

M_B_DQ12

M_B_DQ1

M_B_DQ7

M_B_DQ5

M_B_DQ47 M_B_DQ46M_B_DQ42

M_B_DQ40

M_B_DQ43

M_B_DQ57

M_B_DQ54M_B_DQ50

M_B_DQ39

M_B_DQ60

SMDDR_VREF_DIMM

M_B_DQS#0

M_B_DQS6

M_B_DQ38

M_B_DQ22

M_B_DQ37

M_B_DQ19

M_B_DQ36

M_B_DQ56

M_B_DQS#4

M_B_DQ45

M_ODT3

M_B_DQ18

M_A_DQ15

M_B_DQ6

M_ODT2

CGDAT_SMB

M_B_DQ51

M_B_BS#1

M_B_DQS#6

CGCLK_SMB

M_B_DQS2M_B_DQS#2

M_B_DQ58

M_B_RAS#

M_A_DQ20

M_B_DQ4

M_B_DQ63

M_B_DQ0

M_B_DQ49M_B_DQ53

M_CKE0

M_B_DM2

M_B_DQ17M_B_DQ20

M_B_DQ33

M_B_DQS7

M_B_DQ32

M_B_DM6

M_B_DQ10M_B_DQ11

M_B_DQ16

M_CKE2

M_B_DQ21

M_B_DQ52M_B_DQ48

M_B_DQ30M_B_DQ31

M_B_A12

M_CKE3

M_B_DM4

M_B_A9

M_B_BS#2

M_A_DQ16

M_A_DQ49M_A_DQ48

M_A_DQ30M_A_DQ31

M_A_A12

M_CKE1

M_A_DM4

M_A_A9

M_A_BS#2

M_CLK_DDR#1M_CLK_DDR1

SMDDR_VREF_DIMM

M_CLK_DDR#2M_CLK_DDR2

M_CLK_DDR3M_CLK_DDR#3

M_A_CAS#

M_B_BS#1

M_A_WE#

M_A_A0

M_B_A10

M_B_A3

M_B_BS#2

M_A_RAS#M_ODT0

M_CKE3

M_B_BS#0

M_A_BS#0

M_B_A1

M_ODT1

M_B_A9

M_A_A7

M_CS#3

M_B_A5

M_A_A1

M_A_A12

M_A_A8

M_B_CAS#

M_A_A9

M_B_A11

M_B_A2

M_CKE0

M_B_WE#

M_A_A2

M_CS#2M_CS#0

M_B_A0

M_A_A5

M_CKE1

M_A_BS#2

M_B_A7

M_B_RAS#

M_ODT3

M_A_A6

M_A_A10

M_CS#1

M_A_A3

M_A_BS#1

M_CKE2

M_B_A8

M_ODT2

M_B_A6

M_B_A12

M_A_A11

M_A_A13

M_A_A4

M_B_A13

M_B_A4

1.8VSUS

1.8VSUS 1.8VSUS

SMDDR_VREF_DIMM

+3V +3V

SMDDR_VREF_DIMM

1.8VSUS

+3V

+3V

1.8VSUS 1.8VSUS 1.8VSUS

+3V

1.8VSUS

SMDDR_VTERM

1.8VSUS

SMDDR_VREF_DIMM

SMDDR_VTERM

+3V

SMDDR_VTERM

SMDDR_VTERM

SMDDR_VTERM

SMDDR_VTERM

SMDDR_VTERM

SMDDR_VTERM

SMDDR_VTERM

C1600.1U

C6872.2U-6.3V_6

C1512.2U-6.3V_6

C2010.1U

C7072.2U-6.3V_6

C6910.1U

C1390.1U

RP21 56X224

13

R199

*10K/F_6

C1380.1U

R3610K_6

R703*0

C1020.1U

C1360.1U

RP15 56X224

13

C1750.1U

C1460.1U

C1720.1U

C7082.2U-6.3V_6

C1890.1U

C1352.2U-6.3V_6

RP18 56X224

13

C1880.1U

RP17 56X224

13

RP11 56X224

13

C1452.2U-6.3V_6

C7092.2U-6.3V_6

R182 0

C1480.1U

RP28 56X224

13

C1490.1U

RP14 56X224

13

C1950.1U

C932.2U-6.3V_6

C1590.1U

R200

*10K/F_6

R50 *0

RP7 56X224

13

RP9 56X224

13

RP10 56X224

13

C1582.2U-6.3V_6

PC

4800

DD

R2

SD

RA

M S

O-D

IMM

(200

P)

JDIM1

PC4800 DDR2

13579

111315171921232527293133353739

414345474951535557596163656769717375777981838587899193959799

101103105107109111113115117119121123125127129131133135137139141143

246810121416182022242628303234363840

4244464850525456586062646668707274767880828486889092949698100102104106108110112114116118120122124126128130132134136138140142144

145147149151153155157159161163165167169171173175

179181183185187189191193195197199

146148150152154156158160162164166168170172174176178180182184186188190192194196198200

177

VREFVSS47DQ0DQ1VSS37DQS#0DQS0VSS48DQ2DQ3VSS38DQ8DQ9VSS49DQS#1DQS1VSS39DQ10DQ11VSS50

VSS18DQ16DQ17VSS1DQS#2DQS2VSS19DQ18DQ19VSS22DQ24DQ25VSS23DM3NC4VSS9DQ26DQ27VSS4CKE0VDD7NC1A16_BA2VDD9A12A9A8VDD5A5A3A1VDD10A10/APBA0WE#VDD2CAS#S1#VDD3ODT1VSS11DQ32DQ33VSS26DQS#4DQS4VSS2DQ34DQ35VSS27DQ40DQ41

VSS46DQ4DQ5

VSS15DM0

VSS5DQ6DQ7

VSS16DQ12DQ13

VSS17DM1

VSS53CK0

CK0#VSS41DQ14DQ15

VSS54

VSS20DQ20DQ21VSS6NC3DM2

VSS21DQ22DQ23

VSS24DQ28DQ29

VSS25DQS#3DQS3

VSS10DQ30DQ31VSS8CKE1VDD8

A15A14

VDD11A11A7A6

VDD4A4A2A0

VDD12BA1

RAS#S0#

VDD1ODT0

A13VDD6

NC2VSS12DQ36DQ37

VSS28DM4

VSS42DQ38DQ39

VSS55DQ44DQ45

VSS43VSS29DM5VSS51DQ42DQ43VSS40DQ48DQ49VSS52NCTESTVSS30DQS#6DQS6VSS31DQ50DQ51

DQ56DQ57VSS3DM7VSS34DQ58DQ59VSS14SDASCLVDD(SPD)

DQS#5DQS5

VSS56DQ46DQ47

VSS44DQ52DQ53

VSS57CK1

CK1#VSS45

DM6VSS32DQ54DQ55

VSS35DQ60DQ61VSS7

DQS#7DQS7

VSS36DQ62DQ63

VSS13SA0SA1

VSS33

RP20 56X224

13

U5

*LM75CIMM3

12

3

4

567

8

SDASCL

O.S

GN

D

A2A1A0 +V

S

C1370.1U

RP13 56X224

13

C3442.2U-6.3V_6

RP30 56X224

13

RP27 56X224

13

RP29 56X224

13

RP3 56X224

13

C1800.1U

RP16 56X224

13

C3300.1U

C6882.2U-6.3V_6

C1340.1U

R42 *0

R43 *0

C1690.1U C181

0.1U

C3462.2U-6.3V_6

RP26 56X224

13

C1440.1U

RP2 56X224

13

C1540.1U

C1330.1U

RP8 56X224

13

RP6 56X224

13

RP5 56X224

13

R3710K_6

C1420.1U

R3910K_6

C1680.1U

C3390.1U

C1940.1U

C2000.1U

RP1 56X224

13

C7052.2U-6.3V_6

R41 *0

C1670.1U

C1780.1U

R3810K_6

C1520.1U

RP4 56X224

13

C1430.1U

RP19 56X224

13

C1310.1U

PC

4800

DD

R2

SD

RA

M S

O-D

IMM

(200

P)

JDIM2

PC4800 DDR2

13579

111315171921232527293133353739

414345474951535557596163656769717375777981838587899193959799

101103105107109111113115117119121123125127129131133135137139141143

246810121416182022242628303234363840

4244464850525456586062646668707274767880828486889092949698100102104106108110112114116118120122124126128130132134136138140142144

145147149151153155157159161163165167169171173175

179181183185187189191193195197199

146148150152154156158160162164166168170172174176178180182184186188190192194196198200

177

VREFVSS47DQ0DQ1VSS37DQS#0DQS0VSS48DQ2DQ3VSS38DQ8DQ9VSS49DQS#1DQS1VSS39DQ10DQ11VSS50

VSS18DQ16DQ17VSS1DQS#2DQS2VSS19DQ18DQ19VSS22DQ24DQ25VSS23DM3NC4VSS9DQ26DQ27VSS4CKE0VDD7NC1A16_BA2VDD9A12A9A8VDD5A5A3A1VDD10A10/APBA0WE#VDD2CAS#S1#VDD3ODT1VSS11DQ32DQ33VSS26DQS#4DQS4VSS2DQ34DQ35VSS27DQ40DQ41

VSS46DQ4DQ5

VSS15DM0

VSS5DQ6DQ7

VSS16DQ12DQ13

VSS17DM1

VSS53CK0

CK0#VSS41DQ14DQ15

VSS54

VSS20DQ20DQ21VSS6NC3DM2

VSS21DQ22DQ23

VSS24DQ28DQ29

VSS25DQS#3DQS3

VSS10DQ30DQ31VSS8CKE1VDD8

A15A14

VDD11A11A7A6

VDD4A4A2A0

VDD12BA1

RAS#S0#

VDD1ODT0

A13VDD6

NC2VSS12DQ36DQ37

VSS28DM4

VSS42DQ38DQ39

VSS55DQ44DQ45

VSS43VSS29DM5VSS51DQ42DQ43VSS40DQ48DQ49VSS52NCTESTVSS30DQS#6DQS6VSS31DQ50DQ51

DQ56DQ57VSS3DM7VSS34DQ58DQ59VSS14SDASCLVDD(SPD)

DQS#5DQS5

VSS56DQ46DQ47

VSS44DQ52DQ53

VSS57CK1

CK1#VSS45

DM6VSS32DQ54DQ55

VSS35DQ60DQ61VSS7

DQS#7DQS7

VSS36DQ62DQ63

VSS13SA0SA1

VSS33

C7042.2U-6.3V_6

C7062.2U-6.3V_6

C123*0.1U

C1770.1U

C1870.1U

RP12 56X224

13

C6942.2U-6.3V_6

C1570.1U

M_A_BS#0(6)M_A_WE#(6)

M_CS#1(7)M_A_CAS#(6)

M_CS#0 (7)

CGCLK_SMB(2,32)CGDAT_SMB(2,32)

M_A_DQS#[7:0] (6)

M_A_DQ[63:0] (6)

M_A_A[13:0] (6)

M_A_BS#1 (6)

M_A_DM[0..7] (6)

M_CLK_DDR0 (7)M_CLK_DDR#0 (7)

M_A_RAS# (6)

M_A_DQS[7:0] (6)

M_B_BS#0(6)M_B_WE#(6)

M_CS#3(7)M_B_CAS#(6)

M_CS#2 (7)

M_B_BS#1 (6)M_B_RAS# (6)

M_CKE0(7) M_CKE2(7) M_CKE3 (7)

M_B_BS#2(6)

M_CKE1 (7)

M_A_BS#2(6)

M_CLK_DDR#1 (7)M_CLK_DDR1 (7)

1.8VSUS(7,8,38,43,44)+3V(2,3,7,8,9,12,13,14,15,16,17,18,19,22,23,28,31,32,33,35,36,38,41,44,45)

SMDDR_VREF(7,38,43)SMDDR_VTERM(38,43)

M_ODT0 (7)

M_ODT3(7)M_ODT1(7)

M_ODT2 (7)

SMDDR_VREF (7,38,43)

M_B_DM[0..7] (6)

M_B_DQS#[7:0] (6)

M_B_DQ[63:0] (6)

M_B_A[13:0] (6)

M_B_DQS[7:0] (6)

PM_EXTTS#0 (7)PM_EXTTS#0 (7)

M_CLK_DDR2 (7)M_CLK_DDR#2 (7)

M_CLK_DDR3 (7)M_CLK_DDR#3 (7)

CGCLK_SMB(2,32)CGDAT_SMB(2,32)

THCLK_SMB(3,17,36)THDAT_SMB(3,17,36)

Page 12: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

RTC

Enable(default) 1

ICH7 internal VRenable strap

Disable

INTVRMEN

0

20MIL 20MIL

25mils/15mils

Should be 2" close ICH7

EC-A03

EC-A04

ICH7-M HOST (1 OF 4) 2ACustom

12 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

GATEA20

H_DPRSTP#_RH_DPSLP#_R

H_SMI#_R

TP_H_CPUSLP#

RCIN#

PDD0PDD1PDD2PDD3PDD4PDD5PDD6PDD7

PDD10PDD9PDD8

PDD11PDD12

PDD15PDD14PDD13

PDA0PDA1PDA2

SATA_BIAS

SATA_TXP2_CSATA_TXN2_C

SATA_RXP2_CSATA_RXN2_C

SATA_TXP0_CSATA_TXN0_CSATA_RXP0_CSATA_RXN0_C

ACZ_SDOUT

ACZ_SDIN1ACZ_SDIN2

ACZ_RST#

ACZ_SDIN0

ACZ_SYNCACZ_BCLK

ICH_INTVRMENSM_INTRUDER#

CLK_32KX1

CLK_32KX2

IRQ14PDIORDY

ACZ_RST#

ACZ_BCLK

ACZ_SDOUT

RCIN#

H_THERMTRIP_R

ACZ_SYNC

VCCRTC_2

ICH_INTVRMEN

RTCRST#

LDRQ#1

GATEA20

PDIORDYIRQ14

VCCRTC_3VCCRTC_1

SATA_LED#

VCCRTC

+3V+1.05V

+1.05V

+1.05V

+3V

3VPCU

VCCRTC

VCCRTC

+3V

+3V

5VPCU

R484 39

CN8

JAE-FI-S2P-HF

12

12

R502 24.9/F_6

R181 39

R25220K/F_6

R177

*0

C4361U-16V_6

R2531M_6

T90

T149

R493 0

RTC

LAN

AC-97/AZALIA

SATA

IDELPC

CPU

U29A

ICH7-M

AB1AB2

W4Y5

W1Y1Y2

W3

V3

U3

U5V4T5

U7V6V7

U1R6

R5

T2T3T1

T4

AF18

AF3AE3AG2AH2

AF7AE7AG6AH6

AF1AE1

AH10AG10

AH17AE17AF17

AE16AD16

AB15AE14AG13AF13AD14AC13AD12AC12AE12AF12AB13AC14AF14AH13AH14AC15

AE15AG16AH16AF16AH15AF15

AA6AB5AC4Y6

AC3AA5

AB3

AE22AH28

AG27

AF24AH25

AG26

AG24

AG22AG21AF22AF25

AG23

AF23AH24

AH22

AF26

AA3

RTXC1RTCX2

INTVRMENINTRUDER#

EE_CSEE_SHCLKEE_DOUTEE_DIN

LAN_CLK

LAN_RSTSYNC

LAN_RXD0LAN_RXD1LAN_RXD2

LAN_TXD0LAN_TXD1LAN_TXD2

ACZ_BIT_CLKACZ_SYNC

ACZ_RST#

ACZ_SDIN0ACZ_SDIN1ACZ_SDIN2

ACZ_SDOUT

SATALED#

SATA0RXNSATA0RXPSATA0TXNSATA0TXP

SATA2RXNSATA2RXPSATA2TXNSATA2TXP

SATA_CLKNSATA_CLKP

SATARBIASNSATARBIASP

DA0DA1DA2

DCS1#DCS3#

DD0DD1DD2DD3DD4DD5DD6DD7DD8DD9

DD10DD11DD12DD13DD14DD15

DDREQIORDYIDEIRQDDACK#DIOW#DIOR#

LAD0LAD1LAD2LAD3

LDRQ0#LDRQ1#/GPIO23

LFRAME#

A20GATEA20M#

CPUSLP#

TP1/DPRSTP#TP2/DPSLP#

FERR#

GPIO49/CPUPWRGD

IGNNE#INIT3_3V#

INIT#INTR

RCIN#

SMI#NMI

STPCLK#

THERMTRIP#

RTCRST#

T85

C680 3900P

R268 1K_6

C33118P

R11310K

T153

C679 3900P

R1154.7K

D15CH500H-40

C681 3900PC682 3900P

C295 3900P

R503*56

C335*10P

R494 0

Q18

MMBT3904

213

R1228.2K_4

R86 0

Y232.768KHZ

41

R2541K_6

D14CH500H-40

R269 1.2K_6

R93

10K

R7556

C299 3900P

T150

C683 3900P

R74 24.9/F_6

G1*SHORT_ PAD1

12

C67415P

C336*10P

T88

R256

4.7K

R64 *0

R94 0

R180 39

R139 39

R82

10K

R84*56

T84

R7156

C32018P

C684 3900P

R179332K/F_6

R255

15K_6

C4351U-16V_6

R17810M_6

T151

T155

PDCS1# (33)PDCS3# (33)

PDA[2:0] (33)

H_STPCLK# (3)

H_NMI (3)

H_INTR (3)H_INIT# (3)

H_IGNNE# (3)

RCIN# (35)

H_SMI# (3)

PM_THRMTRIP# (3,7)

H_PWRGD (3)

LPC_DRQ0# (31,35)

LFRAME#/FWH4 (31,32,35,36)

GATEA20 (35)H_A20M# (3)

ICH_DPRSTP# (3,45)H_DPSLP# (3)

H_FERR# (3)

CLK_PCIE_SATA#(2)CLK_PCIE_SATA(2)

SATA_RXN0(33)SATA_RXP0(33)SATA_TXN0(33)SATA_TXP0(33)

ACZ_SDIN0(29)

PDD[15:0] (33)SATA_LED#(36)

PDIOR#(33)PDIOW#(33)PDDACK#(33)IRQ14(33)

PDDREQ(33)

ACZ_SYNC_AUDIO (29)

ACZ_RST#_AUDIO (29)

BIT_CLK_AUDIO (29)

ACZ_SDOUT_AUDIO (29)

H_CPUSLP# (3,5)

LAD0/FWH0 (31,32,35,36)LAD1/FWH1 (31,32,35,36)LAD2/FWH2 (31,32,35,36)LAD3/FWH3 (31,32,35,36)

SATA_RXP2(33)

SATA_TXP2(33)SATA_TXN2(33)

SATA_RXN2(33)

PDIORDY(33)

ACZ_SDIN1(29)

Page 13: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

ICH7 Boot BIOS select

LPC(default)

STRAP GNT5# R1

GNT4# R2

UNSTUFF11 UNSTUFF

PCI UNSTUFF10 STUFF

01 STUFFSPI UNSTUFF

AD25 REQ2# / GNT2# INT B/C/D#

REQ# / GNT#PCI DEVICE InterruptsIDSEL#

PCI7412

Place within 500mils of ICH7

Bluetooth Module

Docking

25mils/15milsCKL use 10Kohm

15/15mils

PCI-E Mini Card

Tekoa LAN

BAY(FDD)

FINGER PRINT

Place within 500mils of ICH7

Close Tekoa LAN reserved for S/W test

Crisis SW

PCI-E Mini Card(Docking)

EC-A05

EC-A06

Rev_C

Rev_C

Rev_C

Rev_D

ICH7-M PCI E (2 OF 4) 2ACustom

13 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

USB_RBIAS_PN

DRI_IRCOMP_R

PCIE_TXN0_CPCIE_TXP0_C

PCIE_TXN1_CPCIE_TXP1_C

SPI_SCLK

USBOC#0

USBOC#3USBOC#2

USBOC#4

USBOC#1

USBOC#5

USBOC#7USBOC#6

TP_ICH_RSVD5TP_ICH_RSVD4TP_ICH_RSVD3TP_ICH_RSVD2TP_ICH_RSVD1

INTD#INTC#INTB#

REQ3#GNT3#

GNT2#REQ2#

REQ1#GNT1#

GNT0#

SERR#

TRDY#FRAME#

IRDY#

PERR#LOCK#

STOP#

DEVSEL#

INTF#INTE#

RSVD9

TP_ICH_RSVD6TP_ICH_RSVD7TP_ICH_RSVD8

GNT4#

AD0AD1AD2AD3AD4AD5AD6AD7AD8AD9AD10AD11AD12AD13AD14AD15AD16AD17AD18AD19AD20AD21AD22AD23AD24AD25AD26AD27AD28AD29

AD31AD30

DEVSEL#

PERR#

TRDY#BAYON#FRAME#

REQ2#

STOP#REQ3#

REQ1#

INTA#

IRDY#

INTE#

INTF#

USBOC#0

USBOC#1USBOC#4

USBOC#6USBOC#7

PLT_RST-R#

PCLK_ICH

BAYID0

BAYON#

REQ0#

INTA#

INTB#

BAYID0

REQ5#

SPI_CE#

SPI_SISPI_SO

INTF#

BAYID1

PCIE_TXP3_CPCIE_TXN3_C

REQ5#

INTD# INTC#

USBOC#2

SERR#LOCK#

BAYID1REQ0#

PCLK_ICH

PLT_RST-R#

USBOC#5USBOC#3

+3V

+1.5V

+3V

+3V

+3V

+3V

+3V

+3V

3VSUS

3VSUS

+3V

+3V

+3V

T154

R710100K

C671 0.1U

R133*1K

R88*1K

T212

R7024.9/F_6

T76

U8

TC7SH08FU

2

14

35

RP32

8.2KX8

109876

12345

T211

R18710K

T62

SW3

*SHORT_ PAD1

1 2

R18610K

R47622.6/F_6

C661 0.1U

T91

C664 0.1U

R691*33

C670 0.1U

T157T158

C1470.1U_6

T210

PCI-Express

Direct Media Interface

USBSPI

U29D

ICH7-M

F26F25E28E27

H26H25G28G27

K26K25J28J27

M26M25L28L27

P26P25N28N27

T25T24R28R27

V26V25U28U27

Y26Y25W28W27

AB26AB25AA28AA27

AD25AD24AC28AC27

AE28AE27

C25D25

D3C4D5D4E5C3A2B3

F1F2G4G3H1H2J4J3K1K2L4L5M1M2N4N3

D2D1

R2P6P1

P5P2

PERn1PERp1PETn1PETp1

PERn2PERp2PETn2PETp2

PERn3PERp3PETn3PETp3

PERn4PERp4PETn4PETp4

PERn5PERp5PETn5PETp5

PERn6PERp6PETn6PETp6

DMI0RXNDMI0RXPDMI0TXNDMI0TXP

DMI1RXNDMI1RXPDMI1TXNDMI1TXP

DMI2RXNDMI2RXPDMI2TXNDMI2TXP

DMI3RXNDMI3RXPDMI3TXNDMI3TXP

DMI_CLKNDMI_CLKP

DMI_ZCOMPDMI_IRCOMP

OC0#OC1#OC2#OC3#OC4#OC5#/GPIO29OC6#/GPIO30OC7#/GPIO31

USBP0NUSBP0PUSBP1NUSBP1PUSBP2NUSBP2PUSBP3NUSBP3PUSBP4NUSBP4PUSBP5NUSBP5PUSBP6NUSBP6PUSBP7NUSBP7P

USBRBIAS#USBRBIAS

SPI_CLKSPI_CS#SPI_ARB

SPI_MOSISPI_MISO

RP33

8.2KX8

109876

12345

C859*22P_6

T79

R129*8.2K_4

C662 0.1U

C667 0.1U

T57

U46TC7SH08FU

2

14

35

T61

T83

T58T78

R18510K

T208

T64

T77

T143

C877 0.1U

T141

T75

RP34

8.2KX8

109876

12345

RP31

8.2KX8

109876

12345

PCI

Interrupt I/F

MISC

U29B

ICH7-M

E18C18A16F18E16A18E17A17A15C14E14D14B12C13G15G13E12C11D11A11A10F11F10E9D9B9A8A6C7B6E6D6

D7E7C16D16C17D17E13F13A13A14C8D8

B15C12D12C15

A7E10B18A12C9E11B10F15F14F16

C26A9B19

A3B4C5B5 G7

F8F7G8

AE5AD5AG4AH4AD9 AH20

F21AH8AG8AE9

AD0AD1AD2AD3AD4AD5AD6AD7AD8AD9AD10AD11AD12AD13AD14AD15AD16AD17AD18AD19AD20AD21AD22AD23AD24AD25AD26AD27AD28AD29AD30AD31

REQ0#GNT0#REQ1#GNT1#REQ2#GNT2#REQ3#GNT3#

REQ4#/GPIO22GNT4#/GPIO48GPIO1/REQ5#

GPIO17/GNT5#

C/BE0#C/BE1#C/BE2#C/BE3#

IRDY#PAR

PCIRST#DEVSEL#

PERR#PLOCK#

SERR#STOP#TRDY#

FRAME#

PLTRST#PCICLK

PME#

PIRQA#PIRQB#PIRQC#PIRQD# GPIO5/PIRQH#

GPIO4/PIRQG#GPIO3/PIRQF#GPIO2/PIRQE#

RSVD[1]RSVD[2]RSVD[3]RSVD[4]RSVD[5] MCH_SYNC#

RSVD[9]RSVD[8]RSVD[7]RSVD[6]

C3160.1U

T209

R116*1K

T71T156

R475*1K

T72

DMI_RXN0 (7)DMI_RXP0 (7)

DMI_RXN1 (7)

DMI_RXN2 (7)

DMI_RXN3 (7)

DMI_RXP1 (7)

DMI_RXP2 (7)

DMI_RXP3 (7)

CLK_PCIE_ICH# (2)CLK_PCIE_ICH (2)

DMI_TXN0 (7)DMI_TXP0 (7)

DMI_TXN1 (7)

DMI_TXN2 (7)

DMI_TXN3 (7)

DMI_TXP1 (7)

DMI_TXP2 (7)

DMI_TXP3 (7)

PCIE_RXN0(24)PCIE_RXP0(24)

PCIE_RXN1(32)PCIE_RXP1(32)

PCIE_TXN0(24)PCIE_TXP0(24)

PCIE_TXN1(32)PCIE_TXP1(32)

INTC#(26)INTD#(26)

AD[0..31](26)

C/BE0# (26)C/BE1# (26)C/BE2# (26)C/BE3# (26)

IRDY# (26)PAR (26)PCIRST# (26,35)DEVSEL# (26)PERR# (26)

SERR# (26)STOP# (26)TRDY# (26)FRAME# (26)

PCI_PME# (26)

PLT_RST-R# (7)PCLK_ICH (2)

MCH_ICH_SYNC (7) PLTRST# (14,16,24,31,32,36)

USBP7+ (36)

USBP4+ (37)

USBP6+ (32)

USBP5+ (33)

USBP7- (36)

USBP6- (32)

USBP5- (33)

USBP4- (37)

USBP2+ (34)USBP2- (34)USBP1+ (34)USBP1- (34)

USBP3- (34)

USBP0- (34)

USBP3+ (34)

USBP0+ (34)

USBOC#0(34)USBOC#1(34)USBOC#2(34)USBOC#3(34)USBOC#4(37)

REQ2# (26)GNT2# (26)

INTB#(26)

PCIE_TXN3(37)PCIE_RXP3(37)PCIE_RXN3(37)

PCIE_TXP3(37)

BAYON# (33)

RST_BAY# (33)

BAYID1 (33)BAYID0 (14,33)

Page 14: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

to Clock Gen & DIMM

No stuff-->boot Stuff-->No boot

R473

ID0:1ID:0Board ID

ID1:1

ID1:0

GPIO25 /Suspend rail is a HW strap , don't pull down .

EC-A07

Rev_C Rev_C

Rev_D

Rev_C

Rev_C

Rev_D

Rev_D

ICH7-M GPIO (3 OF 4) 2AB

14 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

PM_STPPCI_ICH#PM_STPCPU_ICH#

BIOS_REC_RFWH_MFG_MODE_R

CLKRUN#

SMLINK0

SERIRQPCIE_WAKE#

VR_PWRGD_CK410

PCLK_SMBPDAT_SMB

RUNTIME_SCI#_REXTSMI#_R

BOARD_ID3

RSMRST#PM_RSMRST#_R

14M_ICHCLKUSB_48

PM_DPRSLPVR

SYS_RST#

RI#

DNBSWON#

SMB_LINK_ALERT#

PM_BATLOW#_R

ICH_PWROK

CLKRUN#

RI#SMB_LINK_ALERT#SMLINK0SMLINK1

SERIRQ

RSMRST#

PCIE_WAKE#PM_BATLOW#_R

SWI#

EXTSMI#_RWAKE_SCI#_RSMB_ALERT#

RUNTIME_SCI#_R

BIOS_REC

FWH_MFG_MODE_R

BIOS_REC_R

FWH_MFG_MODE

SMB_ALERT#

SWI#

WAKE_SCI#_R

PDAT_SMB

XDP_DBRESET#

BOARD_ID2BOARD_ID0BOARD_ID1

BOARD_ID0 BOARD_ID1

SWAPLED1

SWAPBLINKSWAP#

SWAPLED

SWAP#

SWAPBLINK

SWAPLED#LAN_DISABLE#

Z1606

CLKUSB_48 14M_ICH

SMLINK1

MXM_THERM#

MXM_THERM#

BOARD_ID3BOARD_ID2

PCLK_SMB SYS_RST#DNBSWON#

BT_ON#

RF_LED_OFF#

PENABLE

LAN_DISABLE#

ICH_PWROK

+3V

+3V

+3V

3V_S53V_S53V_S5

3V_S5

3V_S5

+3V

+3V+3V

3VSUS

+3V+3V

3V_S5

3V_S5

+3V

+3V

+3V

+3V

+3V

+3V

+3V

+3V

R469 10K

R497*10K

R80 0

R77*0

R468 10K

Q5RHU002N06

2

31

T47

T44

R106 *10K

R712 0

R459 10K

R96*10K

R474*10_6

R142 10K

R101 1K

R462 10K

R489*33/B

R48810K

R97 0

U31

TC7SH08FU

2

14

35

R76 100/F

R471 0

R467 10K

R501 8.2K_4

R463 100/F

T142R112

10K

R125 10K

R63100K

R79 2.2K

R7310K

T48

R473*1K

R498

*10K

R460 100/F

R123 10K

R70410K

R104*10K

R464 10K

R100*0

T138 SATA

SMB

SYS

GPIO

GPIO

GPIO

Clocks

Power MGT

U29C

ICH7-M

AF19AH18AH19AE19

C22B22A26B25A25

A19A27A22

AB18

AC21AC18

E21

E20A20

B23

F19E19R4E22

AC20AF21

R3D20

AG18

AC19U2

AD21AD20AE20

F20AH21AF20

AD22

AC1B2

C20

B24D23F22

AA4

AC22

C21

C23

C19

Y4

A28

A21

B21E23

GPIO21/SATA0GPGPIO19/SATA1GPGPIO36/SATA2GPGPIO37/SATA3GP

SMBCLKSMBDATALINKALERT#SMLINK0SMLINK1

SPKRSUS_STAT#SYS_RST#

GPIO0/BM_BUSY#

GPIO6GPIO7GPIO8

GPIO9GPIO10

GPIO11/SMBALERT#

GPIO12GPIO13GPIO14GPIO15

GPIO18/STPPCI# GPIO20/STPCPU#

GPIO24GPIO25

GPIO32/CLKRUN#

GPIO33/AZ_DOCK_EN#GPIO34/AZ_DOCK_RST#

GPIO35GPIO38GPIO39

WAKE#SERIRQTHRM#

VRMPWRGD

CLK14CLK48

SUSCLK

SLP_S3#SLP_S4#SLP_S5#

PWROK

GPIO16/DPRSLPVR

TP0/BATLOW#

PWRBTN#

LAN_RST#

RSMRST#

RI#

GPIO26

GPIO27GPIO28

R87 1M

R111

10K

T45

T87

R109

*10K

R126 0

R162 0

Q4RHU002N06

2

31

R500

10K

R490 6.8K/F

R461 10K

R67 10K

R108

*10K

R163 10K

R720

T140R49610K

R4700

C659*10P

U67SH32

2

1

5

4

3

R495 8.2K_4

C6750.047U

R66100K

R83 2.2K

R950

R52100K

C677*10PT66

R499

*10K

R99 0

R68 10K

R143 100/F

R118 0

R466 10K

D9SW1010C

21

T139

R102 *100K

R472 *10K

R85 8.2K_4

D8SW1010C

21R487

100K

R78 0

R161 10K

R81 100/F

R465 10K

R98*10K

R105 0

CLKRUN#(26,31,35,36)

SERIRQ(26,31,35,36)PCIE_WAKE#(24,32)

THERM_ALERT#(3,35)

PCLK_SMB(2,24,37)PDAT_SMB(2,24,37)

SUS_STAT#(31,35,36)

PM_BMBUSY#(7)

PM_STPPCI#(2)PM_STPCPU#(2)

SUSB# (35)SUSC# (35)

BATLOW# (35)

DNBSWON# (35)

14M_ICH (2)CLKUSB_48 (2)

RSMRST# (35)

PM_DPRSLPVR (45)

ACZ_SPKR(29)

SCI#(35)KBSMI#(35)

PLTRST# (13,16,24,31,32,36)

SWI# (35)

RI#(29)

XDP_DBRESET#(3)

DELAY_VR_PWRGOOD(7,45)

PWROK(22,35)

VR_PWRGD_CK410(2) SWAPLED# (36)

200HZ-LED (35)

LAN_DISABLE# (24)BT_ON# (32)

SWAPLED1# (36)

SMB_ALERT#(24)

BAYINS#(33,35)

FPBACK#(22)

RF_LED_OFF#(36)PENABLE(36)

PM_EXTTS#1 (7)

ICH_PWROK (24)

BAYID0 (13,33)

Page 15: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

15/15mils15/15mils

30mils

30mils

Rev_C

Rev_C

Rev_C

ICH7-M POWER (4 OF 4) 2ACustom

15 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

V5REF_SUS

V5REF(1)

GPLL_R_LGPLL_R

3VS5_ICH_SUS3

TPVCCSUSLAN1TPVCCSUSLAN2

TP_ICHVCCSUS3TP_ICHVCCSUS2

TP_ICHVCCSUS1

+5V+3V

+1.5V

+1.5V_PCIE_ICH

5V_S53V_S5

+3V

+1.5V +1.5V_GPLL_ICH

+1.5V

+1.5V

+1.5V

3V_S5

+1.5V

+1.5V

+1.5V

+1.5V

+1.5V

3V_S5

VCCRTC

3V_S5

3V_S5

+1.05V

+3V

+3V

+3V

+1.05V

3V_S5

+3V

3VPCU

C2730.1U

C2741U-10V_4

C2720.1U

T147

R134100/F_6

C2490.1U

C1530.1U

R17310/F_6

+ C171220U-2.5V

C248

0.1U

C192

0.1U

C2310.1U

C686

4.7U-10V_8

C236

0.1U

CORE

VCCA3GP

VCC PAUX

USB

ATXARX

IDE

USB CORE

PCI

U29F

ICH7-M

G10

AD17

F6

AA22AA23AB22AB23AC23AC24AC25AC26AD26AD27AD28

D26D27D28E24E25E26F23F24G22G23H22H23J22J23K22K23L22L23M22M23N22N23P22P23R22R23R24R25R26T22T23T26T27T28U22U23V22V23

W22W23Y22Y23

B27

AG28

AB7AC6AC7AD6AE6AF5AF6AG5AH5

AD2

AH11

AB10AB9

AC10AD10AE10AF10AF9AG9AH9

C1

AA2Y7

L11L12L14L16L17L18M11M18P11P18T11T18U11U18V11V12V14V16V17V18

V5V1W2W7

U6

R7

AE23AE26AH26

AA7AB12AB20AC16AD13AD18AG12AG15AG19

A5B13B16B7C10D15F9G11G12G16

W5

P7

A24C24D19D22G19

K3K4K5K6L1L2L3L6L7M6M7N7

AB17AC17

T7F17G17

AB8AC8

K7

C28G20

A1H6H7J6J7

E3

V5REF[1]

V5REF[2]

V5REF_Sus

Vcc1_5_B[1]Vcc1_5_B[2]Vcc1_5_B[3]Vcc1_5_B[4]Vcc1_5_B[5]Vcc1_5_B[6]Vcc1_5_B[7]Vcc1_5_B[8]Vcc1_5_B[9]Vcc1_5_B[10]Vcc1_5_B[11]Vcc1_5_B[12]Vcc1_5_B[13]Vcc1_5_B[14]Vcc1_5_B[15]Vcc1_5_B[16]Vcc1_5_B[17]Vcc1_5_B[18]Vcc1_5_B[19]Vcc1_5_B[20]Vcc1_5_B[21]Vcc1_5_B[22]Vcc1_5_B[23]Vcc1_5_B[24]Vcc1_5_B[25]Vcc1_5_B[26]Vcc1_5_B[27]Vcc1_5_B[28]Vcc1_5_B[29]Vcc1_5_B[30]Vcc1_5_B[31]Vcc1_5_B[32]Vcc1_5_B[33]Vcc1_5_B[34]Vcc1_5_B[35]Vcc1_5_B[36]Vcc1_5_B[37]Vcc1_5_B[38]Vcc1_5_B[39]Vcc1_5_B[40]Vcc1_5_B[41]Vcc1_5_B[42]Vcc1_5_B[43]Vcc1_5_B[44]Vcc1_5_B[45]Vcc1_5_B[46]Vcc1_5_B[47]Vcc1_5_B[48]Vcc1_5_B[49]Vcc1_5_B[50]Vcc1_5_B[51]Vcc1_5_B[52]Vcc1_5_B[53]

Vcc3_3[1]

VccDMIPLL

Vcc1_5_A[1]Vcc1_5_A[2]Vcc1_5_A[3]Vcc1_5_A[4]Vcc1_5_A[5]Vcc1_5_A[6]Vcc1_5_A[7]Vcc1_5_A[8]Vcc1_5_A[9]

VccSATAPLL

Vcc3_3[2]

Vcc1_5_A[10]Vcc1_5_A[11]Vcc1_5_A[12]Vcc1_5_A[13]Vcc1_5_A[14]Vcc1_5_A[15]Vcc1_5_A[16]Vcc1_5_A[17]Vcc1_5_A[18]

VccUSBPLL

VccSus1_05/VccLAN1_05[1]VccSus1_05/VccLAN1_05[2]

Vcc1_05[1]Vcc1_05[2]Vcc1_05[3]Vcc1_05[4]Vcc1_05[5]Vcc1_05[6]Vcc1_05[7]Vcc1_05[8]Vcc1_05[9]

Vcc1_05[10]Vcc1_05[11]Vcc1_05[12]Vcc1_05[13]Vcc1_05[14]Vcc1_05[15]Vcc1_05[16]Vcc1_05[17]Vcc1_05[18]Vcc1_05[19]Vcc1_05[20]

VccSus3_3/VccLAN3_3[1]VccSus3_3/VccLAN3_3[2]VccSus3_3/VccLAN3_3[3]VccSus3_3/VccLAN3_3[4]

Vcc3_3/VccHDA

VccSus3_3/VccSusHDA

V_CPU_IO[1]V_CPU_IO[2]V_CPU_IO[3]

Vcc3_3[3]Vcc3_3[4]Vcc3_3[5]Vcc3_3[6]Vcc3_3[7]Vcc3_3[8]Vcc3_3[9]

Vcc3_3[10]Vcc3_3[11]

Vcc3_3[12]Vcc3_3[13]Vcc3_3[14]Vcc3_3[15]Vcc3_3[16]Vcc3_3[17]Vcc3_3[18]Vcc3_3[19]Vcc3_3[20]Vcc3_3[21]

VccRTC

VccSus3_3[1]

VccSus3_3[2]VccSus3_3[3]VccSus3_3[4]VccSus3_3[5]VccSus3_3[6]

VccSus3_3[7]VccSus3_3[8]VccSus3_3[9]

VccSus3_3[10]VccSus3_3[11]VccSus3_3[12]VccSus3_3[13]VccSus3_3[14]VccSus3_3[15]VccSus3_3[16]VccSus3_3[17]VccSus3_3[18]

Vcc1_5_A[19]Vcc1_5_A[20]

Vcc1_5_A[21]Vcc1_5_A[22]Vcc1_5_A[23]

Vcc1_5_A[24]Vcc1_5_A[25]

VccSus1_05[1]

VccSus1_05[2]VccSus1_05[3]

Vcc1_5_A[26]Vcc1_5_A[27]Vcc1_5_A[28]Vcc1_5_A[29]Vcc1_5_A[30]

VccSus3_3[19] T81

C1830.1U

C1550.1U

+ C685330U-2.5V

C233

0.1U

C204

0.1U

C2350.1U

C1990.1U

R175 *0_6

L10

MPZ1608S101A

1 2

C1960.1U

C2340.1U

C2370.1U

C241

0.1U

C1660.1U

C3330.1U

C1820.1U

T73

C2030.1U

T152

D13RB461F

23

1

C2470.1U

R491

1_6

C191

0.1U

C2500.1U

C185

0.1U

U29E

ICH7-M

A4A23B1B8

B11B14B17B20B26B28C2C6

C27D10D13D18D21D24

E1E2E4E8

E15F3F4F5

F12F27F28G1G2G5G6G9

G14G18G21G24G25G26

H3H4H5

H24H27H28

J1J2J5

J24J25J26K24K27K28L13L15L24L25L26M3M4M5

M12M13M14M15M16M17M24M27M28

N1N2N5N6

N11N12N13N14N15N16N17N18N24N25N26

P3P4

P12P13P14P15P16P17P24P27

P28R1R11R12R13R14R15R16R17R18T6T12T13T14T15T16T17U4U12U13U14U15U16U17U24U25U26V2V13V15V24V27V28W6W24W25W26Y3Y24Y27Y28AA1AA24AA25AA26AB4AB6AB11AB14AB16AB19AB21AB24AB27AB28AC2AC5AC9AC11AD1AD3AD4AD7AD8AD11AD15AD19AD23AE2AE4AE8AE11AE13AE18AE21AE24AE25AF2AF4AF8AF11AF27AF28AG1AG3AG7AG11AG14AG17AG20AG25AH1AH3AH7AH12AH23AH27

VSS[1]VSS[2]VSS[3]VSS[4]VSS[5]VSS[6]VSS[7]VSS[8]VSS[9]VSS[10]VSS[11]VSS[12]VSS[13]VSS[14]VSS[15]VSS[16]VSS[17]VSS[18]VSS[19]VSS[20]VSS[21]VSS[22]VSS[23]VSS[24]VSS[25]VSS[26]VSS[27]VSS[28]VSS[29]VSS[30]VSS[31]VSS[32]VSS[33]VSS[34]VSS[35]VSS[36]VSS[37]VSS[38]VSS[39]VSS[40]VSS[41]VSS[42]VSS[43]VSS[44]VSS[45]VSS[46]VSS[47]VSS[48]VSS[49]VSS[50]VSS[51]VSS[52]VSS[53]VSS[54]VSS[55]VSS[56]VSS[57]VSS[58]VSS[59]VSS[60]VSS[61]VSS[62]VSS[63]VSS[64]VSS[65]VSS[66]VSS[67]VSS[68]VSS[69]VSS[70]VSS[71]VSS[72]VSS[73]VSS[74]VSS[75]VSS[76]VSS[77]VSS[78]VSS[79]VSS[80]VSS[81]VSS[82]VSS[83]VSS[84]VSS[85]VSS[86]VSS[87]VSS[88]VSS[89]VSS[90]VSS[91]VSS[92]VSS[93]VSS[94]VSS[95]VSS[96]VSS[97]

VSS[98]VSS[99]

VSS[100]VSS[101]VSS[102]VSS[103]VSS[104]VSS[105]VSS[106]VSS[107]VSS[108]VSS[109]VSS[110]VSS[111]VSS[112]VSS[113]VSS[114]VSS[115]VSS[116]VSS[117]VSS[118]VSS[119]VSS[120]VSS[121]VSS[122]VSS[123]VSS[124]VSS[125]VSS[126]VSS[127]VSS[128]VSS[129]VSS[130]VSS[131]VSS[132]VSS[133]VSS[134]VSS[135]VSS[136]VSS[137]VSS[138]VSS[139]VSS[140]VSS[141]VSS[142]VSS[143]VSS[144]VSS[145]VSS[146]VSS[147]VSS[148]VSS[149]VSS[150]VSS[151]VSS[152]VSS[153]VSS[154]VSS[155]VSS[156]VSS[157]VSS[158]VSS[159]VSS[160]VSS[161]VSS[162]VSS[163]VSS[164]VSS[165]VSS[166]VSS[167]VSS[168]VSS[169]VSS[170]VSS[171]VSS[172]VSS[173]VSS[174]VSS[175]VSS[176]VSS[177]VSS[178]VSS[179]VSS[180]VSS[181]VSS[182]VSS[183]VSS[184]VSS[185]VSS[186]VSS[187]VSS[188]VSS[189]VSS[190]VSS[191]VSS[192]VSS[193]VSS[194]

D10RB461F

23

1

C1650.1U

C184

0.1U

C245

0.1U

C2531U-10V_6

C2400.1UC317

0.1U

C2440.1U

T49

C186

0.1U

L391uH_6

C2510.1U

R1240.1U

C3181U-10V_6

C2320.1U

R1740_6

C1931U-10V_4

R492

0_6

C3340.1U

C2460.1U

C676

0.01U_6

C1500.1U

C205

0.1U

C1560.1U

C67810U-6.3V_8

C3000.1U

Page 16: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

NOTE: some of the PCIE testpoints willbe available trought via on traces.

M54P 1 OF 7 2AB

16 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

PEG_RXP10

PEG_TXN_C7

V_GMCHEXP_RXP5

PEG_TXN_C12

PEG_RXN8

PEG_RXN2

PEG_RXN3

PEG_TXP_C10

PEG_TXP_C5

PEG_RXN4

PEG_RXP14

PEG_TXP_C4

V_GMCHEXP_RXN5

PEG_TXN_C8

PEG_TXN_C4

V_GMCHEXP_RXP13

V_GMCHEXP_RXN2

PEG_RXP13

V_GMCHEXP_RXN6

PEG_RXP7

PEG_TXP_C8

V_GMCHEXP_RXN10

PEG_TXN_C1

PEG_TXN_C15

V_GMCHEXP_RXN8

PEG_TXP_C11

PEG_RXP4

PEG_TXP_C2

V_GMCHEXP_RXP0

V_GMCHEXP_RXP14PEG_RXN14

PEG_TXP_C14

PEG_RXN5

V_GMCHEXP_RXN13

V_GMCHEXP_RXN12

V_GMCHEXP_RXN11

PEG_TXP_C15

V_GMCHEXP_RXP1

PEG_TXP_C7

V_GMCHEXP_RXP15

V_GMCHEXP_RXP11

PEG_TXN_C6

PEG_RXP9

PEG_TXN_C11

PEG_RXP3

PEG_TXP_C9

V_GMCHEXP_RXP4

V_GMCHEXP_RXP2

PEG_RXN0

PEG_RXN7

PEG_TXP_C3

PEG_RXP2

V_GMCHEXP_RXP10

PEG_RXP0

PEG_RXN6

V_GMCHEXP_RXN4

PEG_RXP12

V_GMCHEXP_RXN3

PEG_TXN_C13

PEG_RXP6

PEG_TXN_C14

PEG_RXN15

PEG_RXP5

V_GMCHEXP_RXN9V_GMCHEXP_RXP9

V_GMCHEXP_RXP6

PEG_TXP_C12

PEG_RXP1

V_GMCHEXP_RXP3

V_GMCHEXP_RXP12

PEG_TXP_C13

PEG_RXP15

PEG_TXN_C9

PEG_RXN10

V_GMCHEXP_RXN1

PEG_TXN_C10

PEG_TXP_C6

PEG_RXN11

PEG_TXN_C3

PEG_RXN9

PEG_TXN_C5

V_GMCHEXP_RXN0

PEG_TXP_C1

PEG_RXP11

PEG_TXN_C2

V_GMCHEXP_RXN7

V_GMCHEXP_RXN15

V_GMCHEXP_RXP8

PEG_RXN12

PEG_RXN1

V_GMCHEXP_RXN14

V_GMCHEXP_RXP7

PEG_RXN13

PEG_TXP_C0

PEG_RXP8

PEG_TXN_C0

PLTRST#_M26

+1.2V_VPCIE

+3V

C259 0.1U

C279 0.1U

C265 0.1U

C285 0.1U

PART 1 OF 7

Tie To VSS

Calibration

PCI-EXPRESS

INTERFACE

Clock

U33A

M54P

AK27AJ27

AJ25AH25

AH28AG28

AG27AF27

AJ31AH31

AH30AG30

AG32AF32

AF31AE31

AF25AE25

AE28AD28

AD27AC27

AC25AB25

AE30AD30

AD32AC32

AC31AB31

AB30AA30

AL28AK28

AG24

AF24

AB28AA28

AA27Y27

Y25W25

W28V28

AA32Y32

Y31W31

W30V30

V32U32

V27U27

U25T25

T28R28

R27P27

U31T31

T30R30

R32P32

P31N31

AE24AD24

AB24

AA24

PCIE_TX0PPCIE_TX0N

PCIE_TX1PPCIE_TX1N

PCIE_TX2PPCIE_TX2N

PCIE_TX3PPCIE_TX3N

PCIE_RX0PPCIE_RX0N

PCIE_RX1PPCIE_RX1N

PCIE_RX2PPCIE_RX2N

PCIE_RX3PPCIE_RX3N

PCIE_TX4PPCIE_TX4N

PCIE_TX5PPCIE_TX5N

PCIE_TX6PPCIE_TX6N

PCIE_TX7PPCIE_TX7N

PCIE_RX4PPCIE_RX4N

PCIE_RX5PPCIE_RX5N

PCIE_RX6PPCIE_RX6N

PCIE_RX7PPCIE_RX7N

PCIE_REFCLKPPCIE_REFCLKN

PERSTB

PERSTB_MASK

PCIE_TX8PPCIE_TX8N

PCIE_TX9PPCIE_TX9N

PCIE_TX10PPCIE_TX10N

PCIE_TX11PPCIE_TX11N

PCIE_RX8PPCIE_RX8N

PCIE_RX9PPCIE_RX9N

PCIE_RX10PPCIE_RX10N

PCIE_RX11PPCIE_RX11N

PCIE_TX12PPCIE_TX12N

PCIE_TX13PPCIE_TX13N

PCIE_TX14PPCIE_TX14N

PCIE_TX15PPCIE_TX15N

PCIE_RX12PPCIE_RX12N

PCIE_RX13PPCIE_RX13N

PCIE_RX14PPCIE_RX14N

PCIE_RX15PPCIE_RX15N

PCIE_CALRNPCIE_CALRP

PCIE_CALI

PCIE_TEST

C261 0.1U

C268 0.1U

U11

TC7SH08FU

2

14

35 R211 2K/F

C284 0.1U

C262 0.1U

C283 0.1U

C278 0.1U

C282 0.1U

C289 0.1U

C264 0.1U

C255 0.1U

C277 0.1U

C258 0.1U

C290 0.1U

C266 0.1U

C257 0.1U

C254 0.1U

C286 0.1U

C288 0.1U

R189 562/F

C267 0.1U

C280 0.1U

C2760.1U_6

C287 0.1U

C269 0.1U

C292 0.1U

C256 0.1U

T92

R149 *0

R194 1.47K/F

C291 0.1U

C281 0.1U

C260 0.1U

C263 0.1U

PEG_RXN[15:0](7)PEG_RXP[15:0](7)

PEG_TXP_C[0..15](7)

CLK_PCIE_PEG(2)

PEG_TXN_C[0..15](7)

CLK_PCIE_PEG#(2)

PLTRST#(13,14,24,31,32,36)

Page 17: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

MEMORY CLOCK SPREADSPECTRUM

10 mil trace /10 mil space

Memory ID

ADDRESS: 9AH

Thermal Sensor

CRT

PLACE CLOSE TO ASIC

TV_OUT

MK1726-8

DVPDATA_[23:20] Memory Chanel Vendor Part No Package organized0000 Reserved

0001 A Samsung K4J55323QG-BC20 256M(8Mx32) GDDR3-136P 64M memory aperture 0010 B Samsung K4J55323QG-BC20 256M(8Mx32) GDDR3-136P 64M memory aperture)

0011 A& B Samsung K4J55323QG-BC20 256M(8Mx32) GDDR3-136P 128M memory aperture)

0100 A Hynix HY5RS573225AFP-2 256M(8Mx32) GDDR3-136P 64M memory aperture)

0101 B Hynix HY5RS573225AFP-2 256M(8Mx32) GDDR3-136P 64M memory aperture 0110 A& B Hynix HY5RS573225AFP-2 256M(8Mx32) GDDR3-136P 128M memory aperture)

0111 A Infineon HYB18H256321AF-12 256M(8Mx32)GDDR3-136P 64M memory aperture)

1000 B Infineon HYB18H256321AF-12 256M(8Mx32)GDDR3-136P 64M memory aperture)

1001 A& B Infineon HYB18H256321AF-12 256M(8Mx32)GDDR3-136P 128M memory aperture)

1010 Reserved 1011 Reserved) 1100 Reserved 1101 A Infineon HYB18H512321AFL-20 512M(16Mx32)GDDR3-136P 128M memory aperture)

1110 B Infineon HYB18H512321AFL-20 512M(16Mx32)GDDR3-136P 128M memory aperture)

1111 A& B Infineon HYB18H512321AFL-20 512M(16Mx32)GDDR3-136P 256M memory aperture)

Rev_D

M54P 2 OF 7 2AC

17 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

VREFG_M54

VGA_ALERT

V_DDCCLK

+MPVDD

VGATHRM-

V_DDCDATA

OSC_SPREAD

MEM_ID0

VGATHRM+

MEM_ID2

TESTEN

+AVDD

GPIO2GPIO3GPIO4

GPIO7

GPIO11

GPIO5

GPIO10

GPIO8

GPIO6

GPIO13

GPIO1

GPIO9

GPIO12

GPIO0

GPIO7

VGATHRM+

-VGATHRM

781-1_SMDAT

VGA_ALERT

781-1_3V

VTHM_CLK

VGATHRM-

781-1_SMCLK

VTHM_DAT

V_HSYNC_COM

V_TV_COMP

V_TV_Y/G

V_TV_C/R

V_CRT_B_COM

V_TV_Y/G

V_CRT_R_COM

V_DDCCLK

V_CRT_R_COM

V_DDCDATA

V_CRT_G_COM

VTHM_DAT

V_CRT_R_COM

V_TV_COMP

VTHM_CLK

V_TV_C/R

V_CRT_G_COM

V_CRT_B_COM

V_VSYNC_COM

V_CRT_B_COM

V_CRT_G_COM

V_CRT_R_COM

V_HSYNC_COM

V_CRT_B_COMV_CRT_G_COM

V_VSYNC_COM

V_TV_COMPV_TV_C/RV_TV_Y/G

EDID_DATA

V_DDCDATA3V_DDCCLK3

GPIO11

GPIO13GPIO12

GPIO10

MEM_ID1

MEM_ID3

MEM_ID3MEM_ID1MEM_ID0MEM_ID2

1726_S0

27M_IN

MK_PD

XT_IN

XT_

OU

T

XT_IN

MK1726_VDD

27MOUT

MK_PD

1726_CKO

1726_S0

27M_O

VGA27M

OSC_SPREAD MK_27M

XT_OUT

27M_IN

27M_O

EDID_CLK

+2.5V

+2.5V

+2.5V

+2.5V

+2.5V

+2.5V

+2.5V

+3V

+A2VDDQ

+VDD2DI

+AVDD

+TPVDD

+1.2V_VGA

TXVDDR

+2.5V+VDDDI

+MPVDD

+PVDD

+3V+3V

+3V

+3V

+3V

+3V

+3V +3V

+3V

+3V

R621 100/F

T115

R595 *0

R137 121/F

R266 0

C8272200P_6

T95

C3550.1U

T100

R622 10K

T111

T114

R593 6.8K/F_6

R638 0

T171

C4281U-16V_6

U38

G781-1P8

1

2

3

45

6

7

8 VCC

DXP

DXN

-OVTGND

-ALT

SMDATA

SMCLK

R165 33

T99

L14 BLM18PG181SN1D

C270*10P

C32722U-10V_8

R158*10K

C3831U-16V_6

R1480

T107

T106

C4440.1U

R193 1K

C3451U-16V_6

T113

T104

T108

R230 150/F

T172

C3820.1UL23

BLM18PG181SN1D

C41222U-10V_8

C4060.1U

C819

22P

T105T109

R29010K

C3401U-16V_6

R147*0

T94

R2081K

R243 150/F

T97

R685 0

C446

1U-16V_6

T93

R128 33

R637 0

T102

R5971M_6

T110

L12 BLM18PG181SN1D

C3810.1U

R191 150/F

T112

L11 BLM18PG181SN1D

R679 0

C818

22P

C342 *10P

R581 6.8K/F_6

T96

R684 0C252

0.1U

C326

0.1U

C43022U-10V_8

L27BLM18PG181SN1D

R271 10K

R190 150/F

R26210K

C27122U-10V_8

T98

C332 *10P

L18BLM18PG181SN1D

C37722U-10V_8

R231

715/F

T101

R28910K

C4031U-16V_6

R596 *0

R229 150/F

C4451U-16V_6

R25810K

L21

*BLM18PG181SN1D

R248499/F

C4341U-16V_6

C824 0.1U

Y7

27MHz

C38922U-10V_8

T173

R589 *33

L25BLM18PG181SN1D

R582 6.8K/F_6

R681 0

R249499/F

R127*10K

C3990.1U

C352 *10P

R639 0

U10

CY25819

1234 5

678XIN

VSSSRSSSCLK REF

PDVDD

XOUT

T174

C410*22U-10V_8

R588 499/F

R683 0R682 0

R144

71.5/F

C3841U-16V_6

R157*10K

R590 *33

L22BLM18PG181SN1D

R594 6.8K/F_6

R680 0

C44222U-10V_8

C440

0.1UR198 150/F

R261 0

C44922U-10V_8

PART 2 OF 7

LVDS PLLand I/OGND

External SSC

ROM

VIDEO

&

MULTIMEDIA

Exp

and

GP

IO V

IP H

ost/E

xter

nal

TM

DS

General Purpose I/O

ThermalDiode

PLL &XTAL

Test

MonitorInterface

DAC2 (TV/CRT2)

DAC / CRT

Integrated TMDS

LVDS PLLand I/OGND

U33B

M54-P

AF10AG10

AH9AJ8AH8AG9AH7AG8

AF7

AH6AF8

AE10AE9

AG7AF9

AE13AF13

AK4AL4

AF2AF1AF3AG1AG2AG3AH2AH3AJ2AJ1AK2AK1AK3AL2AL3AM3AE6AF4AF5AG4AJ3AH4AJ4AG5AH5AF6AE7AG6

AD4AD2AD1AD3AC1AC2AC3AB2AC6AC5AC4AB3AB4AB5AD5AB8AA8AB7

AC8

AG12

AH12

AJ14

AH14

A6A5

AL26AM26

AG14

AK17AJ19AF18AH17AG17

AE18

AE23

AC7

AG22

AE12AF12

AH13AG13

AH22AH23

AF11

AL9AM9

AK10AL10

AL11AM11

AL12AM12

AM8

AL8

AJ6AK6AL6AM6

AJ7AK7AL7AM7

AK24AM24AL24

AJ23AJ22AK22

AF23

AL22

AL25AM25

AK23AK25AJ24

AM23

AL23

AK15AM15AL15

AF15AG15

AJ15AJ13AH15

AK14

AM16AL16

AM17AL17

AL14

AK13

AJ16

AJ17

AB6

AG19AH19 AF21

AF17AF22

AK9AJ9

AK11AJ11

AK12AJ12

AK8

GPIO_27GPIO_28GPIO_29GPIO_30GPIO_31GPIO_32GPIO_33GPIO_34

GPIO_24

GPIO_26GPIO_25

GPIO_22GPIO_23

GPIO_21GPIO_20

GPIO_18GPIO_19

NC_DVOVMODE_0NC_DVOVMODE_1

DVPCNTL_0DVPCNTL_1DVPCNTL_2DVPCLKDVPDATA_0DVPDATA_1DVPDATA_2DVPDATA_3DVPDATA_4DVPDATA_5DVPDATA_6DVPDATA_7DVPDATA_8DVPDATA_9DVPDATA_10DVPDATA_11DVPDATA_12DVPDATA_13DVPDATA_14DVPDATA_15DVPDATA_16DVPDATA_17DVPDATA_18DVPDATA_19DVPDATA_20DVPDATA_21DVPDATA_22DVPDATA_23

GPIO_0GPIO_1GPIO_2GPIO_3GPIO_4GPIO_5GPIO_6GPIO_7_BLONGPIO_8GPIO_9GPIO_10GPIO_11GPIO_12GPIO_13GPIO_14GPIO_15GPIO_16GPIO_17

VREFG

DPLUS

DMINUS

PVDD

PVSS

MPVDDMPVSS

XTALINXTALOUT

PLLTEST

LVSSR_1LVSSR_2LVSSR_3LVSSR_4LVSSR_5

LPVSS

GENERICC

ROMCSb

TESTEN

DDC3DATADDC3CLK

DDC2DATADDC2CLK

DDC1DATADDC1CLK

HPD1

TXCMTXCP

TX0MTX0P

TX1MTX1P

TX2MTX2P

TPVDD

TPVSS

TXVDDR_1TXVDDR_2TXVDDR_3TXVDDR_4

TXVSSR_1TXVSSR_2TXVSSR_3TXVSSR_4

RGB

HSYNCVSYNC

GENERICA

GENERICB

RSET

AVDD_1AVDD_2

AVSSQAVSSN_1AVSSN_2

VDD1DI

VSS1DI

R2G2B2

H2SYNCV2SYNC

YC

COMP

R2SET

A2VDD_1A2VDD_2

A2VSSN_1A2VSSN_2

NC_A2VDDQ

A2VSSQ

VDD2DI

VSS2DI

NC_AB6

LVSSR_6LVSSR_7 LVSSR_8

LVSSR_9LVSSR_10

TX3MTX3P

TX4MTX4P

TX5MTX5P

TXVSSR_5

C35422U-10V_8

R259 0

MEMTYP_0(19)

TMDS_HPD

MEMTYP_1(19)

DC_STRAP2(19)DC_STRAP3(19)DC_STRAP4(19)

GPIO[13..0](19)

GENERICC (19)

V_PWRCNTL(44)

THCLK_SMB(3,11,36)THDAT_SMB(3,11,36)

TV_COMP (7,23,37)

TV_Y/G (7,23,37)

CRT_G_COM (7,23)

DDCDATA (7,23)

VSYNC_COM (7,23)

TV_C/R (7,23,37)

CRT_R_COM (7,23)

CRT_B_COM (7,23)

HSYNC_COM (7,23)

DDCCLK (7,23)

V_HSYNC_COM (19)V_VSYNC_COM (19)

EDID_CLK(7,22)EDID_DATA(7,22)

Page 18: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

VDDR4 for DVPDATA[12..23]

VDDR5 for DVPDATA[0..11]

M54P POWER 2AC

18 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

+2.5V_LVDDR

+2.5V_LPVDD

+1.2V_VDDPLL

+1.2V_VGA_VDDC

BBN_M54

+1.2V_BBP

+2.5V_VDDR25

+1.8V_VDDRH

V_TXUCLKOUT-

V_TXUOUT0-

V_TXUOUT2-

V_TXUOUT1-

V_TXUOUT1-V_TXUOUT0+

V_TXLOUT2+V_TXUOUT1+V_TXLOUT2-

V_TXLOUT0-

V_TXUCLKOUT+

V_TXLOUT1-

V_TXLOUT1-

V_TXUOUT2+

V_TXLCLKOUT-

V_TXLOUT0+

V_TXLOUT2+

V_TXLCLKOUT+

V_TXLOUT0-

V_TXLOUT1+

V_TXLOUT2-

V_TXUOUT1+

V_TXUOUT0-

V_TXUOUT2+

V_TXUOUT0+

V_TXUOUT2-

V_TXLCLKOUT-

V_TXLOUT1+

V_TXLOUT0+

V_TXUCLKOUT-

V_TXLCLKOUT+

V_TXUCLKOUT+

+3V

+3V

+1.2V_VPCIE

+1.2V_VGA

+2.5V

+2.5V

+2.5V

+2.5V

+1.2V_VGA

+1.2V_VGA

+2.5V

+VDDR5

+VDDR4

+1.2V_VPCIE

+1.2V_VPCIE

+1.2V_VPCIE

+3V

+1.8V

+1.8V

C3670.1U

C4851U-16V_6

C8170.1U

C3730.1U

C3531U-16V_6

C78822U-10V_8

C4230.1U

C4311U-16V_6

RP69 0X224

13

C46622U-10V_8

C4151U-16V_6

C4861U-16V_6

C3781U-16V_6

C8011U-16V_6

C3920.1U

C3610.1U

C4330.1U

C4651U-16V_6

C8101U-16V_6

RP76 0X224

13

R227

0

C7431U-16V_6

C4220.1U

C3900.1U

C4841U-16V_6

C3790.1U

C3080.1U

PART 7 OF 7

Forward

Compatibility

LVDS channel

Control and External SSC

U33G

M54-P

AD12AE11AD23

AJ21AK21AH21AG21AG20AH20AK20AJ20AG18AH18

AK19AL19AL20AM20AL21AM21AK18AJ18AL18AM18

Y23K15R10

AC17AC14

M23V10K18

L10K22

AA10

VARY_BLDIGON

GENERICD

TXCLK_UPTXCLK_UN

TXOUT_U3PTXOUT_U3NTXOUT_U2PTXOUT_U2NTXOUT_U1PTXOUT_U1NTXOUT_U0PTXOUT_U0N

TXOUT_L0NTXOUT_L0PTXOUT_L1NTXOUT_L1PTXOUT_L2NTXOUT_L2PTXOUT_L3NTXOUT_L3P

TXCLK_LNTXCLK_LP

BBN_4BBN_3BBN_2BBN_1BBP_4BBP_3BBP_2BBP_1

VDD25_4VDD25_5VDD25_6

C33710U-6.3V_8

C3701U-16V_6

C3031U-16V_6

C37222U-10V_8

C4290.1U

RP71 0X224

13

C3431U-16V_6

C4250.1U

L15BLM18PG181SN1D

C4240.1U

L16BLM18PG181SN1D

C4831U-16V_6

C4821U-16V_6

C4000.1U

C3980.1U

C3510.1U

C3971U-16V_6

L62BLM18PG181SN1D

C7441U-16V_6

C3750.1U

C36822U-10V_8

C81622U-10V_8

C3640.1U

C4161U-16V_6

R2280

C38010U-6.3V_8

C3860.1U

C4070.1U

C2981U-16V_6

C3910.1U

C3470.1U

L61BLM18PG181SN1D

C32322U-10V_8

C7450.1U

C3580.1U

C3961U-16V_6

C3660.1U

C4671U-16V_6

C75522U-10V_8

C37122U-10V_8

C7511U-16V_6

PART 5 OF 7

Mem

ory I/OI/0

Memory

I/O

Clock

LVDS PLL, I/O

I/O Internal

Core

PCI-Express

POWER

U33E

M54-P

C1J1

M1R1V1

AA1A3P9

J10N9

P10A9

Y10P8R9Y9

J11A21M10N10

Y8J18J19K21A12H13A15J20J13K11K19A18L23K20K24L24H19A24K13J32A30C32F32L32

AB9AB10

AA9AC19AD18AC20AD19AD20

AJ5AM5AL5AK5

AE2AE3AE4AE5

A27F1

A28E1

V23N23

N29N28N27N26N25

AL31AM31AM30AL32AL30

AC11AC12P14U15W14W15R17R15V15V16T16U16T17U17V14R18T18V18P18P19R19W19

AC13AC16AC18

W10T14W17P16

AC15

T23K14U19

AE19

AF20AE20

AC21AC22

AE21AD21

AD11

AM28AL29AM29AM27

P23U23

AF19

AD22

AE22

VDDR1_1VDDR1_2VDDR1_3VDDR1_4VDDR1_5VDDR1_6VDDR1_7VDDR1_8VDDR1_9VDDR1_10VDDR1_11VDDR1_12VDDR1_13VDDR1_14VDDR1_15VDDR1_16VDDR1_17VDDR1_18VDDR1_20VDDR1_21VDDR1_22VDDR1_23VDDR1_24VDDR1_25VDDR1_26VDDR1_27VDDR1_28VDDR1_29VDDR1_30VDDR1_31VDDR1_32VDDR1_33VDDR1_34VDDR1_35VDDR1_36VDDR1_37VDDR1_38VDDR1_39VDDR1_40VDDR1_41VDDR1_42VDDR1_43VDDR1_45VDDR1_46

VDDR3_1VDDR3_2VDDR3_3VDDR3_4VDDR3_5VDDR3_6VDDR3_7VDDR3_8

VDDR4_1VDDR4_2VDDR4_3VDDR4_4

VDDR5_1VDDR5_2VDDR5_3VDDR5_4

VDDRH0VDDRH1

VSSRH0VSSRH1

PCIE_PVDD_12_1PCIE_PVDD_12_2

PCIE_VDDR_12_10PCIE_VDDR_12_11PCIE_VDDR_12_12PCIE_VDDR_12_13PCIE_VDDR_12_14

PCIE_VDDR_12_1PCIE_VDDR_12_2PCIE_VDDR_12_3PCIE_VDDR_12_4PCIE_VDDR_12_5

VDDC_1VDDC_2VDDC_3VDDC_4VDDC_5VDDC_6VDDC_7VDDC_8VDDC_9

VDDC_10VDDC_11VDDC_12VDDC_13VDDC_14VDDC_15VDDC_16VDDC_17VDDC_18VDDC_19VDDC_20VDDC_21VDDC_22

VDD25_1VDD25_2VDD25_3

VDDCI_1VDDCI_2VDDCI_3VDDCI_4

VDDPLL

VDDCI_5VDDCI_6VDDCI_7

LPVDD/VDDL0

LVDDR/VDDL0_1LVDDR/VDDL0_2

LVDDR/VDDL1_1LVDDR/VDDL1_2

LVDDR/VDDL2_1LVDDR/VDDL2_2

VDDC_23

PCIE_VDDR_12_6PCIE_VDDR_12_7PCIE_VDDR_12_8PCIE_VDDR_12_9

PCIE_PVDD_12_3PCIE_PVDD_12_4

LVDDR/VDDL0_3

LVDDR/VDDL1_3

LVDDR/VDDL2_3

C7730.1U

C3490.1U

C8131U-16V_6

RP74 0X224

13

RP73 0X224

13

C3880.1U

L20

BLM18PG181SN1D

C4631U-16V_6

C7990.1U

C4541U-16V_6

C4020.1U

C3760.1U

L17BLM18PG181SN1D

C38522U-10V_8

C81422U-10V_8

C3591U-16V_6

RP70 0X224

13

C3280.1U

C3050.1U

C3691U-16V_6

C3930.1U

C48122U-10V_8

C3601U-16V_6

C4210.1U

C3571U-16V_6

C81522U-10V_8

RP72 0X224

13

C4380.1U

C3650.1U

C4130.1U

C4051U-16V_6

C3870.1U

C74122U-10V_8

C8111U-16V_6

C7811U-16V_6

RP75 0X224

13

C4871U-16V_6

C4040.1U

C3500.1U

L19 BLM18PG181SN1D

C4320.1U

C3561U-16V_6

C4641U-16V_6

C3100.1U

C4141U-16V_6

C3210.1U

C3060.1U

C4570.1U

L51BLM18PG181SN1D

C48022U-10V_8

C4260.1U

C7671U-16V_6

C3480.1U

TXUCLKOUT- (7,22)

TXUOUT0- (7,22)

TXLOUT0+ (7,22)

TXUOUT1+ (7,22)

TXLOUT0- (7,22)

TXUOUT2- (7,22)

TXLCLKOUT- (7,22)

TXLOUT1+ (7,22)

TXLOUT2- (7,22)

TXUCLKOUT+ (7,22)

TXUOUT0+ (7,22)

TXLOUT1- (7,22)

TXLOUT2+ (7,22)

TXUOUT1- (7,22)

TXUOUT2+ (7,22)

TXLCLKOUT+ (7,22)

BLON (7,22)DISP_ON (7,22)

Page 19: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

RSVD

ROMIDCFG(3:0)

Force chip to get to compliance state quicklyfor Tester purposes

1100 - Serial M25P05 ROM (ST), chip IDis from ROM

010x - No Rom, MEM_AP_SIZE=10

DESCRIPTION

Force_Compliance

Add Text "Populate to Enable Debug" Beside JU23 on Silkscreen.

1011 - Serial M25P10 ROM (ST), chip IDis from ROM

Strap to set the debug muxes to bring out DEBUGsignals even if registers are inaccessible

OPTION STRAPS

0

GPIO0

1000 - Parallel ROM, chip IDis from ROM

GPIO(9,13:11)

Transmitter De-emphasis Enable 0: Txde-emphasis disabled 1.Tx de-emphasis enabled

TX_PWRS_ENB

011x - No ROM,MEM_AP_SIZE=11

No default

PCIE_TEST

Overlap pads to save spaceand to prevent assembly of both resistors.

If no ROM attached, comtrols chip IDis. If rom attached identifies ROM type

HSYNC

ASIC DEFAULT

Transmitter Power Saving Enable 0: 50% Txoutput swing 1.full Tx output swing

1010 - Serial AT45DB011 ROM (Atmel), chip IDis from ROM

STRAPS

GPIO1

RSVD

000x - No ROM, MEM_AP_SIZE=00

DEBUG_ACCESS

0

RSVD

1001 - Serial AT25F1024 ROM (Atmel), chip IDis from ROM

Layout

RSVD

RSVD

GPIO8

Ground

RSVD

VSYNC

GPIO6

High logic voltage

Indicates if any slave VIP host devices drove thispin low during reset. 0- Slave VIP host portdeviced present. 1-No slave VIP port devicesreporting presence during reset

RSVD

GPIO5

Signal

VSYNC

001x - No ROM,MEM_AP_SIZE=01

VIP_DEVICE

TX_DEEMPH_EN

H2SYNC,V2SYNC,GENERICC

1100 - Serial NX25F011B ROM (ISSI), chip IDis from ROM

PIN

M54-P Strap

GPIO4

GPIO(3:2)

PAL/NTSC

00 - DAC2 Off

10 - DAC2 On as TVOUT

Video capture enable

Memory connected to R420 identification for BIOS

DESCRIPTION

000

11 - TBD

1

00 - Samsung GDDR 3 memory 144 Ball BGA package

Video Capture Enabled

DC_Strap4, DEMUX_SEL

DVALID,PSYNC.

10 - TBD

1

LCDDATA(13)

VALUE

Board Straps

0 - Disabled

11 - DAC2 On as TVOUT and CRT

DC_Strap2

HDTV out detect

0

0 - PAL (on board resistor pull-down and switch closed)

PIN

01 - TBD

MEMTYPE(1:0)

LCDDATA(14)

REV. 0.3

DC_Strap1

LCDDATA(18)

01 - DAC2 On as CRT

1 - Enabled

1 -NTSC (on board resistor pull-up)

1 - Enabled

Internal TMDS Enabled

STRAPS

0 - DetectedDC_Strap3

1 - Not detected

GPIO(10)

01

0 - Disabled

TVO Standard Default (Resistor pull-up and switch short to GND)

1

LCDDATA(15,19)

LCDDATA(15,19)

Memory Aperture Size SelectWhen no ROM is attached, GPIO[9] is set to 0.GPIO[13:12] is used to select the memory aperturesize.GPIO[13:12] = 00: 128M memory aperture, same as ROMstrap 00GPIO[13:12] = 01: 256M memory aperture, same as ROMstrap 01GPIO[13:12] = 10: 64M memory aperture, same as ROMstrap 10GPIO[13:12] = 11: reserved, same as ROM strap 11

GPIO[26:25] Vendor Part No Package organized00 Samsung K4J55323QG-BC20 256M(8Mx32) GDDR301 Samsung K4J52324QC-BC20 512M(16Mx32) GDDR310 Infineon HYB18H256321AF-12 256M(8Mx32) GDDR311 Infineon HYB18H512321AFL-20 512M(16Mx32) GDDR3 Hynix HY5RS123235F- 512M(16Mx32) GDDR3

MEMTYP[0:1]

M54P CORE GND & OPTION STRAPS(4 OF 6) 2AC

19 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

GPIO[13..0]

GPIO1

GPIO5

GPIO6

GPIO9

GPIO4

GPIO8

GPIO12

GPIO13

GPIO11

GPIO3

GPIO2

GPIO0

+3V+1.8V +1.8V

+3V

R247 *10K

R306 *10K

R310 10K

R568 *10K

R203 *10K

R574 *10K

R275 *10K

R298 *10K

R297 10K

R260 *10K

R567 10K

R205 *10K

Part 6 of 7

PCI-Express GND

CORE GND

U33F

M54-P

B1H1

P1U1Y1

AH27AC23AL27R23P25R25T26U26

K10M8

T10E12

W26

AF14AD8

C5

L6

Y26

M6P6

AB26AC26AD25

AG16R3

AE26AF26

F6H7J6

M7L7M9M3P5P7AA6AD16

AD17

A8U7C10E9F3J9N7N3Y5

AC10Y6U6E5

A11U8U9U10R6AD6V6AD14AD13

P28U28Y28

AC28AH26AG25AD26

F15K16J21

AF28AH29

F16W18U18

V29

AE17A19H32F19

AJ32AJ26V26

AB27W27

V19

AC29

C21F21AE14

U5F22

AK29

K30C24F24

P26

A25D30E25

W29U29T29R29P29

H21C27E32H28J30K17K27

Y29

A22C20E19H20

AA29

M28J28J16F30

AJ29AG29AF29AE29AD29

AG31

AB29

AK26AK30AG26

N30R31

AF30AC30

V31P30

AA31U30

AD31AK32AJ28Y30

AJ30AK31AA23

AD7AE8AL1

A2AM2

E8H5

AC9

J3

AA4AG11

V3

C6C9

AH11

D11J12K12A13F13E13

H16T15V17C15C4U14P15A16E16G13G16P17R16R14W16C18

AE16

G19N8Y7T19

G21

F18

M24

G25G20G22F27E28

M32

J24

K23

L29A31B32E30

N24

L1

F10

P24R24

R26

T24

T27

U24V24

V25

W23

W24Y24

AA25

AA26

AB23

AC24

AE15

AE27

AG23AD9AF16

AH24

AH10AJ10

AK16

AD15AH16

AD10

AL13

AM13

VSS_1VSS_2

VSS_4VSS_5VSS_6

PCIE_VSS_1PCIE_VSS_2PCIE_VSS_3PCIE_VSS_4PCIE_VSS_5PCIE_VSS_6PCIE_VSS_7PCIE_VSS_8

VSS_15VSS_16VSS_17VSS_18

PCIE_VSS_9

VSS_20VSS_21VSS_22

VSS_25

PCIE_VSS_10

VSS_26VSS_27

PCIE_VSS_11PCIE_VSS_12PCIE_VSS_13

VSS_31VSS_32

PCIE_VSS_14PCIE_VSS_15

VSS_35VSS_36VSS_37

VSS_45VSS_44VSS_43VSS_42VSS_41VSS_40VSS_39VSS_38

VSS_46

VSS_48VSS_49VSS_50VSS_51VSS_52VSS_53VSS_54VSS_55VSS_56

VSS_58VSS_59VSS_60VSS_61

VSS_63VSS_64VSS_65VSS_66VSS_67VSS_68VSS_69VSS_70VSS_71

PCIE_VSS_22PCIE_VSS_21PCIE_VSS_20PCIE_VSS_19PCIE_VSS_18PCIE_VSS_17PCIE_VSS_16

VSS_78VSS_79VSS_80

PCIE_VSS_24PCIE_VSS_23

VSS_97VSS_98VSS_99

PCIE_VSS_25

VSS_101VSS_102VSS_103VSS_104

PCIE_VSS_31PCIE_VSS_30PCIE_VSS_29PCIE_VSS_28PCIE_VSS_27

VSS_109

PCIE_VSS_26

VSS_111VSS_112VSS_113

VSS_115VSS_116

PCIE_VSS_32

VSS_118VSS_119VSS_120

PCIE_VSS_33

VSS_122VSS_123VSS_124

PCIE_VSS_38PCIE_VSS_37PCIE_VSS_36PCIE_VSS_35PCIE_VSS_34

VSS_130VSS_131VSS_132VSS_133VSS_134VSS_135VSS_136

PCIE_VSS_39

VSS_138VSS_139VSS_140VSS_141

PCIE_VSS_40

VSS_143VSS_144VSS_145VSS_146

PCIE_VSS_46PCIE_VSS_45PCIE_VSS_44PCIE_VSS_43PCIE_VSS_42

PCIE_VSS_65

PCIE_VSS_41

PCIE_VSS_47PCIE_VSS_48PCIE_VSS_49PCIE_VSS_50PCIE_VSS_51PCIE_VSS_52PCIE_VSS_53PCIE_VSS_54PCIE_VSS_55PCIE_VSS_56PCIE_VSS_57PCIE_VSS_58PCIE_VSS_59PCIE_VSS_60PCIE_VSS_61PCIE_VSS_62PCIE_VSS_63PCIE_VSS_64

VSS_7VSS_8VSS_9VSS_10VSS_11

VSS_13VSS_14

VSS_19

VSS_24

VSS_28VSS_29VSS_30

VSS_33VSS_34

VSS_47

VSS_72VSS_73VSS_74VSS_75VSS_76VSS_77

VSS_81VSS_82VSS_83VSS_84VSS_85VSS_86VSS_87VSS_88VSS_89VSS_90VSS_91VSS_92VSS_93VSS_94VSS_95VSS_96

VSS_100

VSS_105VSS_106VSS_107VSS_108

VSS_110

VSS_117

VSS_121

VSS_125VSS_126VSS_127VSS_128VSS_129

VSS_137

VSS_142

VSS_159

VSS_147VSS_148VSS_149VSS_150

PCIE_VSS_66

VSS_3

VSS_23

PCIE_VSS_68PCIE_VSS_69

PCIE_VSS_79

PCIE_VSS_70

PCIE_VSS_81

PCIE_VSS_71PCIE_VSS_72

PCIE_VSS_77

PCIE_PVSS

PCIE_VSS_73PCIE_VSS_74

PCIE_VSS_78

PCIE_VSS_80

PCIE_VSS_67

PCIE_VSS_75

VSS_151

PCIE_VSS_82

VSS_152VSS_153VSS_154

PCIE_VSS_76

VSS_155VSS_156

VSS_114

VSS_157VSS_158

VSS_12

VSS_62

VSS_57

R299 *10K

R301 *10K

R292 *10K

R300 *10K

R250 *10K

R570 *10K

L13

BLM

18P

G18

1SN

1D

R251 *10K

R296 10K

R201 *10K

R569 *10K

R293 10KR294 *10K

R295 10K

R572 10K

R246 *10K

DC_STRAP2 (17)

V_HSYNC_COM(17)

MEMTYP_0(17)

GPIO[13..0](17)

V_VSYNC_COM(17)

MEMTYP_1(17)

GENERICC(17)

DC_STRAP3(17)GPIO10(17)

DC_STRAP4 (17)

Page 20: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

RV410 MEMORY CHANNELS A and BChannel AChannel B

M54P 3/4 OF 7 2ACustom

20 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

TEST_YCLK

VRAM_REF1

VRAM_REF0

VRAM_REF2VRAM_REF3

TEST_MCLK

DQB_0

DQB_8

DQB_12

DQB_4

DQB_9

DQB_15

DQB_7DQB_6

DQB_1DQB_2

DQB_14

DQB_5

DQB_3

DQB_13

DQB_10DQB_11

DQB_16

DQB_24

DQB_28

DQB_20

DQB_25

DQB_31

DQB_23DQB_22

DQB_17DQB_18

DQB_30

DQB_21

DQB_19

DQB_29

DQB_26DQB_27

DQB_61

DQB_48

DQB_54

DQB_37

DQB_59

DQB_42

DQB_57

DQB_33

DQB_46

DQB_58

DQB_47

DQB_36

DQB_60

DQB_63

DQB_55

DQB_38

DQB_34

DQB_50

DQB_62

DQB_53

DQB_56

DQB_40

DQB_32

DQB_52

DQB_35

DQB_44

DQB_49

DQB_39

DQB_43

DQB_51

DQB_41

DQB_45

A_BA1A_BA0

MAA11

MAA7

MAA4MAA3

A_BA2

MAA10MAA9

MAA6

MAA1

MAA12

MAA5

MAA0

MAA8

MAA2

DQA_58

DQA_14

DQA_34

DQA_10

DQA_0

DQA_28

DQA_48

DQA_41

DQA_63

DQA_19

DQA_5

DQA_17

DQA_61

DQA_49

DQA_13

DQA_27

DQA_33

DQA_9

DQA_29

DQA_22

DQA_24

DQA_43

DQA_36

DQA_23

DQA_57

DQA_51

DQA_54

DQA_4

DQA_12

DQA_38

DQA_8

DQA_31

DQA_52DQA_53

DQA_44

DQA_16

DQA_42

DQA_3

DQA_39

DQA_30

DQA_7

DQA_37

DQA_25

DQA_60

DQA_32

DQA_62

DQA_40

DQA_45

DQA_15

DQA_2

DQA_26

DQA_59

DQA_21

DQA_47

DQA_18

DQA_46

DQA_11

DQA_20

DQA_56DQA_55

DQA_6

DQA_50

DQA_1

DQA_35

MAB4

MAB8

MAB11

B_BA0

MAB5

MAB12

MAB2

B_BA1

B_BA2

MAB1

MAB7MAB6

MAB0

MAB3

MAB9MAB10

+1.8V

+1.8V

+1.8V

+1.8V

R273100/F

R153100/F

Part 4 of 7

MEM

OR

Y IN

TER

FAC

E B

write strobe

read strobe

U33D

M54-P

B12C12B11C11C8B7C7B6

F12D12E11F11F9D8D7F7

G12G11H12H11H9E7F8G8G6G7H8J8K8L8K9L9K5L4K4L5N5N6P4R4P2R2T3T2

W3W2Y3Y2T4R5T5T6V5W5W6Y4R8T8R7T7V7W7W8W9

B3C3

AA3

AA5

AA2

AA7

G4E6E4H4J5G5F4H6G3G2D4F2

H2H3

F5

B8D9G9K7M5V2W4T9

B9D10H10K6N4U2U4V8

B10E10G10J7M4U3V4V9

D6

B4B5

N2P3

C2

E2

D3

B2

D2E3

L3

J2

L2

M2

K2K3

D5

J4

DQB_0DQB_1DQB_2DQB_3DQB_4DQB_5DQB_6DQB_7DQB_8DQB_9DQB_10DQB_11DQB_12DQB_13DQB_14DQB_15DQB_16DQB_17DQB_18DQB_19DQB_20DQB_21DQB_22DQB_23DQB_24DQB_25DQB_26DQB_27DQB_28DQB_29DQB_30DQB_31DQB_32DQB_33DQB_34DQB_35DQB_36DQB_37DQB_38DQB_39DQB_40DQB_41DQB_42DQB_43DQB_44DQB_45DQB_46DQB_47DQB_48DQB_49DQB_50DQB_51DQB_52DQB_53DQB_54DQB_55DQB_56DQB_57DQB_58DQB_59DQB_60DQB_61DQB_62DQB_63

MVREFD_1MVREFS_1

DRAM_RST

TEST_MCLK

TEST_YCLK

MEMTEST

MAB_0MAB_1MAB_2MAB_3MAB_4MAB_5MAB_6MAB_7MAB_8MAB_9

MAB_10MAB_11

MAB_14MAB_15

MAB_12

DQMBb_0DQMBb_1DQMBb_2DQMBb_3DQMBb_4DQMBb_5DQMBb_6DQMBb_7

QSB_0QSB_1QSB_2QSB_3QSB_4QSB_5QSB_6QSB_7

QSB_0BQSB_1BQSB_2BQSB_3BQSB_4BQSB_5BQSB_6BQSB_7B

ODTB

CLKB0CLKB0b

CLKB1CLKB1b

CKEB0

RASB0b

CASB0b

WEB0b

CSB0b_0CSB0b_1

CKEB1

RASB1b

CASB1b

WEB1b

CSB1b_0CSB1b_1

MAB_13

ODTB1

Part 3 of 7

MEM

OR

Y IN

TER

FAC

E A

write strobe

read strobe

U33C

M54-P

M31M30L31L30H30G31G30F31M27M29L28L27J27H29G29G27M26L26M25L25J25G28H27H26F26G26H25H24H23H22J23J22E23D22D23E22E20F20D19D18B19B18C17B17C14B14C13B13D17E18E17F17E15E14F14D13H18H17G18G17G15G14H14J14

C31C30

D26F28D28D25E24E26D27F25C26B26D29B27

B25C25

E27

H31J29J26G23E21B15D14J17

J31K29K25F23D20B16D16H15

K31K28K26G24D21C16D15J15

F29

D31E31

B20C19

B30

B28

C29

B31

B29C28

B24

B22

C22

B23C23

B21

E29

D24

DQA_0DQA_1DQA_2DQA_3DQA_4DQA_5DQA_6DQA_7DQA_8DQA_9DQA_10DQA_11DQA_12DQA_13DQA_14DQA_15DQA_16DQA_17DQA_18DQA_19DQA_20DQA_21DQA_22DQA_23DQA_24DQA_25DQA_26DQA_27DQA_28DQA_29DQA_30DQA_31DQA_32DQA_33DQA_34DQA_35DQA_36DQA_37DQA_38DQA_39DQA_40DQA_41DQA_42DQA_43DQA_44DQA_45DQA_46DQA_47DQA_48DQA_49DQA_50DQA_51DQA_52DQA_53DQA_54DQA_55DQA_56DQA_57DQA_58DQA_59DQA_60DQA_61DQA_62DQA_63

MVREFD_0MVREFS_0

MAA_0MAA_1MAA_2MAA_3MAA_4MAA_5MAA_6MAA_7MAA_8MAA_9

MAA_10MAA_11

MAA_14MAA_15

MAA_12

DQMAb_0DQMAb_1DQMAb_2DQMAb_3DQMAb_4DQMAb_5DQMAb_6DQMAb_7

QSA_0QSA_1QSA_2QSA_3QSA_4QSA_5QSA_6QSA_7

QSA_0BQSA_1BQSA_2BQSA_3BQSA_4BQSA_5BQSA_6BQSA_7B

ODTA

CLKA0CLKA0b

CLKA1CLKA1b

CKEA0

RASA0b

CASA0b

WEA0b

CSA0b_0CSA0b_1

RASA1b

CASA1b

CKEA1

CSA1b_0CSA1b_1

WEA1b

MAA_13

ODTA1

C4480.1U

C2930.1U

R27040.2/F

C2960.1U

R151100/F

R27

64.

7K

R26

74.

7K

R26

324

3/F

R27

74.

7KR278100/F

R15040.2/F

C4590.1U

R28040.2/F

R16040.2/F

DQB_[63..0](21)

DRAM_RST(21)

WDQSB2 (21)

RDQSB4 (21)

DQMB2# (21)

DQMB6# (21)

WDQSB0 (21)

DQMB7# (21)

WDQSB4 (21)

DQMB3# (21)

RDQSB6 (21)RDQSB7 (21)

RDQSB3 (21)

RDQSB0 (21)

DQMB1# (21)

WDQSB5 (21)

WDQSB1 (21)

RDQSB2 (21)

WDQSB7 (21)WDQSB6 (21)

RDQSB1 (21)

DQMB5# (21)

RDQSB5 (21)

WDQSB3 (21)

DQMB0# (21)

DQMB4# (21)

CLKB1 (21)

CASB1# (21)

CKEB1 (21)

CASB0# (21)

CLKB0# (21)

CKEB0 (21)

CSB0_0# (21)

WEB0# (21)

CLKB1# (21)

RASB1# (21)

CSB1_0# (21)

CLKB0 (21)

WEB1# (21)

RASB0# (21)

WDQSA6 (21)

CLKA1 (21)

CASA1# (21)

WDQSA7 (21)

RDQSA2 (21)RDQSA1 (21)

DQMA7# (21)

WDQSA4 (21)

DQMA1# (21)DQMA2# (21)

CLKA1# (21)

RDQSA0 (21)

CKEA1 (21)

CASA0# (21)

DQMA5# (21)

CSA1_0# (21)

WDQSA1 (21)

MAA[15..0] (21)

RDQSA5 (21)

RASA0# (21)

CSA0_0# (21)

WDQSA0 (21)

WDQSA2 (21)

DQMA3# (21)

RDQSA4 (21)

RASA1# (21)

DQMA4# (21)

CKEA0 (21)

WEA0# (21)

RDQSA6 (21)RDQSA7 (21)

DQMA6# (21)

DQMA0# (21)

WDQSA5 (21)

RDQSA3 (21)

CLKA0# (21)CLKA0 (21)

WDQSA3 (21)

WEA1# (21)

DQA_[63..0](21)

MAB[15..0] (21)

A_BA2 (21)A_BA0 (21)A_BA1 (21) B_BA2 (21)

B_BA0 (21)B_BA1 (21)

Page 21: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

Channel A Channel B

M54P VIDEO RAM(6 OF 6) 2AC

21 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

DDR3_VREF0

MAA9

G_VDDA0

DDR3_VREF#0

MAA1 MAA2

A_BA0

MAA[15..0]

G_VDDA#1

A_BA1

MAA5

A_BA1

MAA10

MAA7

MAA1

MAA4

MAA11

MAA0MAA0

A_BA0

MAA2

MAA6

MAA8

MAA9

G_VDDA#0

MAA7

MAA11

MAA6

G_VDDA1

MAA10

DDR3_VREF#1

MAA5MAA4

MAA3

A_BA2

MAA8

MAA3

A_BA2

DQA_[63..0]

DDR3_VREF1

MAB10

MAB2

MAB5

B_BA2

MAB6

B_BA0

MAB9

MAB0

MAB11

B_BA1

MAB7MAB6

MAB10

MAB4

MAB8

MAB3MAB1

MAB11

MAB5

MAB3

G_VDDA#2

DDR3_VREF3

DDR3_VREF#3

MAB7

MAB2

B_BA0

MAB0

DDR3_VREF#2

B_BA1

MAB8MAB9

MAB4

B_BA2

MAB1

DDR3_VREF2

G_VDDA2

MAB[15..0]

DQB_[63..0]

G_VDDA#3G_VDDA3

DQA_0

DQA_1

DQA_2

DQA_3DQA_4

DQA_5

DQA_6

DQA_7

DQA_8

DQA_9

DQA_10

DQA_11

DQA_12

DQA_13

DQA_14

DQA_15

DQA_16

DQA_17

DQA_18

DQA_19DQA_20DQA_21DQA_22

DQA_23

DQA_24DQA_26DQA_25DQA_27

DQA_28

DQA_29DQA_30

DQA_31

DQA_40

DQA_32DQA_33DQA_34DQA_35

DQA_36DQA_37DQA_38

DQA_39

DQA_41

DQA_42

DQA_43

DQA_44

DQA_45

DQA_46

DQA_47

DQA_48

DQA_49

DQA_50

DQA_51

DQA_52

DQA_53

DQA_54

DQA_55

DQA_56DQA_57

DQA_58DQA_59

DQA_60

DQA_61DQA_62

DQA_63

DQB_0

DQB_1

DQB_2

DQB_3DQB_4

DQB_5

DQB_6

DQB_7

DQB_8

DQB_9

DQB_10DQB_11

DQB_12

DQB_13DQB_14

DQB_15

DQB_16

DQB_17DQB_18

DQB_19

DQB_20

DQB_21

DQB_22DQB_23

DQB_24

DQB_25DQB_26

DQB_27

DQB_28

DQB_29

DQB_30

DQB_31DQB_32DQB_33DQB_34

DQB_35

DQB_36DQB_37DQB_38

DQB_39

DQB_40

DQB_41

DQB_42

DQB_43

DQB_44

DQB_45DQB_46

DQB_47

DQB_48

DQB_49

DQB_50DQB_51

DQB_52

DQB_53

DQB_54DQB_55

DQB_56

DQB_57

DQB_58

DQB_59DQB_60

DQB_61

DQB_62

DQB_63

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

+1.8V

R346 243/F

R3295.49K/F

C753 10U-6.3V_8

C7580.1U

R5392.37K/F

C494 10U-6.3V_8

R565 121/F

C768 0.1U

C7140.1U

C513 0.1U

R5280

C770 0.1U

C712 22U-10V_8

C499 0.1U

R554 60.4/F

R302 121/F

R372

0

C529 0.1U

C468 0.1U

C735 0.1U

C724 0.1U

L28BLM18PG181SN1D

R548 243/F

GND | VDD

U13

136BALL-GDDR3

H1

E3

N3N10E10

T3T2R3R2M3N2L3M2

T10T11R10R11M10N11L10M11G10F11F10E11C10C11B10B11G3F2F3E2C3C2B3B2

G9G4

L4K2M9

K11L9

K10H11

K9M4

K4H2K3

V9

H3

H4

A4

F9

H12

F4

J11J10

H9

A1A12C1C4C9C12E1E4E9

B1B4B9B12D1D4D9D12G2G11L2L11P1P4P9P12T1T4T9T12A3A10G1G12L1L12V3V10

J2

J3

D3D10P10

P3

D2D11P11

P2

E12J4J9N1N4N9N12

A2A11F1F12M1M12V2V11

H10

R1R4R9R12V1V12

J1J12

K1K12

A9

V4

VREF

DM0 | DM1

DM3 | DM2DM2 | DM3DM1 | DM0

DQ31 | DQ23DQ30 | DQ22DQ29 | DQ21DQ28 | DQ20DQ27 | DQ19DQ26 | DQ18DQ25 | DQ17DQ24 | DQ16DQ23 | DQ31DQ22 | DQ30DQ21 | DQ29DQ20 | DQ28DQ19 | DQ27DQ18 | DQ26DQ17 | DQ25DQ16 | DQ24DQ15 | DQ7DQ14 | DQ6DQ13 | DQ5DQ12 | DQ4DQ11 | DQ3DQ10 | DQ2DQ9 | DQ1DQ8 | DQ0DQ7 | DQ15DQ6 | DQ14DQ5 | DQ13DQ4 | DQ12DQ3 | DQ11DQ2 | DQ10DQ1 | DQ9DQ0 | DQ8

BA1 | BA0BA0 | BA1

A11 | A7A10 | A8A9 | A3A8/AP | A10A7 | A11A6 | A2A5 | A1A4 | A0A3 | A9

A0 | A4A1 | A5A2 | A6

RESET

RAS | BA2

CKE | WE

ZQ

CS | CAS

VREF#H12

CAS | CS

CKCK

WE | CKE

VDDQVDDQ#A12VDDQ#C1VDDQ#C4VDDQ#C9

VDDQ#C12VDDQ#E1VDDQ#E4VDDQ#E9

VSSQVSSQ#B4VSSQ#B9

VSSQ#B12VSSQ#D1VSSQ#D4VSSQ#D9

VSSQ#D12VSSQ#G2

VSSQ#G11VSSQ#L2

VSSQ#L11VSSQ#P1VSSQ#P4VSSQ#P9

VSSQ#P12VSSQ#T1VSSQ#T4VSSQ#T9

VSSQ#T12VSS

VSS#A10VSS#G1

VSS#G12VSS#L1

VSS#L12VSS#V3

VSS#V10

RFU1

RFU2

RDQS0 | RDQS1RDQS1 | RDQS0RDQS2 | RDQS3RDQS3 | RDQS2

WDQS0 | WDQS1WDQS1 | WDQS0WDQS2 | WDQS3WDQS3 | WDQS2

VDDQ#E12VDDQ#J4VDDQ#J9VDDQ#N1VDDQ#N4VDDQ#N9

VDDQ#N12

VDDVDD#A11

VDD#F1VDD#F12VDD#M1

VDD#M12VDD#V2

VDD#V11

BA2 | RAS

VDDQ#R1VDDQ#R4VDDQ#R9

VDDQ#R12VDDQ#V1

VDDQ#V12

VSSAVSSA#J12

VDDAVDDA#K12

MF

RFU0

C7370.1U

R349 243/F

C733 0.1U

C4960.1U

R5425.49K/F

C771 0.1U

R532 243/F

C713 22U-10V_8

C498 0.1U

R323 60.4/F

R3775.49K/F

C469 0.1U

R365 121/F

C512 0.1U

C546 10U-6.3V_8

R3305.49K/F

C776 0.1U

R309 121/F

R287 121/F

C535 0.1U

R563 121/F

C545 22U-10V_8

R555 60.4/F

R562 121/F

C779 0.1U

C490 0.1U

C528 0.1U

C718 0.1U

R5505.49K/F

C725 0.1U

L56BLM18PG181SN1D

R5212.37K/F

C7640.1U C543

0.1U

C517 0.1U

C495 22U-10V_8

C752 0.1U

C722 0.1U

C471 22U-10V_8

R3782.37K/F

R288 121/F

R363

0

R3660

R345 60.4/F

C500 0.1U

C474 0.1U

C503 22U-10V_8

R5205.49K/F

R566 121/F

C775 0.1U

C711 10U-6.3V_8

R5512.37K/F

GND | VDD

U32

136BALL-GDDR3

H1

E3

N3N10E10

T3T2R3R2M3N2L3M2

T10T11R10R11M10N11L10M11G10F11F10E11C10C11B10B11G3F2F3E2C3C2B3B2

G9G4

L4K2M9

K11L9

K10H11

K9M4

K4H2K3

V9

H3

H4

A4

F9

H12

F4

J11J10

H9

A1A12C1C4C9C12E1E4E9

B1B4B9B12D1D4D9D12G2G11L2L11P1P4P9P12T1T4T9T12A3A10G1G12L1L12V3V10

J2

J3

D3D10P10

P3

D2D11P11

P2

E12J4J9N1N4N9N12

A2A11F1F12M1M12V2V11

H10

R1R4R9R12V1V12

J1J12

K1K12

A9

V4

VREF

DM0 | DM1

DM3 | DM2DM2 | DM3DM1 | DM0

DQ31 | DQ23DQ30 | DQ22DQ29 | DQ21DQ28 | DQ20DQ27 | DQ19DQ26 | DQ18DQ25 | DQ17DQ24 | DQ16DQ23 | DQ31DQ22 | DQ30DQ21 | DQ29DQ20 | DQ28DQ19 | DQ27DQ18 | DQ26DQ17 | DQ25DQ16 | DQ24DQ15 | DQ7DQ14 | DQ6DQ13 | DQ5DQ12 | DQ4DQ11 | DQ3DQ10 | DQ2DQ9 | DQ1DQ8 | DQ0DQ7 | DQ15DQ6 | DQ14DQ5 | DQ13DQ4 | DQ12DQ3 | DQ11DQ2 | DQ10DQ1 | DQ9DQ0 | DQ8

BA1 | BA0BA0 | BA1

A11 | A7A10 | A8A9 | A3A8/AP | A10A7 | A11A6 | A2A5 | A1A4 | A0A3 | A9

A0 | A4A1 | A5A2 | A6

RESET

RAS | BA2

CKE | WE

ZQ

CS | CAS

VREF#H12

CAS | CS

CKCK

WE | CKE

VDDQVDDQ#A12VDDQ#C1VDDQ#C4VDDQ#C9

VDDQ#C12VDDQ#E1VDDQ#E4VDDQ#E9

VSSQVSSQ#B4VSSQ#B9

VSSQ#B12VSSQ#D1VSSQ#D4VSSQ#D9

VSSQ#D12VSSQ#G2

VSSQ#G11VSSQ#L2

VSSQ#L11VSSQ#P1VSSQ#P4VSSQ#P9

VSSQ#P12VSSQ#T1VSSQ#T4VSSQ#T9

VSSQ#T12VSS

VSS#A10VSS#G1

VSS#G12VSS#L1

VSS#L12VSS#V3

VSS#V10

RFU1

RFU2

RDQS0 | RDQS1RDQS1 | RDQS0RDQS2 | RDQS3RDQS3 | RDQS2

WDQS0 | WDQS1WDQS1 | WDQS0WDQS2 | WDQS3WDQS3 | WDQS2

VDDQ#E12VDDQ#J4VDDQ#J9VDDQ#N1VDDQ#N4VDDQ#N9

VDDQ#N12

VDDVDD#A11

VDD#F1VDD#F12VDD#M1

VDD#M12VDD#V2

VDD#V11

BA2 | RAS

VDDQ#R1VDDQ#R4VDDQ#R9

VDDQ#R12VDDQ#V1

VDDQ#V12

VSSAVSSA#J12

VDDAVDDA#K12

MF

RFU0

C772 0.1U

C541 0.1U

C531 0.1U

C749 22U-10V_8

C765

0.1U

C730 0.1U

L34BLM18PG181SN1D

L49BLM18PG181SN1D

L57BLM18PG181SN1D

C4890.1U

R535 121/F

R5575.49K/F

R564 121/F

C527 0.1U

R3225.49K/F

C769 0.1U

C756 0.1U

R341 60.4/F

C524 0.1U

L29BLM18PG181SN1D

C530 0.1U

R3242.37K/F

R3312.37K/F

R321 60.4/F

R530 121/F

C760 0.1U

C477 0.1U

C4970.1U

R369 121/F

C4910.1U

C717 0.1U

C516 0.1U

C782 10U-6.3V_8

C479

0.1U

C734 0.1U

R371 121/F

C727 0.1U

C777 0.1U

C510 10U-6.3V_8

R312 121/F

R536 121/F

C544 0.1U

L30BLM18PG181SN1D

C750 22U-10V_8

GND | VDD

U19

136BALL-GDDR3

H1

E3

N3N10E10

T3T2R3R2M3N2L3M2

T10T11R10R11M10N11L10M11G10F11F10E11C10C11B10B11G3F2F3E2C3C2B3B2

G9G4

L4K2M9

K11L9

K10H11

K9M4

K4H2K3

V9

H3

H4

A4

F9

H12

F4

J11J10

H9

A1A12C1C4C9C12E1E4E9

B1B4B9B12D1D4D9D12G2G11L2L11P1P4P9P12T1T4T9T12A3A10G1G12L1L12V3V10

J2

J3

D3D10P10

P3

D2D11P11

P2

E12J4J9N1N4N9N12

A2A11F1F12M1M12V2V11

H10

R1R4R9R12V1V12

J1J12

K1K12

A9

V4

VREF

DM0 | DM1

DM3 | DM2DM2 | DM3DM1 | DM0

DQ31 | DQ23DQ30 | DQ22DQ29 | DQ21DQ28 | DQ20DQ27 | DQ19DQ26 | DQ18DQ25 | DQ17DQ24 | DQ16DQ23 | DQ31DQ22 | DQ30DQ21 | DQ29DQ20 | DQ28DQ19 | DQ27DQ18 | DQ26DQ17 | DQ25DQ16 | DQ24DQ15 | DQ7DQ14 | DQ6DQ13 | DQ5DQ12 | DQ4DQ11 | DQ3DQ10 | DQ2DQ9 | DQ1DQ8 | DQ0DQ7 | DQ15DQ6 | DQ14DQ5 | DQ13DQ4 | DQ12DQ3 | DQ11DQ2 | DQ10DQ1 | DQ9DQ0 | DQ8

BA1 | BA0BA0 | BA1

A11 | A7A10 | A8A9 | A3A8/AP | A10A7 | A11A6 | A2A5 | A1A4 | A0A3 | A9

A0 | A4A1 | A5A2 | A6

RESET

RAS | BA2

CKE | WE

ZQ

CS | CAS

VREF#H12

CAS | CS

CKCK

WE | CKE

VDDQVDDQ#A12VDDQ#C1VDDQ#C4VDDQ#C9

VDDQ#C12VDDQ#E1VDDQ#E4VDDQ#E9

VSSQVSSQ#B4VSSQ#B9

VSSQ#B12VSSQ#D1VSSQ#D4VSSQ#D9

VSSQ#D12VSSQ#G2

VSSQ#G11VSSQ#L2

VSSQ#L11VSSQ#P1VSSQ#P4VSSQ#P9

VSSQ#P12VSSQ#T1VSSQ#T4VSSQ#T9

VSSQ#T12VSS

VSS#A10VSS#G1

VSS#G12VSS#L1

VSS#L12VSS#V3

VSS#V10

RFU1

RFU2

RDQS0 | RDQS1RDQS1 | RDQS0RDQS2 | RDQS3RDQS3 | RDQS2

WDQS0 | WDQS1WDQS1 | WDQS0WDQS2 | WDQS3WDQS3 | WDQS2

VDDQ#E12VDDQ#J4VDDQ#J9VDDQ#N1VDDQ#N4VDDQ#N9

VDDQ#N12

VDDVDD#A11

VDD#F1VDD#F12VDD#M1

VDD#M12VDD#V2

VDD#V11

BA2 | RAS

VDDQ#R1VDDQ#R4VDDQ#R9

VDDQ#R12VDDQ#V1

VDDQ#V12

VSSAVSSA#J12

VDDAVDDA#K12

MF

RFU0

C515 0.1U

C4760.1U

C475 0.1U

C519 0.1U

R3262.37K/F

R374 121/F

L45BLM18PG181SN1D

R5562.37K/F

C7390.1U

C5420.1U

R537 121/F

C726 0.1U

C710 10U-6.3V_8 C523 10U-6.3V_8

C723 0.1U

R527 60.4/F

GND | VDD

U35

136BALL-GDDR3

H1

E3

N3N10E10

T3T2R3R2M3N2L3M2

T10T11R10R11M10N11L10M11G10F11F10E11C10C11B10B11G3F2F3E2C3C2B3B2

G9G4

L4K2M9

K11L9

K10H11

K9M4

K4H2K3

V9

H3

H4

A4

F9

H12

F4

J11J10

H9

A1A12C1C4C9C12E1E4E9

B1B4B9B12D1D4D9D12G2G11L2L11P1P4P9P12T1T4T9T12A3A10G1G12L1L12V3V10

J2

J3

D3D10P10

P3

D2D11P11

P2

E12J4J9N1N4N9N12

A2A11F1F12M1M12V2V11

H10

R1R4R9R12V1V12

J1J12

K1K12

A9

V4

VREF

DM0 | DM1

DM3 | DM2DM2 | DM3DM1 | DM0

DQ31 | DQ23DQ30 | DQ22DQ29 | DQ21DQ28 | DQ20DQ27 | DQ19DQ26 | DQ18DQ25 | DQ17DQ24 | DQ16DQ23 | DQ31DQ22 | DQ30DQ21 | DQ29DQ20 | DQ28DQ19 | DQ27DQ18 | DQ26DQ17 | DQ25DQ16 | DQ24DQ15 | DQ7DQ14 | DQ6DQ13 | DQ5DQ12 | DQ4DQ11 | DQ3DQ10 | DQ2DQ9 | DQ1DQ8 | DQ0DQ7 | DQ15DQ6 | DQ14DQ5 | DQ13DQ4 | DQ12DQ3 | DQ11DQ2 | DQ10DQ1 | DQ9DQ0 | DQ8

BA1 | BA0BA0 | BA1

A11 | A7A10 | A8A9 | A3A8/AP | A10A7 | A11A6 | A2A5 | A1A4 | A0A3 | A9

A0 | A4A1 | A5A2 | A6

RESET

RAS | BA2

CKE | WE

ZQ

CS | CAS

VREF#H12

CAS | CS

CKCK

WE | CKE

VDDQVDDQ#A12VDDQ#C1VDDQ#C4VDDQ#C9

VDDQ#C12VDDQ#E1VDDQ#E4VDDQ#E9

VSSQVSSQ#B4VSSQ#B9

VSSQ#B12VSSQ#D1VSSQ#D4VSSQ#D9

VSSQ#D12VSSQ#G2

VSSQ#G11VSSQ#L2

VSSQ#L11VSSQ#P1VSSQ#P4VSSQ#P9

VSSQ#P12VSSQ#T1VSSQ#T4VSSQ#T9

VSSQ#T12VSS

VSS#A10VSS#G1

VSS#G12VSS#L1

VSS#L12VSS#V3

VSS#V10

RFU1

RFU2

RDQS0 | RDQS1RDQS1 | RDQS0RDQS2 | RDQS3RDQS3 | RDQS2

WDQS0 | WDQS1WDQS1 | WDQS0WDQS2 | WDQS3WDQS3 | WDQS2

VDDQ#E12VDDQ#J4VDDQ#J9VDDQ#N1VDDQ#N4VDDQ#N9

VDDQ#N12

VDDVDD#A11

VDD#F1VDD#F12VDD#M1

VDD#M12VDD#V2

VDD#V11

BA2 | RAS

VDDQ#R1VDDQ#R4VDDQ#R9

VDDQ#R12VDDQ#V1

VDDQ#V12

VSSAVSSA#J12

VDDAVDDA#K12

MF

RFU0

R364 121/FR534 121/F

R524 60.4/F

C7570.1U

C715

0.1U

RDQSA1(20)

DQMA6#(20)

CASA0#(20)

CSA1_0#(20)

RASA0#(20)

CLKA1(20)

WDQSA1(20) WDQSA6(20)

CLKA0(20)

WEA0#(20)

RDQSA3(20)

RASA1#(20)

CASA1#(20)

RDQSA6(20)

WEA1#(20)

DQMA5#(20)

CLKA1#(20)

DRAM_RST(20)

WDQSA3(20)

CSA0_0#(20)

DRAM_RST(20)

DQMA3#(20)

DQMA1#(20)

CKEA0(20)

CLKA0#(20)CKEA1(20)

WDQSA5(20)

RDQSA5(20)

MAA[15..0](20)

DQA_[63..0](20)

DRAM_RST(20)

CLKB1#(20)

CKEB1(20)

CLKB0#(20)

CSB0_0#(20)

CLKB0(20)

CLKB1(20)

CASB1#(20)

WEB1#(20)

DQMB7#(20)

DQMB5#(20)

CLKB1(20)

CKEB1(20)

RDQSB5(20)

WDQSB7(20)

WEB0#(20)

CSB0_0#(20)

WEB1#(20)

CKEB0(20)

CASB1#(20)

CASB0#(20)

CLKB0#(20)

DRAM_RST(20)

CLKB0(20)

WEB0#(20)RASB0#(20)

RASB1#(20)

CKEB0(20)

WDQSB5(20)

CSB1_0#(20)

RASB0#(20)

CLKB1#(20)

CASB0#(20)

CSB1_0#(20)

RDQSB7(20)

RASB1#(20)

CLKA0#(20)

CLKA0(20)

CLKA1(20)

CLKA1#(20)

CSA0_0#(20)WEA0#(20)RASA0#(20)

CKEA1(20)

RASA1#(20)

CSA1_0#(20)

CASA0#(20)

WEA1#(20)

CASA1#(20)CKEA0(20)

DQB_[63..0](20)

MAB[15..0](20)

A_BA2(20)A_BA1(20)A_BA0(20)

A_BA1(20)A_BA2(20)

A_BA0(20) B_BA1(20)B_BA2(20)

B_BA0(20) B_BA1(20)B_BA2(20)

B_BA0(20)

DQMA0#(20)

DQMA2#(20)

DQMA4#(20)

DQMA7#(20)

DQMB2#(20)DQMB3#(20)DQMB0#(20)DQMB1#(20)

DQMB6#(20)

DQMB4#(20)

RDQSA0(20)

RDQSA2(20)RDQSA7(20)

RDQSA4(20)

RDQSB0(20)RDQSB3(20)RDQSB2(20)

RDQSB1(20)RDQSB4(20)

RDQSB6(20)WDQSA0(20)

WDQSA2(20)

WDQSA4(20)

WDQSA7(20)

WDQSB2(20)

WDQSB1(20)WDQSB0(20)WDQSB3(20)

WDQSB6(20)

WDQSB4(20)

Page 22: Gateway MA6 1228

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

A A

B B

C C

D D

PANEL VCC CONTROLLCD CONNECTOR

BACKLIGHT CONTROL

Rev_C

Rev_C

LCD CONN 2AB

22 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

FPBACK_1FPBACK

FPBACK_1PWM_INV_2

PWM_INV_1

PWM_INV_1PWM_INV

COVERSW#

FPBACK

FPBACK#

EDID_DATAEDID_CLK

VIN_BLIGHT

VIN_BLIGHT

LCDVCC

+3V

+3V

VIN

+3V

3VPCU

+3V

+3V

3VPCU

+3V

LCDVCC

+3V

5VPCU

R18810K

U3SI3443DV

12

3 4

56D

D

G S

DD

Q9DTC144EUA

13

2

C20.1U

R15910K

U43TC7SH08FU

2

14

35

R11

10K_6

R12 10K_6

C8380.1U

R8

*1K_6

C17

10U-10V_8

D4

RB500

21

R7 4.7K

R15510K

Q10DTC144EUA

13

2

C18

0.1U_6

C200.47U_6

Q3

DTC144EUA13

2

L65 BK1608HS121-T

CN1

LCDCON40P

1

3

5

7

9

11

13

15

17

19

21

23

25

27

29

31

33

35

37

39

2

4

6

8

10

12

14

16

18

20

22

24

26

28

30

32

34

36

38

40

41424344

R176 1K

R18410K

L64 BK1608HS121-T

C3240.1U

C3011000P

C8360.1U-50V_8

Q8DTC144EUA

13

2

R584 4.7K

SW1MRSS22L

12

3

C83722P_6

C8340.1U-50V_8

R587 4.7K

L67 *BK1608HS121-T

C83910U-25V_1210

R15410K

L66 FBM2125HM330

D12

SW1010C

TXLOUT0+(7,18)

TXLOUT1-(7,18)

PWM_INV(35)

TXUOUT2+(7,18)

TXLOUT0-(7,18)

TXUOUT0-(7,18)TXUOUT0+(7,18)

TXLCLKOUT-(7,18)

EDID_CLK(7,17)

TXLOUT1+(7,18)

TXLOUT2-(7,18)

TXLCLKOUT+(7,18)

TXUCLKOUT+(7,18)

EDID_DATA(7,17)

TXLOUT2+(7,18)

TXUOUT1-(7,18)

TXUCLKOUT-(7,18)

TXUOUT1+(7,18)

TXUOUT2-(7,18)

BLON(7,18)

MXLID# (35)

FPBACK#(14)

DPST_PWM(7)

DISP_ON(7,18)

PWROK(14,35)

Page 23: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

CRT PORT

CRT SWITCH

TV-OUT

LOWHIGH IN_B1

IN_B0FUNCTION(COM)SEL

EC-A08

EC-A09

Rev_D

Rev_D

Rev_D

Rev_D

VGA(TV/CRT/PANEL) 2ACustom

23 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

CRT_B_COM

TV_Y/G

PR_GEN

VGA_BLU

DDCDAT2

CRT_G_COMDDCDAT2

CRTVS_VGA

DDCCLK2

VGA_GEN

VGA_GEN

VGA_BLU

CRT_VS2

PR_INSERT#

PR_BLU

CRTHS_VGA

DDCCLK

PR_RED

CRT_R_COM

TV_COMP

CRT_HS2

CRT_HS2

VGA_RED

CRT_VS2

TV_C/R

DDCCLK2

DDCDATA

HSYNC_COM

PR_HSYNC

PR_VSYNC

VSYNC_COMCRTVS_VGA

CRTHS_VGA

VGA_RED

+5V

+5V

+5V

+3V

+3V

+3V

+5V

+3V

+5V

+3V

+3V

+3V

U39

NC7SB3157P6X

1

5

4

2

6

3

IN_B1

VCC

COM

GND

SEL

IN_B0

C250.1U

C865

10P

R586 39

C230.1U

Q12N7002E

3

2

1

C864*10P

U37

SN74LVC2G125

2

1

7

6

8

3

4

5

1A

OE1#

OE2#

1Y

VCC

2Y

GND

2A

L71 BK1608HS121-T

C862

10P

C860*10P

U2

SN74LVC1G04DCKR

12

43

5

CN26

CONN

1 23 45 67 89 1011 1213 1415 1617 1819 20

L70 BK1608HS121-T

C863*10P

C86110P

R10 2.2K

L72 BK1608HS121-T

C867

10P

R9 2.2K

C868

10P

C210.1U U42

NC7SB3157P6X

1

5

4

2

6

3

IN_B1

VCC

COM

GND

SEL

IN_B0

L73 BK1608HS121-T

C866

10P

C240.1U

U40

NC7SB3157P6X

1

5

4

2

6

3

IN_B1

VCC

COM

GND

SEL

IN_B0L69 BK1608HS121-T

C871

12P

C19 0.1U

C220.1U

L68 BK1608HS121-T

Q22N7002E

3

2

1

C869

12P

R592 39

C870

12P

U36

SN74LVC2G125

2

1

7

6

8

3

4

5

1A

OE1#

OE2#

1Y

VCC

2Y

GND

2A

PR_GEN(37)

DDCDATA(7,17)

CRT_B_COM (7,17)

TV_COMP (7,17,37)

TV_Y/G (7,17,37)

PR_RED(37)

PR_INSERT# (35,37)

DDCDAT2 (37)

CRT_R_COM (7,17)

PR_BLU(37)

CRT_G_COM (7,17)

DDCCLK(7,17)

TV_C/R (7,17,37)

DDCCLK2 (37)

HSYNC_COM (7,17)

PR_INSERT#(35,37)

PR_HSYNC(37)

PR_INSERT#(35,37)

VSYNC_COM (7,17)

PR_VSYNC(37)

Page 24: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

PCI Express

Test

SMBus

Thermal

Monitoring

SDP Pins

Crystal

Enternet Port

NVM/EEPROM

LEDs

JTAG 82570EI

LAN Connect Interface

Control

GigaLAN transformer

B1(+) B2(-)

A1(+) A2(-)

GREENLED2

LED1 YELLOW BLINKINGACT (Tx/Rx)

LINK 10/100/1000

A2 B1A1

G

B2

Y

NVM not share Mode

GSN5008/5009: 1000

TN8515C: 10/100

after all power rail OK 80ms

LAN Disable Circuit

EC-A10

82573E3.3K

LANR119

82573LX

Rev_C

Rev_C

Rev_C

Rev_D

These capacitors should beplaced less than 0.5" fromthe LAN Controller

Rev_D

Rev_D

Rev_D

Rev_D

LAN Tekoa & RJ11,RJ45 2ACustom

24 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

NVM_CS#

JTAG_TCKJTAG_TDO

TX0P

TX1N

NVM_ARB

NVM_SK_LANNVM_SI_LAN

LANCT4

LAN_2.5V_TCT

TX1P

X-TX2N

LANCT1

LANCT5

TX3N

TX3P

TX1N

LANCT2

X-TX0N

X-TX2P

X-TX1P

TX2P

LANCT3

X-TX1N

X-TX3P

X-TX3N

TX0N

X-TX0P

TX2N

TX0P

TX3NTX3P

TX2PTX2N

TX1PTX0N

LAN_LED_Y

X-TX3N

X-TX1N

X-TX0N

X-TX2P

X-TX3P

X-TX0P

RING_RJ11

X-TX2N

TIP_RJ11

LINK_ACT_LED#

X-TX1P

DEVICE_OFF#

PLTRST#

PCIE_TXN0

DOCK_IND

PCIE_RXP0

CLK_PCIE_LAN#

PCIE_TXP0

LAN_DISABLE_D10

SMB_ALRT#/ASF_PWRGOOD

LAN_DISABLE_D14

CLK_PCIE_LAN

PCIE_RXN0

XTAL1

LAN_DISABLE_A13

LAN_DISABLE_D12

PCIE_RXN0_LPCIE_RXP0_L

JTAG_TDIJTAG_TMS

LAN_DISABLE_A13LAN_DISABLE_D12

DEVICE_OFF#

XTAL2

NVM_CS#

NVM_SINVM_SI_LANNVM_SK_LAN

NVM_SO

NVM_SK

LINK_ACT_LED#

LAN_SPEED#

LAN_LED_G

NVM_SO_LAN

AUX_PRESENT

LINK_100_LED#

LINK_1000--LINK_UP_LED#

LAN_PWR_GOOD

LAN_PWR_GOOD

NVM_SO_LAN

GND_LAN_CHASIS

LAN_2.5V

LAN_2.5V

GND_LAN_CHASIS

LANVCC

GND_LAN_CHASIS

LANVCC

LANVCC

LANVCC

LANVCC

LANVCC

LANVCCLANVCC

T67

R455 75/F

C311 0.1U

R458 75/F

T52

C1140.1U

L7

BLM11A601S1 2

R169 *0_6

T80

C878*1U-16V_6

CN19

JM34F23-SBSC

1

2

3

4

5

7

6

8

9

10

11

12 16

15

13

14

LED1_YELP_Y

LED1_YELN_Y

RX2-

RX2+

RX1-

TX2+

TX2-

RX1+

TX1-

TX1+

LED2_GRNP_G

LED2_GRNN_G GND

GND

RING

TIP

R130 *3.3K_6

C36 1000P

T51

RP2449.9/FX2

2 41 3

C11 1000P

T55

C1130.1U

C69

4.7U-10V_8

T69

R119 3.3K_6

RP2349.9/FX2

2 41 3

C173 22P_6

T70

R167 *3.3K_6

R117 0

R92 *0

R705*100K

R131 *0

C6511000P-3KV_1808

R1953.3K_6

C312 0.1U

R135 3.3K_6

C3410.1U

T56

R18 150/F

R914.99K/F

R1411K_6

U28

TST1284A/GST5009

1

4

7

10

2

5

3

6

8

9

11

12

24

23

22

13

14

15

16

17

18

19

20

21

TCT1

TCT2

TCT3

TCT4

TD1+

TD2+

TD1-

TD2-

TD3+

TD3-

TD4+

TD4-

MCT1

MX1+

MX1-

MX4-

MX4+

MCT4

MX3-

MX3+

MCT3

MX2-

MX2+

MCT2

R706 0

C882

33P

C37 1000P

R136*1K_6

R156 *0

R457 75/F

RP2549.9/FX2

2 41 3

T86

R20 150/F

C1630.1U

T74

C883

33P

RP2249.9/FX2

2 41 3

T63

C1640.1U

R1033.3K_6

R90 *619_6

R202 47/F

C884

33P

Y125MHZ

21

C174 22P_6 T50

R2048.2K_4

R206 3.3K_6

C1150.1U

T54

C1160.1U

C1610.1U

C650

4.7U-10V_8

T53

R114 *3.3K_6

T60

T65

T68

R456 75/F

D39 SW1010CR89 *649_6

R168 *3.3K_6

T213

T59

R140 *0

U9A

82573E

C13C14E13E14F13F14H13H14

B10C9A9B9B4

A5A6D3

B11C11A12

N4P4N5P6

M12N13P13

L14L13M14

M13

N14

F2F1

D1C1

G1G2

P7P10

P5L7

A13D10

D14D12

C3C6

B14B13B12N10

N11P11M11

L2L3

A8B8C8C7

K14J14

MDI0p--TDPMDI0n--TDNMDI1p--RDPMDI1n--RDN

MDI2p--NCMDI2n--NCMDI3p--NCMDI3n--NC

NVM_CS#--NCNVM_SK--NCNVM_SI--NC

NVM_SO--NCNVM_REQ--NC

NVM_PROT--NCNVM_TYPE--NC

NVM_SHARED--NC_D3

LED0#--SPDLEDLED1#--ACTLED

LED2#--LILED

JTAG_TMS--NCJTAG_TDI--NC

JTAG_TCK--NCJTAG_TDO--NC

NC--LAN_RXD[2]NC--LAN_RXD[1]NC--LAN_RXD[0]

CLK_VIEW--LAN_TXD[2]NC--LAN_TXD[2]NC--LAN_TXD[0]

NC--LAN_RSTSYNC

NC--LAN_CLK

PE_R0p--NCPE_R0n--NC

PE_T0p--NCPE_T0n--NC

PE_CLKp--NCPE_CLKn--NC

PE_RST#--NCPE_WAKE#--NC

LAN_PWR_GOOD--NCDEVICE_OFF#--ADV10/LAN_DIS_N

TEST_EN--TEST_ENNC--ISOL_TEX

NC--ISOL_TCKPHY_REF--ISOL_TI

DOCK_IND--NCAUX_PRESENT--NC

PHY_TSTPT--RBIAS10PHY_HSDACn-RBIAS100PHY_HSDACp-TOUTALT_CLK125--NC

SMB_ALRT#/ASF_PWRGOOD--NCSMB_CLK-NCSMB_DATA-NC

THERMn--NCTHERMp--NC

SDP0--NCSDP1--NCSDP2--NCSDP3--NC

XTAL1--X1XTAL1--X2

C881

33P

C112470P

C1620.1U

R209 47/F

CN2

MDC

12

U12

SST25LF080A/MX25L8005MC

1652

3

8

4

7

CE#SCKSISO

WP#

VDD

VSS

HOLD#

C652*470P-3KV_1808

D38 *SW1010C

C653*470P-3KV_1808

R714

*0

R212 47/F

C10 1000P

R707 *0

D40

*SW1010C

PCLK_SMB(2,14,37)

CLK_PCIE_LAN#(2)

PCIE_RXN0(13)

PCIE_TXN0(13)

PLTRST#(13,14,16,31,32,36)

PCIE_RXP0(13)

ICH_PWROK(14)

ICH_PWROK(14)

PDAT_SMB(2,14,37)

PCIE_TXP0(13)

CLK_PCIE_LAN(2)

PCIE_WAKE#(14,32)

SMB_ALERT#(14)

LAN_DISABLE#(14)

LINK_ACT_LED# (37)

X-TX3N (37)

X-TX1N (37)

X-TX2N (37)

X-TX1P (37)

X-TX0N (37)

X-TX2P (37)

X-TX0P (37)

X-TX3P (37)

LAN_SPEED# (37)

LAN_PWRGD(35)

LAN_PWRGD(35)

LAN_DISABLE#(14)

Page 25: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

Voltage

RegulationNo Connects

VSS Pins

VCC Pins

120 ohms@100Mhz

82573E no stuff

LAN Power 2ACustom

25 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

CTRL_1.2CTRL_2.5

CTRL_2.5

CTRL_1.2

LAN_2.5V_1

LAN_1.2V_1

LAN_2.5V

LANVCC

LANVCC

LAN_2.5V

LAN_1.2V

LANVCC

LANVCC

LAN_2.5V

LAN_1.2V

LAN_2.5V

LANVCC

C30910U-6.3V_8

R244

2_1206

C3190.1U_6

R121*3.3K_6

C41722U-10V_8

C297

0.1U_6

T82

C4010.1U_6

C242

4.7U-10V_6

C4094.7U-10V_6

C2380.1U_6

C170

0.1U_6

C4194.7U-10V_6

R166 0

C322

0.1U_6

C304

10U-6.3V_8

C4181U-10V_6

U9B

82573E

A10C5C4F12G6G12G13H6H7H8H11H12J6J7J8J9J10J11K3K4K5K6K7K8K9K10K11L5L9L10

A2A3A7D9E1E11E12F3J4L4M2M10N6N8P2P12

A11B6G3G5H4

J5H5

J12

L12M4N7

K13

B1B2P3A4B5

A1B3B7C2

C10C12D2D4D5D6D7D8

D13E2E4E5E6E7E8E9

E10

F4F5F6F7F8F9

F10F11

G4G7G8G9

G10G11G14

H9H10

K2K12

L6L11M6N1

N12P8

H1

H2

H3

J1 J2 J3 K1

L1 M1

M3

N2

P1

N3

M8

P9

E3

A14

D11

J13

L8 M5

M7

M9

N9

P14

VCC1.2--NC-A10VCC1.2--NC-C5VCC1.2--NC-C4

VCC1.2--NC-F12VCC1.2--VCC3.3_G6

VCC1.2--NC-G12VCC1.2--VCC3.3_G13

VCC1.2--VCC3.3_H6VCC1.2--VCC3.3_H7VCC1.2--VCC3.3_H8

VCC1.2--VCC3.3_H11VCC1.2--NC_H12

VCC1.2--VCC3.3_J6VCC1.2--VCC3.3_J7VCC1.2--VCC3.3_J8VCC1.2--VCC3.3_J9

VCC1.2--VCC3.3_J10VCC1.2--VCC3.3_J11

VCC1.2--VCC_K3VCC1.2--VCC_K4

VCC1.2--VCC3.3_K5VCC1.2--VCC3.3_K6VCC1.2--VCC3.3_K7VCC1.2--VCC3.3_K8VCC1.2--VCC3.3_K9

VCC1.2--VCC3.3_K10VCC1.2--VCC3.3_K11

VCC1.2--VCC3.3_L5VCC1.2--VCC3.3_L9

VCC1.2--VCC3.3_L10

IREG2.5_IN--NC_A2IREG2.5_IN-A3

VCC3.3--VCC_A7VCC3.3--NC_D9

NC--VCC_E1NC--VCCT_E11NC--VCCT_E12VCC3.3--NC_F3VCC3.3--NC_J4

NC--VCC_L4FUSEV--NC

VCC3.3--NC_M10VCC3.3--VCC_N6VCC3.3--VCC_N8VCC3.3--VCC_P2

VCC3.3--VCC_P12

VCC2.5--VCC_A11VCC2.5--NC_B6VCC2.5--NC_G3

VCC2.5--VCCR_G5VCC2.5--NC_H4

VCC2.5--VCC3.3_J5VCC2.5--VCCR_H5

VCC2.5--NC_J12

VCC2.5--NC_L12VCC2.5--NC_M4VCC2.5--NC_N7

VCC2.5--VCC_K13

VCC2.5_OUT--NC_B1VCC2.5_OUT--NC_B2

CTRL_1.2--NCCTRL_2.5--NC

EN2.5REG--NC_B5

VSS--NC_A1VSS--VSS_B3NC--VSS_B7VSS--NC_C2VSS--VSS_C10VSS--VSS_C12VSS--NC_D2VSS--VSS_D4VSS--VSS_D5VSS--VSS_D6VSS--VSS_D7VSS--VSS_D8VSS--VSS_D13VSS--VSS_E2VSS--VSS_E4VSS--VSS_E5VSS--VSS_E6VSS--VSS_E7VSS--VSS_E8VSS--VSS_E9VSS--VSS_E10

VSS--VSS_F4VSS--VSS_F5VSS--VSS_F6VSS--VSS_F7VSS--VSS_F8VSS--VSS_F9VSS--VSS_F10VSS--VSS_F11

VSS--NC_G4VSS--VSS_G7VSS--VSS_G8VSS--VSS_G9VSS--VSS_G10VSS--VSS_G11VSS--VSS_G14VSS--VSS_H9VSS--VSS_H10VSS--VSS_K2NC--VSS_K12NC--VSS_L6NC--VSS_L11NC--VSS_M6VSS--VSS_N1VSS--VSS_N12VSS--VSS_P8

TES

T0--N

CTE

ST1

--NC

TES

T2--N

CTE

ST3

--NC

TES

T4--N

CTE

ST5

--NC

TES

T6--N

CTE

ST7

--NC

TES

T8--N

CTE

ST9

--NC

TES

T10-

-NC

TES

T11-

-NC

TES

T12-

-NC

TES

T13-

-NC

TES

T14-

-NC

TES

T15-

-NC

TES

T16-

-NC

NC

--NC

_D11

NC

--NC

_J13

NC

--NC

_L8

NC

--NC

_M5

NC

--NC

_M7

NC

--NC

_M9

NC

--NC

_N9

NC

--NC

_P14C325

10U-6.3V_8

C408

10U-6.3V_8

R1463.3K_6

C307

0.1U_6

C2940.1U_6

C3140.1U_6

C190

0.1U_6

C3020.1U_6

C2754.7U-10V_6

C315

0.1U_6

C198

4.7U-10V_6

C176

10U-6.3V_8

Q13MMJT9435T11

23

4

R192

1_6

Q12MMJT9435T11

23

4

L24FCM2012VF

C420

10U-6.3V_8

C202

0.1U_6

C31310U-6.3V_8

C2430.1U_6

R183

1_6

R152*3.3K_6

R2452_1206

C2090.1U_6

C3384.7U-10V_6

C42722U-10V_8

T89

Page 26: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

Closed Phy IC

Closed Phy IC

Rev_C

Rev_C

PCI7412 CARDBUS 2AB

26 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

TPB0_DF

R1

PCLK_7412

CLKRUN#

AD4

AD25

AD13

AD20

TPB0N

AD16

AD21

DEVSEL#

AD10

AD25

AD31

AD3

AD6

TPS_CLOCK

AD22

SCL

AD19

IRDY#

SERR#

AD7

SDA

C/BE0#

AD1

1394_XOUT

SERIRQ

PSMODE

AD15

TPS_DATA

TRDY#

AD14

AD2

AD9

TPA0P

1394_XIN

R0

AD12

PCIRST#

AD17

PCICGRST_7412

PAR

AD30

PCMSPK#

CPS

AD0

STOP#

C/BE1#

AD26

TPB0PTPBIAS0

C/BE2#

FRAME#

AD28

AD11

PHY_TEST_MA

AD23

PERR#TPA0N

AD8

TPA0P

AD29TPS_LATCH

TPA0N

AD24

7412_PME#

C/BE3#

AD18

AD27

AD5

7412_PME#

PCLK_7412

TPB1N

TPBIAS1TPB1P

TPA1NTPA1P

TPB1_DF

TPA1N

TPB1PTPB1N

TPBIAS1

TPA1P

PCICGRST# PCICGRST_7412

SCLSDA

TPB0P

TPB0N

3VSUS

3VSUS

3VSUS

3VSUS

3VSUS

3VSUS

3VSUS

3VSUS

3VSUS 3VSUSR398 *10K

C507 220P

R352 56.2/F

R351 56.2/F

R360 0

C551*0.22U_6

R384 4.7K

R39043K

R350 56.2/F

R373 6.34K

C506 220P

1

CN161394_CONN

1

2

3

4

5 6 7 8

1

2

3

4

5 6 7 8

R404 10K

R383*100K

R389 0

R340 5.11K/F_6

R36110K

R358 100/F

R356 56.2/F

R359 0

R354 56.2/F

C5571U-10V_4 C504 1U-10V_6

R355 56.2/F

C505 1U-10V_6

Q222N7002E

3

2

1

1394 Interface

PCI Interface

Miscellaneous

U20A

PCI7412ZHK

L2L3N5R6V5U6W5W6V6R7U7

P2U5V7

W10

L1

K3K5

R11P11U11V11

W11R10U10V10R9U9V9

W9V8U8R8W7W4T2T1R3P5R2R1P3N3N2N1M5M6M3M2M1

R19R18

T18T19R12P12R17

V14W14R13V13W13

V16W16W17V15W15

P15P17

U12V12W12

U13U14R14

J5L5H3

K2

G2G3

E10

G1H5H2H1J1J2J3

C9A9B9C4

GNT#REQ#IDSELFRAME#IRDY#DEVSEL#TRDY#SERR#STOP#PERR#PAR

CBE3CBE2CBE1CBE0

PCLK

PRST#GRST#

AD00AD01AD02AD03AD04AD05AD06AD07AD08AD09AD10AD11AD12AD13AD14AD15AD16AD17AD18AD19AD20AD21AD22AD23AD24AD25AD26AD27AD28AD29AD30AD31

XIXO

R0R1

CPSTEST0

VSSPLL

TPA0PTPA0N

TPBIAS0TPB0PTPB0N

TPA1PTPA1N

TPBIAS1TPB1PTPB1N

VDDPLL15PHY_TEST_MA

PC0_RSVDPC1_RSVDPC2_RSVD

AGND_00AGND_01AGND_02

SUSPEND#RI_OUT#

SPKROUT

VR_EN#

SCLSDA

USB_EN

MFUNC0MFUNC1MFUNC2MFUNC3MFUNC4MFUNC5MFUNC6

LATCH/VD3/VPPD0CLOCK/VD1/VCCD0#

DATA/VD2/VPPD1RSVD_03/VD0/VCCD1#/PS_MODE

R357 56.2/F

R3482.7K_6

Y524.576MHZ

12

R392 43K

C547 12P_6

R376*33

U17

NM24C02-WMN6T

123

84

65

7

A0A1A2

VCCGND

SCLSDA

WP

R353 56.2/F

C537*22P_6

R3472.7K_6

R339 5.11K/F_6

R368 *0

R381 0

C538 12P_6

TPS_LATCH (27)

C/BE0#(13)

TPS_CLOCK (27)

C/BE3#(13)

GNT2#(13)

TPS_DATA (27)

TRDY#(13)

C/BE2#(13)

SERR#(13)

REQ2#(13)

AD[0..31](13)

PERR#(13)

PCIRST#(13,35)

IRDY#(13)

C/BE1#(13)

PCMSPK# (29)

STOP#(13)

CLKRUN# (14,31,35,36)

INTD# (13)

INTB# (13)INTC# (13)

SERIRQ (14,31,35,36)

FRAME#(13)

DEVSEL#(13)

PAR(13)

PCI_PME# (13)

PCLK_7412(2)

TPA1P (37)TPA1N (37)

TPB1P (37)TPB1N (37)

PCICGRST#(27,35)

FM_LED (28)PCICRI# (29)

Page 27: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

CARDBUS POWER SWITCH

PCI7412 CardBus Connector 2AB

27 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

A_CE1#

A_D7

A_A1

A_D6

A_CD2#

A_OE#

A_A7

A_A23

A_SPKR#

A_A24

A_A22

TPS_LATCH

A_CD1#

A_D14

A_CE2#

A_IOWR#

TPS_CLOCK

A_A10

A_A19

A_A18

A_A11

A_A25

A_D3

A_RESET

A_A16_P

A_D0

A_VS2#

A_WAIT#

A_STSCHG#

A_IOIS16#

A_D13

A_A12

A_A3

A_INPACK#

A_A14

TPS_DATAA_A6

A_D4

A_IREQ#

A_D9

A_IORD#

A_D1

A_A13

A_D8

A_A21

A_A5

A_D5

A_A20

A_D10

A_A9

A_D11

A_WE#

A_REG#

A_A0

A_VS1#

A_A4

A_A2

A_D15

A_D12

PCICGRST#

A_D2

A_A8

A_A16

A_A17

TPS_CLOCK

A_A15

A_A6

A_OE#A_CE2#

A_D9

A_A15

A_A0

A_D10

A_D7

A_D0

A_D5

A_A22

A_D13

A_A25

A_D15

A_RESET

A_A23

A_INPACK#

A_IREQ#

A_REG#

A_CE1#

A_D12

A_A10

A_VS1#

A_D6

A_A1

A_A8

A_A21

A_A13

A_IOWR#

A_A20

A_VS2#

A_A12

A_SPKR#

A_IOIS16#

A_A19

A_D3

A_A17

A_A2

A_D8

A_CD2#

A_A11A_IORD#

A_A14

A_D4

A_A4

A_WE#

A_D11

A_A24

A_CD1#

A_A18

A_A16_P

A_STSCHG#

A_A7

A_A5

A_D1

A_A3A_WAIT#

A_D2

A_A9

A_D14

VDDPLL33

AVDD33

3VSUS

3VSUS

VPP

3VSUS

3VSUS

VCCCA

5VSUS

3VSUS

3VSUS

3VSUS

VCCCA

5VSUS

VPP

VCCCA

3VSUSVPP 5VSUS VCCCA

VCCCAVPP

3VSUS

C518

0.1U

C520

.01U

C5640.1U

C521

0.1U

C5941U-16V_6

C5680.1U

C554 1U-10V_4

C565

0.1U

C567

0.1U

CardBus Interface

U20B

PCI7412ZHK

P19N18N17M15N19M18M17L19L18L15K18K17K15J18J15J17H19F15E17D19A16E14B15B14A14C13B13C11E11F11A10C10

L17H18E18E13

F18B12A12J19A15H14A11

M19H17

G15F17G18G19B16E12G17E19F19C12C14H15C15A13

B11N15B10

CAD00CAD01CAD02CAD03CAD04CAD05CAD06CAD07CAD08CAD09CAD10CAD11CAD12CAD13CAD14CAD15CAD16CAD17CAD18CAD19CAD20CAD21CAD22CAD23CAD24CAD25CAD26CAD27CAD28CAD29CAD30CAD31

CCBE0CCBE1CCBE2CCBE3

CCLKCAUDIO

CSTSCHGVCCCA_00VCCCA_01

CPARCCLKRUN#

RSVD_01/D14RSVD_02/A18

CTRDY#CIRDY

CSTOP#CPERR#

CVS2/VS2#CINT#

CGRANT#CFRAME#

CDEVSEL#CSERR#/WAIT#

CREQ#/INPACK#CBLOCK#

CRST#CVS1/VS1#

CCD2#/CD2#CCD1#/CD1#RSVD_04/D2 P

ower/GND

U20D

PCI7412ZHK

H6K6N6P7P9

M14K14G14F13F10

F7

J6L6P6P8P10L14J14F14F12F9F6

P1W8

P13P14U15

U19

K1K19

GND_00GND_01GND_02GND_03GND_04GND_05GND_06GND_07GND_08GND_09GND_10

VCC33_00VCC33_01VCC33_02VCC33_03VCC33_04VCC33_05VCC33_06VCC33_07VCC33_08VCC33_09VCC33_10

VCCP_00VCCP_01

AVDD33_00AVDD33_01AVDD33_02

VDDPLL33

1.5V_001.5V_01

CN11

CARDBUS-SANTA

135

236

337

438

539

640

741

842

943104411451246134714481549165017511852195320542155225623572458255926602761286229633064316532663367

7069

6834

717273747576777879808182838485868788

GND1GND2D3CD1#D4D11D5D12D6D13D7D14CE1#D15A10CE2#OE#VS1#A11IORD#A9IOWR#A8A17A13A18A14A19WE#A20RDYA21VCC1VCC2VPP1VPP2A16A22A15A23A12A24A7A25A6VS2#A5RESETA4WAIT#A3INPACK#A2REG#A1BVD2A0BVD1D0D8D1D9D2D10WPCD2#

GND6GND5

GND4GND3

GND7GND8GND9

GND10GND11GND12GND13GND14GND15GND16GND17GND18GND19GND20GND21GND22GND23GND24

C5580.1U

R430*43K_6

C555 1U-10V_4C5780.1U

C4920.1U

C532

0.001U

C55910U-10V_8

C6111U-16V_6

C577

0.1U

C5600.1U

C5980.1U

C526

0.1U

L31FBM1608

C534

.01U

C56910U-10V_8

L32FBM1608

C511

10U-10V_8

C522

.01U

C59310U-10V_8

C5610.1U

C552

0.001U

U24

TPS2220B(PWR)

123456

89

101112

7

131415161718192021222324

25

5V_05V_1DATACLOCKLATCHNC_0

AVPP/AVCOREAVCC0AVCC1GNDRESET#

12V_0

3.3VIN13.3VIN0

OC#NC_1

BVCC0BVCC1

BVPP/BVCORE12V_1

SHDN#NC_2NC_35V_2

NC

C576

0.1U

C5660.1U

R391 47/F

C58010U-10V_8

C57910U-10V_8

C574

0.1U

C514

10U-10V_8

C508

0.1U

C525

0.1U

C5630.1U

C5960.1U

C5750.1U

C5010.1U

TPS_DATA(26)TPS_CLOCK(26)TPS_LATCH(26)

PCICGRST#(26,35)

Page 28: Gateway MA6 1228

A

A

B

B

C

C

D

D

E

E

4 4

3 3

2 2

1 1

3 IN1 CARD READER

Supporting MMC/SD/MS Cards

CLOSE TO XD SOCKET

WORKAROUND PROPOSAL

48MHz Clock

EC-A11

PC7412 CARD_READER 2ACustom

28 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

MS_DATA1_SD_DAT1_SM_D1MC_PWR_CTRL_0#

FM_LED

SD_CDZ

CLK48M_R

SD_WP_SM_CEZ

MS_CLK_SM_ELWPZMS_BS_SM_WEZ

SD_CDZMS_CDZ

MC_PWR_CTRL_0#

MS_DATA1_SD_DAT1_SM_D1MS_DATA2_SD_DAT2_SM_D2MS_DATA3_SD_DAT3_SM_D3

MS_DATA0_SD_DAT0_SM_D0

MS_BS_SM_WEZ

MS_DATA0_SD_DAT0_SM_D0MS_DATA1_SD_DAT1_SM_D1

MS_DATA3_SD_DAT3_SM_D3

MS_BS_SM_WEZ

MS_DATA0_SD_DAT0_SM_D0

MS_DATA2_SD_DAT2_SM_D2

MS_CDZ

SD_WP_SM_CEZ

MS_DATA3_SD_DAT3_SM_D3MS_DATA2_SD_DAT2_SM_D2

MS_CLK_SM_ELWPZ

SD_CDZ

MS_CLK_SM_ELWPZ

VCC_XD

VCC_XD

3VSUS

VCC_XD

3VSUS3VSUS

+3V

VCC_XD

VCC_XD

R120100K

R138 0

U45

G5240B2T1U

5 13

4 2

IN OUTNC

EN GND

R402 47/F

C1971U-16V_6

R399 10K

R401 10K

R1328.2K_4

FlashMedia Interface

U20C

PCI7412ZHK

F2G5G6C5A4B4D1E3E2F5E1

F3

F1

E9A8B8

A3

C8F8E8A7

B7C7A6B6

E7

C6A5B5E6

SC_OC#SC_PWR_CTRL

SC_VCC5SD_CMD/M_ALE/SC_GPIO2

SD_CLK/SM_RE#/SC_GPIO1SM_CLE/SC_GPIO0

SM_R/B#/SC_RFUSM_PHYS_WP#/SC_FCB

SC_CLKSC_RST

SC_DATA

SC_CD#

CLK_48

SD_CD#MS_CD#SM_CD#

XD_CD#/SM_PHYS_WP#

MC_PWR_CTRL_0MC_PWR_CTRL_1/SM_R/B#

MS_BS/SD_CMD/SM_WE#MS_CLK/SD_CLK/SM_EL_WP#

MS_SDIO(DATA0)/SD_DATA0/SM_D0MS_DATA1/SD_DATA1_SM_D1MS_DATA2/SD_DATA2_SM_D2MS_DATA3/SD_DATA3_SM_D3

SD_WP/SM_CE#

SD_DAT0/SM_D4/SC_GPIO6SD_DAT1/SM_D5/SC_GPIO5SD_DAT2/SM_D6/SC_GPIO4SD_DAT3/SM_D7/SC_GPIO3

C23910U-10V_8

C8761U-16V_6

R406*22

R400 10K

R403 10K

R145 *100K

L36

*BLM11A05

Y6

*SG-8002CA 48M

1

3

2

4

OE

OUT

GND

VDD

C589*0.01U

CC1*10P

L37*BLM11A05

D11 *SW1010CC20610U-10V_8

CON1

FOXCONN 3_1 REVERSED

2

26

35

915

1719

1820

13

12

11

251

48

1014

16

7

6

21222324

MS VSS0

SD_WP

SD_DAT1SD_DAT0

SD_CLKSD_CMD

SD_DAT3SD_DAT2

MS_VCC1MS VSS1

SD VSS1

MS_INS

SD_VDD

DETECT GNDCARD DETECT (CD)

MS_BSMS_SDIO

MS_RESV1MS_RESV2

MS_SCLK

SD VSS0

MS(3P)/MS_VCC0

SHIELD1SHIELD2SHIELD3SHIELD4

C588*0.01U

R395 0

Q7*2N7002E

3

2

1 C2280.01U

C2070.1U

R414 *0

FM_LED(26)

CLK48M (2)

Page 29: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

External MIC

RI FUNCTION

NORMAL : LOW

PC BEEP CONTROL

speakers

Internal MIC

For MDC/DAA Module

Rev_C

Rev_C

Rev_C

STAC9250 2AC

29 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

ACZ_SDOUT_AUDIO

SENSE_A

ACZ_RST#_AUDIO

ACZ_SDIN1

C1A

VREFOUT_B

MIC1_L

VREFOUT_CACZ_SDIN0_1

CAP2

MIC1_R

VREF

BIT_CLK_AUDIO

BEEP

C2A

ACZ_SYNC_AUDIO

AVDD_A

AVDD_A

ACZ_SDIN0

ACZ_SDIN1_1

RI#

PCICRI#

BRI#

BEEP1 BEEPBEEP2

RCDR

RCDLCDGND1

FRONT-L1FRONT-R1

LINE_INL_1 LINEINL_PRLINE_INL

LINE_INR

LINEINPLG#

LINEINR_PR

LINEINPLG

LINE_INR_1

MIC_R_INMIC_L_IN

LINE_INRLINE_INL

SENSE_A

ACZ_SDOUT_AUDIO

ACZ_SDIN1ACZ_SYNC_AUDIO

BIT_CLK_AUDIOACZ_RST#_AUDIO

C1AC2A

AGND

AGND

AGND

AVDD_A

AGND

AVDD_A

AGND

3VSUS

+5V

AGND

AGND

3VSUS3VSUS

AGND

+5V

10V

AGND

+5V

+5V

AGND

AGND

3VSUS

C614

1000P

C621

10U-10V_8

R427 4.7K

U227SH86

1

24

53

U23

STAC 9250

123456789

101112

13 14 15 16 17 18 19 20 21 22 23 24

252627282930313233343536

373839404142434445464748

C2AC1ASDATA_IN_MODEMDVSS1SDATA_OUTBIT_CLKDVSS2SDATA_IN_CODECDVDDSYNCRESET#PC_BEEP

SE

NS

E_A

NC

NC

NC

NC

CD

_LC

D_G

ND

CD

_RP

OR

T_B

_LP

OR

T_B

_RP

OR

T_C

_LP

OR

T_C

_R AVDD1AVSS1

VREFVREFOUT_BVREFOUT_C

NCNC

CAP2GPIO0GPIO1

PORT_D_LPORT_D_R

MO

NO

AVD

D2

PO

RT_

A_LNC

PO

RT_

A_R

AV

SS

2D

MIC

_LD

MIC

_RG

PIO

2D

MIC

_CLK

SP

DIF

_IN

/EA

PD

SP

DIF

_OU

T

Q23DTC144EUA

13

2

R41610K

R511*10K

C591 1U-10V_6

Q25DTC144EUA

13

2

C612

10U-6.3V_8

C590

0.1U

R438*20K/F_6

C5731000P

MR40_8

C5720.1U

CN12

MDC

13579

11

24681012

GNDAC_SDOGNDAC_SYNCAC_SDIAC_RST#

RSVRSV3.3VGNDGND

AC_BCLK

R410 22

C622

10U-10V_8

Q28DTC144EUA

13

2

R51310K

R4155.11K/F_6

C586

0.1U

Q412N7002E

3

2

1

C571

*10P

C600 1U-10V_6

C6151U-10V_6

R4122.2K

R409 22

T119

R709 56.2/F_6

R428 0

C613

0.1U

MR20_8

R708 56.2/F_6

R422 4.7K

C583

*10P

Q29DTC144EUA

13

2

R425 4.7K

Q322N7002E

3

2

1

C595 1U-10V_6

Q312N7002E

3

2

1

MR30_8

C599

0.1U

C5840.47U_6

R3941K_6

L35

FCM2012VF

C638 1U-10V_6

R437*20K/F_6

R41110K

R514 39.2K

C5810.1U

Q24DTC144EUA

13

2

C582

1U-10V_6

C597 1U-10V_6

MR10_8

R51210K

C585

*0.1U

MR50_8

C570 0.1U

R396 2.2K

R42410K

R397 *22

T118

R407

*22

C637 1U-10V_6

MR60_8

R42910K

ACZ_SDIN1(12)

SENSE_A(30)

ACZ_SDIN0(12)

ACZ_SDOUT_AUDIO(12)BIT_CLK_AUDIO(12)

VREFOUT_B (30)

ACZ_RST#_AUDIO(12)ACZ_SYNC_AUDIO(12)

MIC1_L (30)MIC1_R (30)

PCICRI#(26)

BRI# (31,37)

RI# (14)

CDAUDL (33)CDGND (33)CDAUDR (33)

PCMSPK#(26)

ACZ_SPKR(14)

FRONT-R1 (30)FRONT-L1 (30)

SPDIF(30)

LINEINR_PR (37)

LINEINL_PR (37)

LINEINPLG(37)

MIC_IN (30)

VREFOUT_C (30)

EAPD (30)

Page 30: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

Mic in

Int. Stereo Speakers

To Port-Replicator

PORT D L1,R1

Closetopin15

Closetopin7

AUDIO AMPLIFIER-MAX9755

Headphone out

INT. Mic

EC-A12

Rev_C

Rev_C

0

MODESPKR HP

0

10.5

GAIN1MODE

3

Rev_D

91

Rev_D

AMP/AUDIO CONN 2AC

30 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

R_SPK-_C

L_SPK-L_SPK+

R_SPK+R_SPK-

R_SPK+_C

L_SPK+_CL_SPK-_C

SPKL

SPKR

HPSENSE#

L_SPK-L_SPK+

MUTE

GAIN1

SPKL

HPS_PLUGIN

R_SPK-

FRONT-L1HPSENSE#

FRONT-R1

SPKR

GAIN1

HPS

R_SPK+

SPKR1

SPKL

SPKR_SYS

SPKL1

HPSENSE

SPKR

SPKL_SYS

SPDIF_1

VREFOUT_C

HPSENSE#

HPSENSE

AGND

AGND

AGND AGND

10V

AGND

AMP_VDD

AGND

AGND

AMP_VDD

AGND

AGND

AGND

+5V

AGND

AGND

AGND

AGND

AMP_VDDAGND

AGND

AMP_VDD

AGND

AMP_VDD

AMP_VDD

AGND

+5V

AGND

AGND

+5VAGND

AGND

AGNDAGND

AGNDAGND

AGND

AGND

+5V

AGND

AGNDAGND

AVDD_A

AGND

+5V

AGND

C740

100P-ESD_6

C6061U-10V_6

C6240.1U

L50 LZA10-2ACB104MT

C7021U-10V_6

Q53

2N7002E

3

2

1

DRIVE

IC

CN14

audio/spdif

13245

7

106

89

11

U267SH32

2

1

5

4

3

R444*1K_6

C607

*100P-ESD_6

R423 5.1_6

R426 5.1_6

U25

MAX9755ETI

2

28

27

24

23

22

21

20

14

13

451718

631619

25 15 8 10 7

29 9 11 12

1

2630 31 32

33

INL

INR

NC

GAIN_SEL

GND

/SHDN

VBIAS

HPS

HPL

HPR

OUTL+OUTL-OUTR-OUTR+

PVDDLPGNDLPVDDRPGNDR

VD

D

HP

VD

DC

1P

C1N

CP

VD

D

GN

D_T

HM

CPG

ND

CP

VS

SV

SS

NC

GN

D

GN

D_T

HM

GN

D_T

HM

GN

D_T

HM

GND_THM

C620

*220P

C6270.1U

Q42DTC144EUA

13

2

C61910U-10V_8

L46 LZA10-2ACB104MTR525 470_6

R54720K/F_6

CN15

MIC-JACK-PINK

126345

7

8

L48FBM2125HM330

R69210K

C7381000P

R544 10K

C630

*220P

C644*100P-ESD_6

R436*100K

R5414.02K/F

C719*0.1U

C618

*220P

R43120K/F_6

R5231K_6

CN13

R-L-SPEAKERS

1234

C609 1U-10V_6

Q56

2N7002E

3

2

1

R517 0

R445*100K

R518 0

L42 BK1608HS121-T

D24 BAS31621

C716100P-ESD_6

R54520K/F_6

Q262N7002E

3

2

1

D33

BAS316

2 1

C610

1000P

R54910K

C640

*220P

R713 5.11K/F_6

C61710U-10V_8

R433 0_6

L41 BK1608HS121-TL40 BK1608HS121-T

R5221K_6

C7200.1U

R533 20K/F_6

C6361U-10V_6

MIC

U7

MIC

12

C7030.1U

C728100P-ESD_6

C746*0.1U

R44310K_6

D23 *BAS31621

Q452N7002E

3

2

1

R54320K/F_6

R51910K

Q272N7002E

3

2

1

R5291K_6

R442 *0R439 *220

C608 1U-10V_6

C616

1U-1

0V_6

R526 470_6

C6260.1U

C639 1U-10V_6

L52 LZA10-2ACB104MT

C747100P-ESD_6

L43 BK1608HS121-T

C6420.1U

R4324.02K/F

R440*110

C643 1U-10V_6

C62510U-10V_8

R5384.02K/F

L47 LZA10-2ACB104MT

C6230.1U

MIC1_L(29)

SPK_L_PR (37)

SPK_R_PR (37)

VREFOUT_B(29)

FRONT-R1(29)

FRONT-L1(29)HPSENCE_PR (37)

VOLMUTE#(35)

SPDIF(29)

MIC1_R(29)

VREFOUT_C(29)

MIC_IN(29)

EAPD(29)

SENSE_A(29)

SENSE_A (29)

Page 31: Gateway MA6 1228

A

A

B

B

C

C

D

D

E

E

4 4

3 3

2 2

1 1

SUPER I/O - PC87383

For PC87383 Use

PRINT PORTSET ADDRESS

164E ~ 164F

Mini Card

CPU

MODEM

TPM

LCD

MODEM

EC-A13

SUPER I/O - PC87383 2ACustom

31 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

LPC_DRQ0#

INIT#

SERIRQ

PCLK_SIO

BUSY

PD6

BRI#

LAD0/FWH0

PD7

SLIN#

STRB#

PD4

LAD3/FWH3

-MRTS1

ERROR#

LAD2/FWH2

PD5

PLTRST#

PD0

LFRAME#/FWH4

CLKRUN#

14M_SIO

PD[0..7]

ACK#

PD1

LAD1/FWH1

PD3

AFD#

PD2

SUS_STAT_1#

-MCTS1

-MDCD1

-MDTR1

-MDSR1

BRI#M_TXD1

MRXD1

-MDSR1-MDCD1

M_TXD1

-MRTS1

-MCTS1

PCLK_SIO

SLCT

PE

PD3

AFD#

ACK#

PEPD1

SLIN#

PD0 SLCTERROR#

PD4

PD2 PD7INIT#

BUSY

PD5PD6

14M_SIO

+3V

+3V

+3V

+3V+5V

+5V

+5V

+5V

AGNDAGND

HOLE30H-C217D142P2

1

PAD36FDMK1004

1

PAD24FDBF1006

1

R50710K

R508*10K

PAD40FDBF1006

1

C320.1U

PAD20FDBF1006

1

HOLE6H-C315D118P2

1

PAD9FDBF1006

1

PAD32FDMK1004

1

PAD2FDBF1006

1

HOLE8H-C217D118P2

1

PAD6EMIPAD256X118

1

PAD19FDMK1004

1

C689*22P

12

C5510U-10V_8

HOLE12H-C217D118P2

1

HOLE22H-C315D118P2

1

RP57

10P8R-4.7K

10987 4

321

56

HOLE11H-C217D118P2

1

HOLE24H-C315D118P2

1 HOLE20H-C217D118P2

1

PAD35FDEF5002

1

C6900.1U

HOLE10H-C217D118P2

1

HOLE1HOLE-D118-MA1

1

RN2 10KX4

13578

642

HOLE31H-C315D118P2

1

PAD37FDMK1004

1

PAD31FDMK1004

1

HOLE25H-C217D142P2

1

PAD4FDBF1006

1

HOLE17H-S354D118P2

1

PAD14FDBF1006

1

PAD25FDBF1006

1

HOLE28H-C217D142P2

1

PAD13FDBF1006

1

PAD44FDBF1006

1

PAD26FDBF1006

1

PAD17FDBF1006

1

HOLE29H-C315D118P2

1

PAD34FDMK1004

1

PAD15FDBF1006

1

PAD3FDBF1006

1

PAD29FDEF5002

1

HOLE13H-R413X354D118P2

1

PAD33FDMK1004

1

PAD43FDBF1006

1

PAD21FDBF1006

1

R505*33

PAD11FDBF1006

1

HOLE7H-C315D118P2

1

PAD45FDMK1004

1

HOLE34H-R413X354D118P2

1

HOLE26H-C217D142P2

1

HOLE27H-C315D118P2

1

PAD7FDBF1006

1

PAD8FDBF1006

1

HOLE16H-C217D142P2

1

PAD41FDBF1006

1

PAD22FDBF1006

1

HOLE19H-TC236BS315D118P2

1

HOLE9H-C217D118P2

1

PAD16FDBF1006

1

HOLE33H-S354D118P2

1PAD42FDEF5002

1

C875*22P

12

R50410K

RP56

10P8R-4.7K

10987 4

321

56

PAD39FDBF1006

1

PAD5EMIPAD256X118

1

HOLE3H-C315D118P2

1

C6920.1U

D32 SW1010C21

R510 10K

HOLE23H-R413X354D118P2

1

PAD30FDMK1004

1

HOLE5H-C217D118P2

1

HOLE32H-TC314BR394X433P2

1

R702*33

PAD12FDBF1006

1

PAD1FDBF1006

1

PAD38FDBF1006

1

HOLE15H-C217D142P2

1

PAD18FDBF1006

1

U4

PC8738311 32 45

12 31 44 1312

3

4

5

6

7

9

8

10

14

15

16

18 17

19

20

2122

23

24

25

26

27

28

29

30

33

34

35

36

37

38

39

40

41

42

43

46

47 48 49

50

51

52

53

54

55

56

57

58

59

60

61

62

63

64

VD

D

VD

D

VD

D

VS

S

VS

S

VS

S

VC

OR

FN

C

NC

CTS1/GPIO11

DTR1_BOUT1/BADDR

RI1/GPIO10

PD3

GPIO06

IRTX

IRRX1

IRRX2_IRSL0/GPIO17

STB_WRITE/TEST

GPIO00

GPIO01

NC

NC

GPIO02

GPIO03

GPIO04LDRQ/XOR_OUT

GPIO20

SLCT

PE

BUSY_WAIT

CLKRUN/GPO22

ACK/GPO24

LPCPD/GPIO21

PD7/PGIO23

LCLK

PD6

LRESET

SERIRQ

PD5

LFRAME

PD4

GPIO05

GPIO07

LAD0

PD2

LAD1

NC

NC

NC

PD1

LAD2

PD0

LAD3

ERR

SLIN_ASTRB

INIT

AFD_DSTRB/TRIS

CLKIN

DCD1/GPIO16

DSR1/GPIO15

SIN1/GPIO14

RTS1/GPIO13

SOUT1/GPIO12

NC

PAD23FDBF1006

1

HOLE2H-S354D118P2

1

HOLE4H-S354D118P2

1PAD10FDBF1006

1

PCLK_SIO(2)

BUSY(37)

INIT#(37)

AFD#(37)

CLKRUN#(14,26,35,36)

14M_SIO (2)

LAD1/FWH1(12,32,35,36)

ACK#(37)

STRB#(37)

PLTRST#(13,14,16,24,32,36)

LAD3/FWH3(12,32,35,36)

ERROR#(37)

SLIN#(37)

PD[0..7](37)

SUS_STAT#(14,35,36)

LAD2/FWH2(12,32,35,36)

SLCT(37)

LAD0/FWH0(12,32,35,36)

PE(37)

LFRAME#/FWH4(12,32,35,36)

-MRTS1 (37)

-MDTR1 (37)

-MDCD1 (37)

-MDSR1 (37)

-MCTS1 (37)

BRI# (29,37)

M_TXD1 (37)

MRXD1 (37)

LPC_DRQ0#(12,35)

SERIRQ(14,26,35,36)

Page 32: Gateway MA6 1228

A

A

B

B

C

C

D

D

E

E

D D

C C

B B

A A

67910-0002

Mini PCI-E Card 1

PCI-Express TX and RX direct to connector

BLUETOOTH CONNECTOR

EC-A14

Rev_C

Rev_C

NEW CARD, MINI CARD 2ACustom

32 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

PCIE_WAKE_MINI#

BT_LED#

PCIE_RXN1-LPCIE_RXP1-L

WL_LED#

BT_LED#

CCI_DATA

USBP6-USBP6+

WL_LED#

CCI_CLK

BT_LED

PCLK_LPC_DEBUG

BT_LEDWIFI_BUSY

BT_BUSYCCI_DATACCI_CLK

+3V

3VSUS

3VSUS 3VSUS+3V+3V +1.5V+1.5V

10V

V_BT

3VSUS V_BT

5VSUS

R217 0

R481 *0_6

C4500.1U

R693*33

C65810U-6.3V_8

R215 0

R479 0

R695 0

D17

*SW1010C

R477 *0

R482 *0_6

R279 0_6

T145

C66910U-6.3V_8

C872*22P_6

R216 0

Q19SI3456DV

3

652

4

1

C665 0

C6660.1U

C6600.01U

T148

C668 0

CN22

67910-0002

514947454341393735333129272523211917

151311975

525048464442403836343230282624222018

16

631

1412108

42

ReservedReservedReservedReservedReservedReservedReservedReservedGNDPETp0PETn0GNDGNDPERp0PERn0GNDReservedReserved

GNDREFCLK+REFCLK-GNDCLKREQ#Reserved

+3.3VGND

+1.5VLED_WPAN#LED_WLAN#

LED_WWAN#GND

USB_D+USB_D-

GNDSMB_DATA

SMB_CLK+1.5VGND

+3.3VauxPERST#

ReservedGND

Reserved

+1.5VReservedWAKE#

ReservedReservedReservedReserved

GND+3.3V

R478 0_6

R218 0T146

Q21

*DTC144EUA

13

2

C6631U-10V_6

R311*10K

C6730.1U

R257 *0

C44110U-10V_8

Q20RHU002N06

2

31

Q54

*DTC144EUA

13

2

R483 *0_6

T132

T144

C6720.1U

C4430.1U

D16

*SW1010C

R214 0

R694 0

CN9

87212-0800L

12345678

R272100K

Q40DTC144EUA

13

2T131

PCIE_RXN1(13)PCIE_RXP1(13)

SRC_MINICARD1#(2)

CGDAT_SMB (2,11)

SRC_MINICARD1(2)

RF_OFF# (35,36)

PCIE_TXP1(13)PCIE_TXN1(13)

CGCLK_SMB (2,11)

PCIE_WAKE#(14,24)

PLTRST# (13,14,16,24,31,36)

WL_A#(36)

BT_ON#(14)

USBP6-(13)USBP6+(13)

PCLK_LPC_DEBUG(2)PLTRST#(13,14,16,24,31,36)

LFRAME#/FWH4 (12,31,35,36)

RF_OFF#(35,36)

LAD1/FWH1 (12,31,35,36)

LAD3/FWH3 (12,31,35,36)LAD2/FWH2 (12,31,35,36)

LAD0/FWH0 (12,31,35,36)

Page 33: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

MASTER

ODD

MASTER

1 0

LEFT BAY(CD-ROM, HDD-PATA/SATA, FDD,)

BAY POWER CONTROL

1

0

1

LBAY ID STATUS

HDD(SATA)

STATUSLEFTBAYID0

0 1

SATA CONNECTOR

0

LEFTBAYID1

HDD(PATA)

Rev_C

Rev_C

Rev_C

SATA HDD, CD-ROM 2ACustom

33 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

PDIOR#

PDD13PDD2

PDDREQ

PDCS3#

BAYINS#

PDA2

BAYID0ODDLED#

PDA2

PDDREQ

PDA1

PDD8

PDD12

PDCS1#

PDIAG

USBP5-

PDIOW#

RCSEL

SATA_RXP2

PDA1

PDIORDY

PDD0

BAYSWAP PDA0

USBP5+

IRQ14CDGND

PDD15

PDA0

Z1003

PDCS1#

LBAYRST_1#

PDDACK#CDAUDR

SATA_TXN2

PDD7

PDD3

PDD9

SATA_TXP2

PDD1 PDD14

SATA_RXN2

PDD5

PDIOW#

PDD6

BAYID1

PDDACK#

PDCS3#PDD10

PDD[0..15]

PDD4 PDD11

PDIOR#

IRQ14

PDIORDY

CDAUDL

BAYON#

BAYVCC

BAYVCC

+3V

3V_S5

10V

HDD_VDD

+3V

+5V

BAYVCC

BAYVCC+3.3VSATA

BAYVCC

+5V

+3V

+3.3VSATA

+3V

+5V

HDD_VDD

VIN

+5V

BAYVCC

R196 *470_6CN29SWAP-COVER

1 23 4

C5560.1U

C6051000P

C6030.1U

R265 470K

C6350.1U

C6340.1U

C53322U-10V_8

C8290.1U

C8310.1U

PR2201M_6

C37410U-10V_8

C832*33P

C60110U-10V_8

Q30SI4800DY

365

78

2

4

1

R688100K

C6330.1U

C6040.1U

PQ79DTC144EUA1

3

2

C5494.7U-10V_8

C8800.1U

CN24

Serial ATA

22

20

18

16

14

12

10

8

6

4

2

21

19

17

15

13

11

9

7

5

3

1

12V

12V

RSVD

5V

5V

GND

3.3V

3.3V

RXP

GND2

TXP

12V

GND

GND

5V

GND

GND

3.3V

GND3

RXN

TXN

GND1

C6020.1U

C5534.7U-10V_8

C5360.1U

PQ782N7002E

3

2

1

C3620.1U

C82610U-10V_8

R71110K

C8330.1U

C5391000P

PQ802N7002E

3

2

1

SW6SWAP-SWITCH

1 2

R6871K

C3630.1U

CN27

0228-02-KNHD-60P

13579

11131517192123252729313335373941434547495153555759

24681012141618202224262830323436384042444648505254565860

1357911131517192123252729313335373941434547495153555759

2468

1012141618202224262830323436384042444648505254565860

PR21922_8

R659 33

C4372200P_6

T103

C8401000P

C8280.1U

C6320.1U

R197 470_6

Q49DTC144EUA

13

2

R642 10K

PR2211M_6

PDDREQ(12)

BAYID0 (13,14)

CDGND (29)

PDA2(12)

SATA_RXN0 (12)SATA_RXP0 (12)

PDIOR#(12)

PDCS3#(12)

BAYINS#(14,35)

BAYSWAP (35)

SATA_TXN2(12)

CDAUDR (29)

USBP5- (13)

SATA_TXP2(12)

PDIORDY(12)PDDACK#(12)

PDCS1#(12)

SATA_TXP0 (12)

CDAUDL(29)

USBP5+ (13)SATA_RXN2(12)

PDD[0..15](12)

PDIOW#(12)

SATA_TXN0 (12)

IRQ14(12)PDA1(12)PDA0(12)

SATA_RXP2(12)

BAYID1 (13)

RST_BAY#(13)

ODDLED#(36)

BAYON#(13)

Page 34: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

40MIL 40MIL USB-0

LEFT SIDE

USB-3

LEFT SIDE

90ohm@100Mhz

USB-1

USB-2

40MIL 40MIL

USB Ports 2ACustom

34 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

USBP0+USBP0-

USB0PWR

USBP_0+USBP_0-

USBP_3+

USB1PWRUSBP_3-

USBP_1+

USBP_1-

USBP_2-

USBP_2+

USB1PWRUSB0PWR

USB3PWRUSB2PWR

USBOC#0USBOC#3

USBOC#1USBOC#2

USBP_1-

USBP_1+

USBP_2+

USBP_2-

USBP_0-USBP_0+

USBP_3-USBP_3+

USB2PWR

USB3PWR

5VSUS

5VSUS C648 4.7U-10V_8C649 4.7U-10V_8

C6470.1U

R5 0

R454 0

C6450.1U

C654*Clamp-Diode_6

12

C8*Clamp-Diode_6

12

R45 0

C1250.1U

+C119100U-6.3V

C7*Clamp-Diode_6

12

C6 4.7U-10V_8

R48 0

+C124100U-6.3V

CN20-2USB_CONN

5

6

7

8

11 12

V+

DATA_L

DATA_H

GND SH

IELD

1

SH

IELD

2

R47 0

CN17

USB

1234

56

C657*Clamp-Diode_6

12

C1200.1U

U27

CM3512-04SN

143

85

267

GNDEN2EN1

OC1OC2

VCCOUT2OUT1

R453 0

R3 0

+C5100U-6.3V

CN18

USB

1234

56

EB2

*USB2.0 C-CHOKE

1

2

3

4

8

7

6

5

A0+

A0-

B1+

B1-

A_0+

A_0-

B_1+

B_1-

C646 4.7U-10V_8

C656*Clamp-Diode_6

12

CN20-1USB_CONN

1

2

3

4

9 10

V+

DATA_L

DATA_H

GND SH

IELD

1

SH

IELD

2

+C9100U-6.3V

R46 0

EB1

*1632090

143

2 143

2

C3*Clamp-Diode_6

12

U1

CM3512-04SN

143

85

267

GNDEN2EN1

OC1OC2

VCCOUT2OUT1

C655*Clamp-Diode_6

12

EB5

*1632090

143

2 143

2

C4*Clamp-Diode_6

12

USBP0-(13)USBP0+(13)

USBP3+(13)USBP3-(13)

5VSUS(27,32,37,38,41,43)

USBP2-(13)

USBP2+(13)

USBP1-(13)

USBP1+(13)

USBOC#0 (13)

USBOC#1 (13)USBOC#2 (13)

USBOC#3 (13)

Page 35: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

LDRQ#(pin 8) internal is no use

Pin 103 internal is"A19",Can't use toGPIO

Should have a 0.1uF capacitor close to everyGND-VCC pair + one larger cap on thesupply.

POP FOR 97551

SHBM=1: Enable shared memory with host BIOS

I/O AddressBADDR1-0 Index Data

2F2E4E 4F

(HCFGBAH, HCFGBAL) (HCFGBAH, HCFGBAL)+1Reserved

010

11 1

00

POWER SWITCH

KBC-PC87541V

8Mbit (1M Byte), TSSOP40

EC-A15

Rev_C

KBC-PC87551VPC 2ACustom

35 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

LPC_DRQ0# DRQ0#

BADDR1

BADDR0

KBSMI#

CC-SET

MBDATA

MBCLK

ENV1

SCI#

SHBM

THERM_ALERT#

TINT-

HWPG

KBSMI#

PR_INSERT#

BADDR0

SHBM

WR#

BADDR1

A15

A6

TRIS

A14

A12

A9

CS#

A8A7

A13

A17

RD#

A11

ENV1

A10

ENV0

A16

A18

D3D2

D4D5

D0D1

D7D6

A19

ABCLK

ABDATA

MY17

MY16

LPCPD#

591_32KX3591_32KX3

A15

BL/C#

SELIO#

BADDR1

MBDATA

MY17

200HZ-LED

MY10

MY1

A17

A11

M/A#

A7A6SHBM

PCIRST#

TEMP_ABATMBATV

BAYSWAP

RD#

D7

ABCLK

TEMP_MBAT

MY7

MX3

KBSMI#591

LAD1/FWH1

CS#

LPCPD#

WR1#

A14

A9

MXLID#

WR#

ENV1

ABDATA

BATLOW#_1

MY8

591RESET#

AGND_591

D5

PR_INSERT#

THERM_ALERT#

MBATLED0

SERIRQ

A18

CELL_SLT

ACIN

MSCLK

MY9

MY2

MX6

MSDATA

MY3

MX7

MX1MX0

A12

SUSB#

D0

ENV0

FANSIG_1

KBC_TP_11

KPCLK

MX5MX4

LAD0/FWH0

591CLK

D/C#

ABATV

MY11

DRQ0#

RCIN#

NBSWON#

SWI#2

D4

NBSWON#

PWM_INV

KPDATA

591SEL1#

KBC_TP_12

TINT-

MY6MY5MY4

MY0

LAD2/FWH2

GATEA20

A8

D6

D3

MY16

RF_OFF#

VFAN_1

LITGHT_SENSE

591_32KX2

591_32KX1

A10

D2D1

BADDR0

KBC_NC01

MY13

LFRAME#/FWH4

A13

HWPG

TRIS

MBCLK

ADREF

MY12

A19

MBATLED1

BAYINS#

MY15MY14

MX2

LAD3/FWH3

A16

PCICGRST#

PWR_LED

KBC_NC02

CLKRUN#

3VPCU

3VPCU

3VPCU3VPCU

+5V+5V

+3V

3VPCU

3VPCU

+3V

3VPCU

+3V

3VPCU

3VPCU

3VPCU

3VPCU

3VPCU

R382 10K

T122

R344 *10K

D20 SW1010C

C5870.1U

R337 0

T125

C4530.1U

C5090.1U

R334 *10K

R413 10K

L38SBK160808T-121

21

C5620.1U

R375 *0

R325 120K_6

Y432.768KHZ

1 4

C4930.1U

R419 10K

T124

RN110K_8P4R

1 3 5 78642

T127

D22

SW1010C

R343 *10K

R335 10K

C4390.1U

R336 10K

R388 10K

R110K

R420 0

T128

C4705.6P

R41810K

R380470K

C5501U-16V_6

R41710K

Host interface

Key matrix scan

JTAG debug port

PS2 interface

AD Input

DA output

PWM or

PORT-B

PORT-C

PORT-E

PORT-H

PORT-I

PORT-J-1

PORT-D-1

PORT-D-2PORTJ-2

PORT-K

PORT-L

PORT-M

PORT-A

U21

PC87541V

56

15141310

19

9

7

18

31

2223

8

16 34 45 123

136

95 161

7172737477787980

49505152535657585960616465666768

106

108107

105

109

110111114115116117118119

158

160

81828384878889909394

99100101102

3233363738394043

153154162163164165

1681691701711721751761

2442425

124125126127128131132133

138139140141144145146147

150151

152

9617 35 46 122

159

262930

157

166

167

137

41425455

626369707576 143

142135134130129121120

113112104103

148149155156

34

2728

48

17317447

12 20 21 85 86 91 92 97 9811

GA20/IOPB5KBRST/IOPB6

LAD0LAD1LAD2LAD3

LREST

LFRAME

SERIRQ

LCLK

IOPD3/ECSCI

SMIPWUREQ

LDRQ

VD

D

VC

C1

VC

C2

VC

C3

VC

C4

AV

CC

VB

AT

KBSIN0KBSIN1KBSIN2KBSIN3KBSIN4KBSIN5KBSIN6KBSIN7

KBSOUT0KBSOUT1KBSOUT2KBSOUT3KBSOUT4KBSOUT5KBSOUT6KBSOUT7KBSOUT8KBSOUT9KBSOUT10KBSOUT11KBSOUT12KBSOUT13KBSOUT14KBSOUT15

TCK

TDITDO

TINT

TMS

PSCLK1/IOPF0PSDAT1/IOPF1PSCLK2/IOPF2PSDAT2/IOPF3PSCLK3/IOPF4PSDAT3/IOPF5PSCLK4/IOPF6PSDAT4/IOPF7

32KX1/32KCLKOUT

32KX2

AD0AD1AD2AD3

IOPE0AD4IOPE1/AD5IOPE2/AD6IOPE3/AD7

DP/AD8DN/AD9

DA0DA1DA2DA3

IOPA0/PWM0IOPA1/PWM1IOPA2/PWM2IOPA3/PWM3IOPA4/PWM4IOPA5/PWM5IOPA6/PWM6IOPA7/PWM7

IOPB0/URXDIOPB1/UTXD

IOPB2/USCLKIOPB3/SCL1IOPB4/SDA1

IOPB7/RING/PFAIL

IOPC0IOPC1/SCL2IOPC2/SDA2

IOPC3/TA1IOPC4/TB1/EXWINT22

IOPC5/TA2IOPC6/TB2/EXWINT23

IOPC7/CLKOUT

IOPE4/SWINIOPE5/EXWINT40

IOPE6/LPCPD/EXWIN45IOPE7/CLKRUN/EXWINT46

IOPH0/A0/ENV0IOPH1/A1/ENV1

IOPH2/A2/BADDR0IOPH3/A3/BADDR1

IOPH4/A4/TRISIOPH5/A5/SHBM

IOPH6/A6IOPH7/A7

IOPI0/D0IOPI1/D1IOPI2/D2IOPI3/D3IOPI4/D4IOPI5/D5IOPI6/D6IOPI7/D7

IOPJ0/RDIOPJ1/WR0

SELIO

AG

ND

GN

D1

GN

D2

GN

D3

GN

D4

GN

D5

IOPD0/RI1/EXWINT20IOPD1/RI2/EXWINT21

IOPD2/EXWINT24

VC

C5

VC

C6

GN

D6

GN

D7

IOPD4IOPD5IOPD6IOPD7

IOPJ2/BST0IOPJ3/BST1IOPJ4/BST2IOPJ5/PFSIOPJ6/PLIIOPJ7/BRKL_RSTO IOPK0/A8

IOPK1/A9IOPK2/A10IOPK3/A11IOPK4/A12

IOPK5/A13/BE0IOPK6/A14/BE1

IOPK7/A15/CBRD

IOPL0/A16IOPL1/A17IOPL2/A18IOPL3/A19

IOPM0/D8IOPM1/D9IOPM2/D10IOPM3/D11IOPM4/D12IOPM5/D13IOPM6/D14IOPM7/D15

IOPL4/WR1

SEL0SEL1CLK

NC

2N

C3

NC

4N

C5

NC

6N

C7

NC

8N

C9

NC

10

NC

1

C5920.1U

R342 10K

R408 10K

T130

R42110_6

R333 10K

T117

U15

ST Micro M29W008AB/AMD-29LV081B/SST39VF080

212019181716151487

36654321

13

2224

2526272832333435

39

40

9

3031

2337

293811

1012

A0A1A2A3A4A5A6A7A8A9A10A11A12A13A14A15A16

A18

CE#OE#

D0D1D2D3D4D5D6D7

GND

A17

WE#

VCCVCC

GNDA19

NC1NC2NC3

RESET#/NCRY/BY#/NC

T136

D19 SW1010C

R405 10K

R367 *10K

T123

T120

T121

SW2

SWITCH

1 2

35

46

T133

R393 10K

T116

C5480.1U

C10.1U

R338 20M

T126

T135

R332 10K

R387 1K

T137

R264 0

C5020.1U

D18 SW1010C

D21

SW1010C

R385 *0

C5400.1U

R327 10K

C45820P

T129

LAD0/FWH0(12,31,32,36)LAD1/FWH1(12,31,32,36)LAD2/FWH2(12,31,32,36)LAD3/FWH3(12,31,32,36)

TPDATA(36)TPCLK(36)

SUSC#(14)

MBATV (40)

SCI#(14)

GATEA20(12)RCIN#(12)

KBSMI#(14)

MAINON(38,42,43,44)

VOLMUTE#(30)

MBATLED1 (36)

VRON(38,45)

MBATLED0 (36)

SUSON(38,43)

DNBSWON#(14)

PWROK(14,22)

S5_ON(38)

SWI#(14)

NUMLED(36)CAPSLED(36)

PCIRST# (13,26)

SUS_STAT# (14,31,36)

LFRAME#/FWH4(12,31,32,36)

LAN_POWER(38)

CC-SET (39)

LPC_DRQ0#(12,31)

HWPG(41,42,45)

200HZ-LED (14)

BAYSWAP(33)

ABATV (40)TEMP_ABAT (40)

BAYINS# (14,33)

VFAN_1 (36)

RF_OFF# (32,36)

BATLOW# (14)

LED_ON# (36)

TEMP_MBAT (40)

LAN_PWRGD (24)

CLKRUN# (14,26,31,36)

MY10(36)

MX0(36)

MY13(36)

MY0(36)

MX7(36)

RSMRST#(14)

BL/C# (39,40)

MX6(36)

NBSWON# (37)

FANSIG_1 (36)

PWR_LED (36)

MX4(36)

ABCLK (3,40)

MBCLK (40)

MY14(36)

MY1(36)

MX5(36)

PCICGRST#(26,27)

M/A# (40)

MY6(36)

THERM_ALERT# (3,14)

MY3(36)

MY11(36)

MY8(36)

MBDATA (40)

MY9(36)

MY5(36)

MY2(36)

MXLID# (22)

PR_INSERT# (23,37)

MX1(36)

PWM_INV (22)

ABDATA (3,40)

MY7(36)

MY4(36)

MY15(36)

MX2(36)

CELL_SLT (39)

MY12(36)ACIN (39)SUSB# (14)

MX3(36)

PCLK_551(2)

D/C# (39,40)

SERIRQ(14,26,31,36)

Page 36: Gateway MA6 1228

1

1

2

2

3

3

4

4

5

5

6

6

7

7

8

8

A A

B B

C C

D D

TRACK POINT

DFHS12FS23812

WIRELESS LED

1

12 MIL

LED INDIACTOR

TOUCHPAD

FAN OUT PWM CONNECTOR

20 mil

KEYBOARD

TPM Connector

FINGER PRINT

EC-A16

Rev_C

Rev_C

TPM/TP/KEY/BTB 3AC

36 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

MY1

MY4

MY9

MY12

TP_L

MY0

MY5

MY5

MY1

TS_CLK

MX5

TP_R

MX3

MY10MX4

TPCLK-1

MY8

MY15

MY7

MX3

MX0

MY4

MY8

MX2

MY9

MY6

5VFAN1

MY11MY12

MY14

MY2

MX2

MX1

TP_R

TS_DAT

MY11

MY13

MY6

MY0

MY13

MX1

MY3

TS_CLK

MY14

MX6

TP_L

TPDATA-1

MX7

MX0

MX6

MY7

5VTP

MX7

MY3

MY10

MY2

TS_DAT

MY15

MX4

MX5

LED_ON#1

-PWRLED

LPCPD_1#

PENABLE

PCLK_TPM

THCLK_SMBTHDAT_SMB

MY12

MY5

MY2

MY6

MY13

MY9MY14 MY7

MY8

MY1MY11MY0

MY3MY15

MY10MY4

-PWRLED

WL_A#

PACCESS

+5V

3VPCU_LED

+5V

+5V

+5V

+5V_LED

+5V_LED

3VPCU_LED

+5V15V

+5V_LED

3VPCU

+3V

+5V_LED

+5V_LED

+5V_LED

3VSUS

+3V

+5V_LED

+3V

3VPCU 3VPCU

3VPCU 3VPCU

+5V_LED

+3V

D27 LED

CP1 220PX41357 8

642

CP6 220PX41357 8

642

Q362N7002E

3

2

1

CN7

KEYBOARD

123456789

10111213141516171819202122232425

GREEN

RED

D30

L-3WEGC-6.6LSF5

32

1

R7011M_6

CN6

TOUCH PAD 12P1

456789101112

23

RP59

10KX8

10987 4

321

56R4 330

L9 0_8

CP5 220PX41357 8

642

L530_8

RV2*VARISTOR_6

R2 330

D35 SW1010C21

L59 BLM11A05

CP3 220PX41357 8

642

SW5

SWITCH

1 2

35

46

R435 1K

C8790.1U

CN10

88020-2010

123456789

1011121314151617181920

1234567891011121314151617181920

R578 75/F

U18

*NM24C02-WMN6T

123

84

65

7

A0A1A2

VCCGND

SCLSDA

WP

C87322U

C762*100P-ESD_6

Q34DTC144EUA 1

3

2

C778*100P-ESD_6

C6280.1U

R446 330

R4411M_6

R6 330

SW4

SWITCH

1 2

35

46

R449150_0603

R370 *0_6

CN4

*Finger Printer

12345

R451 0

C6410.1U

U47

TC7SH08FU

2

14

35

D37

RB500

21

D3 LED

Q35DTC144EUA

13

2

C87422U

R700

100K_6

CP2 220PX41357 8

642

Q332N7002E

3

2

1

L58 BLM11A05

D26 LED

R434 1K

D36

RB500

21

R696

*10K_6

D29

LED RED/BLUE

C759 0.1U

D2 LED

R698 150K_6

R579 470_6

R65 4.7K

CN5

TRACK POINT

1

45678

23

RP60

10KX8

10987 4

321

56

R450 330

C1320.1U

R697

47K_6

Q37DTC144EUA

1 3

2

C6290.1U

D1 LED

Q55

2SC40812

31

D25

LED

C6310.1U

RV1*VARISTOR_6

C488*0.1U

R448 330

R580 220

+C13010U-10V_8 D28 LED

R362 *0_6

R447 330

R699

10K_6

D31L-934GC-6.6LSF5

31

T207

R576 220

Q38DTC144EUA

1 3

2

D34 SW1010C21

CN30

FAN

1234

CP4 220PX41357 8

642

MX7 (35)

MY11 (35)MY10 (35)

VFAN_1(35)

SATA_LED#(12)

MY4 (35)

CAPSLED(35)

MY1 (35)

MBATLED0(35)

MX3 (35)

MY5 (35)

MX6 (35)

MBATLED1(35)

RF_OFF#(32,35)

MX0 (35)

FANSIG_1(35)

MX5 (35)MX4 (35)

MY14 (35)

SWAPLED1#(14)

TPCLK(35)

MY12 (35)

MY0 (35)

MX2 (35)

ODDLED#(33)

MX1 (35)

MY8 (35)

MY2 (35)

MY7 (35)

SWAPLED#(14)

MY13 (35)

NUMLED(35)

MY3 (35)

MY6 (35)

MY9 (35)

MY15 (35)

TPDATA(35)

LED_ON#(35)

PENABLE(14)

PLTRST#(13,14,16,24,31,32)

CLKRUN#(14,26,31,35)

LAD1/FWH1(12,31,32,35)

LAD3/FWH3(12,31,32,35)

LAD0/FWH0(12,31,32,35)

SERIRQ(14,26,31,35)SUS_STAT#(14,31,35)

LFRAME#/FWH4(12,31,32,35)

LAD2/FWH2(12,31,32,35)

PCLK_TPM(2)

WL_A#(32)

USBP7-(13)USBP7+(13)

THDAT_SMB(3,11,17)THCLK_SMB(3,11,17)

MY5 (35)

PWR_LED(35)

RF_LED_OFF#(14)

Page 37: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

LAN (10/100)

PRINTER

CRT_Analog

TV

RS232

PIN 101 MATCH PIN9 FOR RP GET A RP_IN LOOP

240 mil

4 SMALL VIA

USB4

HEADPHONE LINE-IN

IEEE 1394

PORT REPLICATOR(152P) 2AA3

37 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

PR_INSERT#

PD[0..7]

TV_Y/G

RING_PR

-MDSR1-MCTS1-MRTS1M_TXD1

PD0PD1PD2PD3

AFD#ERROR#INIT#SLIN#PD4

PD5PD6PD7

STRB#

ACK#BUSYPESLCTPR_RED

PR_GENPR_BLU

DDCCLK2DDCDAT2

PR_HSYNCPR_VSYNC

TV_C/R

5VSUS_PR_1

TV_COMP

VA

TIP_PR

USBP4+USBP4-

-MDTR1

MRXD1

BRI#

-MDCD1

HPSENCE_PR

SPK_L_PRSPK_R_PR

LINEINL_PR

LINEINPLGLINEINR_PR

PDAT_SMBPCLK_SMB

TPB1P

TPA1N

TPB1N

TPA1P

PR_INSERT#

USBOC#4

PR_INSERT_1PR_INSERT_1

NBSWON#

RING_PRTIP_PR

PR_VCC1

PCIE_DOCK_OE#

10V5VSUS_PR

5VSUS

VA

5VSUS_PR

+5V

+5V_PR

+5V_PR

5VSUS_PR

+5V_PR

C124.7U-10V_8

CN25-2

DOCK-152P

7778798081828384858687888990919293949596979899

100101

104105106107

114

115116117118119120121122123124125126127128129130131132133134135136137138139140

P5P6P7P8

G3G4G7G8

C7290.1U

R540*0_8

C29*.1U

R546100K

C30*.1U

CN3

JAE-FI-S2P-HF

12

12

R531*0_8

C28 *.1U

C7310.1U

Q47DTC144EUA

13

2

T2

T3

C14*.1U

Q46SI3456DV

3

6524

1

C270.1U-50V_8 C13

0.1U

L1BK2125-33T

R13 0_8 RC0805

T4

C7210.1U

C160.1U

C7320.1U

T1

C260.1U-50V_8

CN25-1

DOCK-152P

123456789

1011121314151617181920212223242526

39404142434445464748495051525354555657585960616263

66676869

76

P1P2P3P4

G1G2G5G6

Q44SI3456DV

3

6524

1 C7360.1UCC0402

C150.1U

D5

SW1010C

2 1

C7421000P

-MCTS1(31)

M_TXD1(31)

-MDSR1(31)

-MRTS1(31)

ACK# (31)

PE (31)SLCT (31)

BUSY (31)

INIT# (31)SLIN# (31)

ERROR# (31)AFD# (31)

PD[0..7] (31)

STRB# (31)

TV_COMP(7,17,23)

PR_INSERT#(23,35)

X-TX2P(24)X-TX2N(24) PR_GEN (23)

X-TX3N(24)

USBP4+(13)

PR_HSYNC(23)PR_VSYNC(23)

X-TX0P (24)

PR_BLU(23)

X-TX3P(24)

X-TX1N (24)X-TX1P (24)

DDCCLK2 (23)

X-TX0N (24)

USBP4-(13)

DDCDAT2 (23)

PR_RED(23)

MRXD1 (31)

BRI# (29,31)

-MDCD1 (31)-MDTR1 (31)

TV_Y/G (7,17,23)TV_C/R (7,17,23)

HPSENCE_PR(30)

SPK_L_PR(30)SPK_R_PR(30)

LINEINL_PR (29)

LINEINPLG (29)LINEINR_PR (29)

TPA1N(26)

TPB1P(26)

TPA1P(26)

TPB1N(26)

PCLK_SMB (2,14,24)PDAT_SMB (2,14,24)

USBOC#4(13)

NBSWON# (35)

PCIE_RXN3 (13)PCIE_RXP3 (13)

CLK_PCIE_DOCK (2)CLK_PCIE_DOCK# (2)

PCIE_TXP3 (13)PCIE_TXN3 (13)

LAN_SPEED#(24)LINK_ACT_LED#(24)

Page 38: Gateway MA6 1228

1

1

2

2

3

3

4

4

5

5

A A

B B

C C

D D

3V_S5S0-S5

LANVCC

50mils

EC-A17

5V_S5S0-S5

Rev_C

Rev_C

EC-A17

Rev_D

Rev_D

DISCHARGE 2ACustom

38 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

Z2705 Z2706

MAINON_G

SUSON

Z2707Z2704

Z2713

LAN_POWER_G LAN_ON

Z2721

Z2720

Z2722

S5_ONG

Z2712

Z2726 Z2725

SUSON_G

Z2709

SUSD

Z2710

S5_ON

LAN_ON

MAIND

Z2812

S5_OND

S5_OND

VIN

15VVIN +5V +3V+1.5V

VIN LANVCC 15VVIN VCC_CORE +1.05V

+1.8V SMDDR_VTERM

3VPCU3V_S5

3V_S5

VIN 15V

3VSUS 15V5VSUS

LANVCC

3VPCU

+1.2V_VGA

1.8VSUS

VA +5V +1.5V VIN 1.8VSUS SMDDR_VTERMVAVA VA SMDDR_VREF SMDDR_VREF SMDDR_VREF SMDDR_VREF SMDDR_VREF SMDDR_VREF_MCH SMDDR_VREF_MCH

5V_S5

+1.8V +1.8V +1.8V +1.8V +1.8V +1.8V

5VPCU

5V_S5

+2.5V

+2.5V

VIN 15V

VA VA VA VA VIN VIN

PQ272N7002E

3

2

1

PC1402200P_6

PQ152N7002E

3

2

1

PQ74AO6402

3

6524

1

PR1771M_6

PC1170.1U

PC2380.1U

PC243.1U/16V/X7R

PR11122_8

PR49*22_8

PR21822_8

PC2280.1U

PR19922_8

PC2420.1U

PQ17SI3456DV

3

6524

1

PC2520.1U-50V_6

PC2370.1U

PC2390.1U

PR1431M_6

PC2480.1U-50V_6

PQ82N7002E

3

2

1

PC610.01U_6

PQ57DTC144EUA

13

2

PR1401M_6

PR2001M_6

PR4822_8

PQ82DTC144EUA 1

3

2

PC2270.1U

PQ582N7002E

3

2

1

PQ752N7002E

3

2

1

PC2310.1U

PR1411M_6

PQ622N7002E

3

2

1

PQ612N7002E

3

2

1

PC2350.1U

PQ182N7002E

32

1

PC2300.1U

PR19822_8

PQ49DTC144EUA1

3

2

PR18822_8

PC2490.1U-50V_6

PQ282N7002E

3

2

1

PR881M_6

PC2210.1U-50V_6

PC2220.1U-50V_6

PQ812N7002E

3

2

1

PR13822_8

PQ10DTC144EUA 1

3

2

PQ462N7002E

3

2

1

PQ602N7002E

3

2

1

PQ632N7002E

3

2

1

PR19422_8

PQ502N7002E

3

2

1

PR521M_6

PR19722_8

PC2240.1U

PC2072200P_6

PR1121M_6

PC2190.1U-50V_6

PR1931M_6

PC2230.1U

PR2241M_6

PR19522_8

PC2500.1U-50V_6

PR17622_8

PC2400.1U

PR19622_8

PC2250.1U-50V_6

PR17922_8

PQ4SI3456DV

3

6524

1

PR17522_8

PQ592N7002E

3

2

1

PC2470.1U-50V_6

PQ9*2N7002E

3

2

1

PR2221M_6

PC2200.1U-50V_6

PC1822200P_6

PQ472N7002E

3

2

1

PR1921M_6

PQ562N7002E

3

2

1

PQ642N7002E

3

2

1

PQ16DTC144EUA 1

3

2

PC2360.1U

PQ482N7002E

3

2

1

PC2510.1U-50V_6

PR1091M_6

PR2231M_6

PC2260.1U

PR1101M_6

PC2290.1U

PC2340.1U

PC1182200P_6

PQ26DTC144EUA 1

3

2

PR891M_6

PC2320.1U

PR671M_6

PC2330.1U

MAINON(35,42,43,44)

SUSON(35,43)

LAN_POWER(35)VRON(35,45)

SUSD (41)

S5_ON(35)

MAIND (41,43)

5V_S5 (15)

MAIND_2.5 (41,43)

Page 39: Gateway MA6 1228

1

1

2

2

3

3

4

4

5

5

A A

B B

C C

D D

R1

0 = 4 CELL1 = 3 CELL

CELL-SET

300mil

R2

R3

EMI

EC-A18

EC-A19

EC-A19

EC-A20

EC-A21

EC-A22

EC-A23

EC-A24

EC-A25

90W Adaptor

30.9K_1% ( P/N: CS33093F911 )

15.4K_1% ( P/N: CS31543F929 )

65W Adaptor P/N:DFPJ06MR097

PR58Adaptor

P/N:DFPJ07MR041

CN21 (DC-Jack)

Rev_D

Rev_C

Rev_C Rev_C

Rev_D

Rev_D

Rev_C

CHARGER MAX8724 2ACustom

39 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

CELL_SLT

8724DH

8724BST

8724DL

8724LDO

8724LXR8724LXR8724LXR8724LXR

BAT+

8724LX

8724DLOV

VAD

PWR_GND

BAT+

ACOK#

ACOK#

VA

D-5

AC

OK

-2

P332

D/C#

P331

ACOK

8724LDOACOK

TEMP_MBAT

VA1VA

VIN

VA

VA

5V_ALVIN

3VPCU

3VPCU

5VPCU

3VPCU

VIN

VIN

VAD

8724LDO 8724_3D3_LDO

8724_3D3_LDO

8724_3D3_LDO

8724LDO

VIN

PR152100K/F_6

PR80100K

PD3SW1010C

21

PQ72N7002E

3

2

1

PL7

10UH-MSCDR1-104R

+PC34

10U-25V_1206

PL1 5A_8

PR15020K/F_6

PC521U-25V_8

PC330.1U-50V_6

PD17SW1010C

21

PC1310.1U-25V_6

PR2*200K/F_6

+

- PU5LMV331

1

2

3

5

4

PR70

825_6

PC14 0.1U-50V_8

+PC151

10U-25V_1206

PC1580.1U-50V_6

PQ1DTA124EU

1 3

2

PR14715K_6

PD26

SW1010C

21

PC160.1U-25V_6

PC590.1U-25V_6

PC13

0.1U-50V_6

PR102100K_4

+ PC160

10U-25V_1206

PD11SW1010C

Q39DTA124EU

13

2

PR7 10K/F_6

PU2MAX8724

1

2

3

45

6

7

8

14

9

10

11

12

13

28

27 26

24

25

23

22

21

20

1918

16

17

15

29

DCIN

LDO

CLS

REFCCS

CCI

CCV

SHDN

GN

D

ICHG

ACIN

ACOK

REFIN

ICTL

IINP

CS

SP

CS

SN

BST

DHI

LX

DLOV

DLO

PGND

CSIPCSIN

BATT

CELLS

VCTL

GN

D

PC35*2200P_6

PR101475K/F_6

PR1450.01/1W_3720

2

2P

1

1P

PR5815.4K/F_6

PQ31

2N7002K

3

2

1

PC1150.1U-25V_6

PR59 100K

PR151

*0_6

PC641000P-50V_6

CN21POWER_JACK_90W

1234

PC1470.1U-25V_6

PR5420K/F_6

PR8110K_6

PC2410.01U-50V_6

PC156

1U-25V_8

+PC149

10U-25V_1206

PD12SW1010C

PR99100K

PL6 5A_8

PC260.1U-25V_6

PL18FBJ3216HS480NT_1206

PC79

0.1U-50V_6

PQ132N7002E

3

2

1

PC690.1U-50V_6

PR26*2.7R_6

PC1200.1U-25V_6

R452 0_6

+ PC244

220U/25V

12

PR7875K_4

PC1440.1U-25V_6

PR1530.01/1W_3720

2

2P

1

1P

PR1490_6

PC801U/10V_6

PR50 33_6

PC420.1U-50V_6

PC630.01U-50V_6

PR14610K_6

PC31U-25V_8

PQ29SI4425BDY-T1-E3

87654 3 2 1

PC1541U-10V_6

PC1500.01U_6

12

PQ122N7002E

3

2

1

PR640_6

PC710.1U-25V_6

PR104332K/F_6

+ PC1481U-25V_8

PC560.1U-25V_6

PR98100K

PD16PDS1040-13

2

13

PC1460.1U-25V_6

G1

G2

S2

D1

D1 S1/D2

PQ30 SI4914DY-T1-E3

8

7

6

5

1

2

3

4

PR691K_6

PC1.1U_8

PR148

1M_6

PC1340.1U-25V_6

PQ142N7002K

3

2

1

PQ76DTA124EU

13

2

PC1570.01U-50V_6

PR5*100K/F_6

PR79

1.33K_6

PC2.1U_8

PR7710K_6

PC1520.1U_8

PC431U-10V_6

PC1531000P-50V_6

+ PC159

10U-25V_1206

PR38

0_6

CC-SET(35)

VAD

ACIN(35)

ACOK#

3VPCU(12,15,22,35,36,38,40,41,42)

5VPCU(12,22,38,41,42,44)

VIN(22,33,38,40,41,42,43,44,45)

3VPCU(12,15,22,35,36,38,40,41,42)

BL/C# (35,40)

ACOK

ACOK#

CELL_SLT (35)

TEMP_MBAT(35,40)D/C# (35,40)

BAT+ (40)

BAT+ (40)

A (40)

B (40)

Page 40: Gateway MA6 1228

1

1

2

2

3

3

4

4

5

5

A A

B B

C C

D D

TO 1st BATTERY

TO 2nd BATTERY

A B C Y0 Y1 Y2 Y3

L L L H L L L

H L L L H L L

L H L L L H L

H H L L L L H

L L H L L L L

H L H L L L L

L H H L L L L

H H H L L L L

300mil

200mil

120mil

120mil

EMI

EC-A26

EC-A26

EC-A26

EC-A27

EC-A27

EC-A26

EC-A28

Rev_C

Rev_C

BBATT SELECTER 2ACustom

40 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

MCHG

ACHG

MBCLK

ABDATA

MBDATA

MDISCHG

ABCLK

ACHG

ADISCHG

MCHG

B

ADISCHG

AB

AT_

CM

BA

T_C

A

MDISCHG

VIN

3VPCU

MBAT+

ABAT+

3VPCU

3VPCU

3VPCU

VIN

ABAT_C

ABAT_C

C8540.01U

PQ2

UMD2

6

5

4 3

2

1

PR17214K/F_6

PC1430.1U-25V_6

PC1160.1U-25V_6

PQ41

SI4425BDY-T1-E38 7 6 54321

C8560.01U

PC510.1U-25V_6

PR113*10K_6

PQ23DTC144EUA1

3

2

PC1550.1U-25V_6

PQ43SI4873DY-T1-E3

1

2

3

4

8

7

6

5

PC1320.1U-25V_6

PQ5DTC144EUA1

3

2

PR68100K_4

PQ11DTC144EUA1

3

2

PL205A_8

PR7510K_6

PR173100K/F_6PR13

330_6

PC1390.1U_4

PR11710K_6

PC1250.1U-50V_6

PD2ZD5.6V

21

C8570.01U

PR56100K_4

PR15*10K_6

PR122100K/F_6

PQ21

UMD2

6

5

4 3

2

1

PD13ZD5.6V

21

PR14330_6

PR114330_6

PR11100K/F_6

PR116330_6

PC1190.1U-25V_6

PL4 5A_8

PR124100K_4

PD14ZD5.6V

21

PR1210K_6

PC1420.1U-25V_6

PQ20

SI4425BDY-T1-E3

87654 3 2 1

PQ6DTC144EUA1

3

2

CN28

2ND_BAT_CONN

12345

67

PR57100K_4

PU874HCT237

1 2 3 4 6 5

15 14 13 12 11 10 9 78

16A B C G

L

G1

G2

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

GN

D

VD

D

PD1ZD5.6V

21 PQ42

SI4425BDY-T1-E3

8 7 6 54321

PC1360.1U-25V_6

PC1450.1U-25V_6

PC1800.1U-50V_6

CN23

1ST_BAT_CONN

123456789

PL215A_8

C8550.01U

PQ3DTC144EUA1

3

2

PR12310K_6

PQ22DTC144EUA1

3

2

PR12114K/F_6

PL11 5A_8

PQ19

SI4425BDY-T1-E3

87654 3 2 1

PR120100K/F

ABCLK (3,35)

3VPCU (12,15,22,35,36,38,39,41,42)

D/C#(35,39)

M/A#(35)

TEMP_ABAT (35)

3VPCU (12,15,22,35,36,38,39,41,42)

BAT+(39)

BL/C#(35,39)

MBCLK (35)

TEMP_MBAT (35)

ABATV (35)

MBDATA (35)

ABDATA (3,35)

A (39)

B (39)

MBAT+

MBATV(35)

Page 41: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

6A

DC-DC(MAX8734A)

5A

15mil15mil

Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_CRev_C

Rev_C

Rev_D

3V/5V 2ACustom

41 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

DH5

LX5

FB3

5VPCU

DL5

FB5

LX3

DL3

8734ILIM5

FB3

DH3

REF2V_8734

8734ILIM3

VCC

FB5

3VPCU

SUSD

MAIND

8734ILIM3

REF2V_8734

8734ILIM5

BST5

BST3

3VSUS

+3V

+5V

MAIND

3V_AL

VIN

5V_AL

5V_AL

+3V

5V_AL

10V

3VPCU

5VPCU

REF2V_8734

+5V

5VPCU

5VSUS

+3V 3VSUS

3VPCU

5VPCU

3VPCU

10V

15V

5VPCU

PD5*EC10QS04

PQ37SI4800DY

365 7 8

2

4

1

PQ38RSS090N03

365 7 8

2

4

1

PQ33AO4812

1 2 3 4

8 7 6 5

PQ34RSS090N03

365 7 8

2

4

1

+ PC1674.7U-10V_8

PR105*15K_6

+ PC9410U-25V_1206

PC1680.1U-25V_6

+PC91

10U

-25V

_120

6

PL9 2.5UH/7.5A

PC172

0.1U-50V_6

PR225 *0_4

PR96

3.3_0805

1 2

+PC48

220U/6.3V/25m

PD19CHP202U

12

3

PR1674.7_6

PC1650.1U-25V_6

PR1640_6

PD10CHN217

3

2

1

PR1570_6

PR1660_6

PR226 0_4PR163 47_6

PD4

*EC10QS04

PC1620.1U-25V_6

PQ36RSS090N03

365 7 8

2

4

1

PC1690.1U-25V_6

PR160

100K_4

PR1620_6

PC101

1000

P-5

0V_6

PC164*.1U_4 PR159

100K_4

PQ35AO64023

6524

1

+PC90

10U-25V_1206

PR168 *0_6

PC161 0.1U-50V_6PR155*100K_4

+

PC1634.7U-10V_8

PR97 0_6

PC881000P-50V_6

+ PC46

*220U/6.3V/25m

PR1070_6

PU9

MAX8734A

1

2

3

4

5

6

7

8

9

10

11

12

13

14 15

16

17

18

19

20

21

22

23

24

25

26

27

28N.C

PGOOD

ON3

ON5

ILIM3

SHDN-

FB3

REF

FB5

PRO-

ILIM5

SKIP-

TON

BST5 LX5

DH5

VCC

LDO5

DL5

V+

OUT5

OUT3

GND

DL3

LDO3

DH3

LX3

BST3

PR1060_6

PR154

4.7_6

+ PC103

4.7U-25V_8

R480 *10K_4

+PC1701U-10V_6

PR161*100K/F

PC920.01U_6

+ PC1141U-25V_8

PR158 390K_4

PC173

0.1U-50V_6

+ PC45

220U/6.3V/25m

PC107 0.01U_6

PL8 2.5UH/7.5A

PR156*100K/F

PC175

0.1U-16V_6

+

PC1661U-10V_6

+ PC1054.7U-25V_8

PD9 CHN2173

2

1

PC1770.1U-25V_6

PL2 5A_8

PC1710.1U-16V_6

PR165 *0_6

PR103 0_6

PQ32RSS090N03

365 7 8

2

4

1

PC108 0.01U_6

PR108*6.49K_6

HWPG(35,42,45)

SYS_SHDN#(3)

MAIND(38,43)

SUSD(38)

SUSD (38)

3VPCU (12,15,22,35,36,38,39,40,42)

5VPCU (12,22,38,39,42,44)

5VSUS (27,32,34,37,38,43)

3VSUS (13,14,26,27,28,29,32,36,38,43)

+3V (2,3,7,8,9,11,12,13,14,15,16,17,18,19,22,23,28,31,32,33,35,36,38,44,45)

+5V (15,23,29,30,31,33,35,36,37,38,45)

MAIND(38,43)

MAIND_2.5(38,43)

Page 42: Gateway MA6 1228

1

1

2

2

3

3

4

4

5

5

A A

B B

C C

D D

Vout=(1+Rc/Rd)*1

Rc

Rd

Vout=(1+Ra/Rb)*1

Ra

Rb

8743AGND

8743AGND

8743AGND 8743AGND

8743AGND

8743AGND 8743AGND

8743AGND

8743AGND

8743AGND

1.5V/ 6A

1.05V/ 11A

1.1A

R2

R1 S0

Vo=0.8(R1+R2)/R2

2.5V

Rev_D

Rev_C

Rev_C

Rev_D

Rev_C

Rev_D

Rev_D

PR189D41PC198

INTEL0XX

ATI20KSW1010C0.1U

MAX8743 1.5VS_5/+1.05V/2.5V 2ACustom

42 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

8743ILIM2

8743FB18743VCC

8743ILIM1

8743ILIM1

8743ILIM2

8743VCC

8743DL2

8743PG

8743DL1

8743PG8743PG8743PG8743PG8743PG8743PG HWPG

8743BST2

8743VDD

8743DH2 8743LX1

8743DH1

8743BST1

8743FB2

8743LX2

8743

VC

C

4215FB

EN_4215

SUSPWROK_1P8V

1993PG

8743REF

8743REF3VPCU

VIN

5VPCU

VIN

+1.5V

+1.05V

+2.5V

3VPCU

VIN

PR9020K/F_6

+

PC197330U/2V_ 9m H=2.8

PC1980.1U/16V_6

PR82 0_6

PR51*0_6

PC246*0.01U-16V_4

PR461K/F_4

PC65

10U-25V_1206

PC2450.015U-16V_4

+PC193

330U/2V_ 9m H=2.8

PD6DAP202UT106

12

3

PC2050.1U/16V_6

PR1782K/F_4

PR191

*4.12K/F_6

PR189 20K/F_6

PC7510U-25V_1206

PC681000P-50V_6

PC200

0.1U/16V_6

PU3

MAX8743EEI+

1

2

3

4

5

6

7

89

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

OUT1

FB1

ILIM1

V+

TON

SKIP

PGOOD

OV

P

UV

P

REF

ON1

ON2

ILIM2

FB2

OUT2

CS2

LX2

DH2

BST2

DL2

VDD

VC

C

GND

DL1

BST1

DH1

LX1

CS1

PR1810_6

PR174*2.7R_6

PC189*0.01U/16V_4

PC54

*10U-10V_8

PR19010K/F_6

PC570.1U-50V_6

PR182 0_6

PC7410U-10V_8

PC6010U-25V_1206

PR227 0_6

PC841000P-50V_6

PD7

*SKS30-04AT

21

PU10SC4215 L-F

2 6

5

4

8

1

3

7

9

EN VO

NC2

NC1

GND0

NC0

VIN

AD

J GND1

PR660_6

PR62*0_6

PR650_6

PR8510K/F_6

PR76 100K_6

PC810.1U-50V_6

PC58

0.1U-50V_6

D41

SW1010C

PL121.5UH/10A

PC820.01U_6

PC203

10U-6.3V_8

PL140.47U/15A_ETQP4L

PR228 0_6

PC6710U-25V_1206

+PC77

330U/2V_ 9m H=2.8

PC70.22U

PD21*SKS30-04AT

21

PC62 1U-16V_6

+PC76

330U/2V_ 9m H=2.8

PR550_6

PJ2 SHORT

PC206

10U-6.3V_8

PC66

10U-25V_1206

PR63

10_6

PR185*2.7R_6

PR4720K/F_6

PC181*0.01U-16V_4

PR183*10K_6

PR93*0_6

PD22

*SKS30-04AT

21PQ45

TPC8018H

36 578

2

4

1

PR184100K/F_6

PC53

0.1U-50V_6

PQ52TPC8024H

365 7 8

2

4

1

PR180*10K/F_6

PC204

10U-6.3V_8

PQ44TPC8024H

36 578

2

4

1

PQ51TPC8018H

365 7 8

2

4

1

PC190

10U-10V_8

PD23

*SSM24

21

HWPG (35,41,45)

MAINON(35,38,43,44)

MAINON(35,38,43,44)

+2.5V (7,9,17,18,38)

MAINON(35,38,43,44)

+1.5V (4,7,8,9,13,15,32,38)

+1.05V(2,3,4,5,7,8,9,12,15,38)

1993PG(44)

SUSPWROK_1P8V(43)

Page 43: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

10 Ohm for SC480

1.8 Volt +/-5%Design Current:15.4A

OCP:min 16.49A

12.2*12.2*6

100pF for SC480

0.9 Volt +/-5%Design Current:1.2AMaximum Current: 1.5A

EC-A29

EC-A29

EC-A29

EC-A29

EC-A29EC-A30

Rev_D

PR229PR230PQ55PC201PC202

INTELX0XXX

ATI0XSI48000.1U0.1U

Rev_D

Rev_C

Rev_CRev_C

Rev_D

Rev_D

1.8V/0.9V/VGA1.2V 2ACustom

43 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

1.8_DL

51116_VIN

1.8V_OUT

51116_VLDOIN

1.8V_LX

1.8V_DH

51116_TON

51116_VIN

1.8V_LX

51116_COMP

1.8BST

MAIND

1.8V_FB SMDDR_VTERM

3VSUS

1.8VSUS

5VSUS

5VSUS

5VSUS

1.8VSUS

SMDDR_VREF

VIN

+1.8V

1.8VSUS

PL10

1.5uH_SIQH125_1R5PF_13A/6mohm12

PR171 0_4

PC98

10U-6.3V_8

PQ73TPC8018H

4

8 7 623

51

+ PC17610U-25V_1206

PC951U-10V_6

PC96

10U-6.3V_8

PQ55SI4800DY

365 7 8

2

4

1

PC1791U-16V_6

PR229 *0_4

PC202

0.1U-16V_6

PR95 0_8PR100*2.7R_6

PC1920.1U-25V_6

PC111

0.1U-50V_6

PR1356.8K/F_4

PC2010.1U-16V_6

PR73

2.26K/F_4

PR17010_6

PC1781U-16V_6

PC97

10U-6.3V_8

PC113*2200P

PR72

*0_4

PR940_6

PR230 0_4

PC831000P_4

PC1102200P-50V_4

PC102

0.1U-10V_4PQ40

TPC8018H

48 7 6

23

51

PU4SC480

24

5

9

2

15

23

20

21

16

11

1

13

8

22

19

3

4

18

6

10

17

14

12

7

2526

272829

VTT

VTT

RE

F

VDDQSET

VTTSNS

VD

DP

(V5I

N-T

I)

VLDOIN

LL

DRVH

CS

S5

VTTGND

PGOOD

VDDQSNS

VBST

DRVL

GN

D

TON(MODE)

PGND

COMP

S3

CS_GND

VD

DP

(V5F

ILT-

TI)

NC

NC

PADPADP

AD

PA

DP

ADPC73

0.1U-50V_6

PQ39TPC8024H

4

7 623

51

8

PL195A_8

PD8CH501H_NC

21

PR91 887K_4

PR7110K/F_4

PC851U-10V_6

PC99

0.1U-50V_6

PR83*100K_4

+ PC104

330U

/2V

_ 9m

H=2

.8

+PC109

330U

/2V

_ 9m

H=2

.8

PC87

0.1U-10V_4

PC72100P-50V_6

+PC17410U-25V_1206

PR740_4

SUSON (35,38)

MAINON (35,38,42,44)

SUSPWROK_1P8V (42)

MAIND(38,41)

MAIND_2.5(38,41)

Page 44: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

E E

D D

C C

B B

A A

1.2V/1.0V 17A250 mils

LO

HI

1.1V

0.95V35.7K

V_PWRCNTL MXX

40mil

40mil

R2

R1

Vo=0.8(R1+R2)/R2

EC-A31

PR125 PR126

110K_1%Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_C

Rev_D

Rev_D

Rev_D

Rev_D

VGA_CORE & +VGA1.2V 2ACustom

44 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

VGA_P_REF

VGA_P_VCC

VGA_P_REFVGA_REFIN

1993_DH

1993_DL

1993_LX

VG

A_B

ST

VGA_CSP

+1.2V_VGA

1993PG

+1.2V_VGA

VGA_CORE_ON

5VPCU

+1.2V_VGA

VIN_1993

VIN VIN_1993

+3V

1.8VSUS+1.2V_VPCIE

5VPCU

8743REF

PC130

0.1U-50V_8

PR12535.7K/F_4

D42

SW1010C

PC1220.1U-50V_6

PR134 0_6

+PC12110U-10V_8

PU7

MAX1993ETG

12

10

15

9

19

20

3

2

14

13

18

22

7

11

6

5

24

16 21

4

8

23

1

17

CSN

OUT

DH

FB

VD

D

GND

LSAT

FBLA

NK

V+

SK

IP

DL

VC

C

REFIN

CSP

REF

ILIM

OV

P/U

VP

LX GATE

POK

OD

SHDN

TON

BST

PQ53TPC8018H

36 578

2

4

1

+ PC196

330U

/2V

_ 9m

H=2

.8

PL15

0.56uH

PC1230.22U-10V_6

PC187

*2200P_6

PR126 110K/F_4

PR136 0_6

PR144*43K

PD15

RB751V

PC129

470P_4

12

PR11536K_6

PC138

*1000P

+PC199

220U_7343_2.5V

PR13320_4

PR130*100K

PC135

1U-10V_6

12

PR1185.11K/F_6

PR142*43K

PR128

127K/F

PC194

0.1U-16V_6

PR131*0_4

PQ25

*MMBT39042

13

PR187

1.1K/F_4

PR132

0_4

PL13

5A_8PC133

1U-10V_6

+PC12410U-10V_8

PC1260.1U-50V_6

PQ54

TPC8024H

36 578

2

4

1

PR11910K_6

+PC188

10U-25V_1206

PQ24*2N7002E

3

2

1

PQ77TPC8018H

36 578

2

4

1

PR186*2.7R_6

PR1370_6

PC127470P_4

12

+PC184

10U-25V_1206

PC191

0.1U-16V_6

PR129

100K/F_6

+PC183

10U-25V_1206

PR139*20K/F_6

PC186

2200P

12

PU6SC4215

2 6

5

4

8

1

37

9

EN VO

NC2

NC1

GND

NC0

VINA

DJ GND

PC185

0.1U-50V_6

PC1280.22U-10V_6

PR127100K/F_4

PC141

*0.1U

+ PC195

330U

/2V

_ 9m

H=2

.8

+1.2V_VPCIE (16,18)

MAINON(35,38,42,43)

V_PWRCNTL (17)

MAINON(35,38,42,43)

1993PG (42)

Page 45: Gateway MA6 1228

A

A

B

B

C

C

D

D

E

E

F

F

G

G

H

H

1 1

2 2

3 3

4 4

PanasonicERT-J1VR103J Intel Recommendation Option 1 :

250KHZ<= Fs <= 300K HZ0.351uH<= Lo <=0.500uH +-20%Low-Freq. Decoupling : ESR 1.5m Ohms ; ESL 1.8nH/6SPCAP EEFSX0D331R * 6 orPOSCAP 2R5TPE330M9 * 6Mid-Freq. Decoupling : 3m Ohms/32MLCC 22uF_0805_X5R * 32 PCS.

Throttling temp.105 degree C

Intel Recommendation Option 2 :250KHZ<= Fs <= 300K HZ0.351uH<= Lo <=0.500uH +-20%Low-Freq. Decoupling : ESR 1.5m Ohms ; ESL 0.8nH/6POSCAP 2R5TPL330MF9 * 6Mid-Freq. Decoupling : 3m Ohms/24MLCC 22uF_0805_X5R * 24 PCS.

DCR 1.1m Ohms+-10%

DCR 1.1m Ohms+-10%

6260AGND

6260AGND 6260AGND

6260AGND

6260AGND

6260AGND

6260AGND

6260AGND

6260AGND

6260AGND

6260AGND

6260AGND

EC-A32

EC-A33

Rev_C

Rev_C

Rev_C

Rev_C

ISL6260+6208(CPU) 2AC

45 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

LG2

UG2

LG1

PWM2

VSUM

ISL6260_VO

VSUM

PWM1

VSUM

PH2

FCCM

PH1

UG1

+3V

VIN

VIN

+5V

+5V

VCC_CORE

VCC_CORE

+5V

+3V

+3V

VIN

+5V

PC440.1U-50V_6

PC209 1000P-50V_6

PR20 2.49K/F_6

PR209

4.64K/F_6

PR53*2.7R_6

PR33 0_4

PR45

10K_4

PR9 10K/F_4PC21 6.8n-25V_4

+PC10

10U

-25V

_120

6

PC20

0.1U-50V

_6

PC5

2200P-50V

_4

PR6 10_6

PR400_6

+PC24

10U

-25V

_120

6

PQ65TPC8018H

365 7 8

2

4

1

PC25

0.22U-10V_6

PC32

120P-50V_6

PC212

330P-50V_4

PR40_6

PR36 0_4

PR37 0_4

PR314.02K/F_4

PR35 0_4

PC151U-10V_6

12

+PC29

10U

-25V

_120

6

PU1ISL6260_MLFP_40 L-F

20 40

32

31

30

29

28

33

27

15

26

25

24

16

22

35

5

12

38

9

7

10

6

11

13

39

34

4

143

231

2

21

37

8

19

41

18

36

17

VD

D

PGO

OD

VID4

VID3

VID2

VID1

VID0

VID5

PWM1

DFB

PWM2

PWM3

FCCM

VO

ISEN2

VR_ON

NTC

VS

EN

CLK_EN#

COMP

OCSET

FB

SOFT

VDIFF

RTN

3V3

VID6

VR_TT#

DR

OO

PRBIAS

ISEN1PSI#

PGD_IN

ISEN3

DPRSTP#

VW

VSS

GND

VIN

DPRSLPVR

VSUM

PR42 0_4

PR30*2.7R_6

PQ71TPC8018H

365 7 8

2

4

1

+PC31

10U

-25V

_120

6

PC36 1000P-50V_6

+

PC89

330U/2.5V

/ES

R-9/P

OS

PC41 10nF-50V_4

PR206 0_4

+PC217

330U/2.5V

/ES

R-9/P

OS

PR2110_6

PC17

0.1U-50V_6

+PC11

10U

-25V

_120

6

PC61U-10V_6

12

+

PC106

*330U/2.5V

/ES

R-9/P

OS

PC70.22U-25V_8

PR43 499/F_4

PR280_4

PR17*287/F_6

PQ70TPC8024H

365 7 8

2

4

1

PR39 0_4

PC21110nF-50V_4

PR860_4

PQ68TPC8024H

365 7 8

2

4

1

PC300.22U-10V_6PR202 147K/F_6

PD24*EC

31QS

04

21

PR1810K/F_4

PR2150_4

PC55*2200P

PR214 470K_5%_4 NTC

PC39 15n-50V_6

PR16

2.94K/F_6

PR32 0_4

PC2310U-10V_8

+PC37

10U

-25V

_120

6

PC180.1U-50V_6

PR2101K/F_4

PR29 0_4

PR30_6

PC38*2200P_6

PJ1 SHORT

PC9

2200P-50V

_4

+PC40

10U

-25V

_120

6

PD25*EC

31QS

04

21

PR21721K_6

PR190_4

+PC213

330U/2.5V

/ES

R-9/P

OS

PR2482.5K/F_6

PC216

2200P-50V_4

PC28 3.3n-50V_4

PR2160_4

PR105.11K/F_6

PR23 10K/F_4

PR10_6

PR21221K_6

+

PC100

*330U/2.5V

/ES

R-9/P

OS

PC214

0.22U-25V_8

PR610_4

PR201 7.15K/F_6

PR204 0_4

PR34 0_4

PC215

2200P-50V

_4

PR27 11.5K/F_6

PQ66TPC8018H

365 7 8

2

4

1

PL16

0.36uH

PC210

*10nF

PR41 0_4

PC22

0.1U-50V

_6

PQ69*TPC8024H

365 7 8

2

4

1

+

PC218

330U/2.5V

/ES

R-9/P

OS

PR20510_6

+PC112

*330U/2.5V

/ES

R-9/P

OS

PL17

0.36uH

PC20810nF-50V_4

PC270.1U

-50V_6

PC8

0.1U-50V

_6

PR20310K_4 PR22

*0_6

PR8

4.53K/F_6

PR25 5.11K/F_6

+PC12

10U

-25V

_120

6

PR207 0_4

PR21 10_6

PQ72TPC8018H

365 7 8

2

4

1

PU11

ISL6208 L-F

2

3

6

7

54

8

1

BOOT

PWM

VCC

FCCM

LGTEGND

PHSE

UGTE

PU12

ISL6208 L-F

2

3

6

7

54

8

1

BOOT

PWM

VCC

FCCM

LGTEGND

PHSE

UGTE

PQ67*TPC8024H

365 7 8

2

4

1

PR44 0_4

PR21310K_5% _6 NTC

PR20810_6

PC4

0.1U-50V

_6

PC19

0.22U-10V

_6

HWPG(35,41,42)

ICH_DPRSTP#(3,12)

PM_DPRSLPVR(14)

VR_PWRGD_CK410#(2)

VRON(35,38)

H_VID3(4)

H_VID5(4)

H_VID4(4)

H_VID0(4)

H_VID2(4)

H_VID1(4)

H_VID6(4)

VR_TT#(3)

PSI#(3)

VCC_CORE (4,38)

VCCSENSE (4)

VSSSENSE (4)

DELAY_VR_PWRGOOD (7,14)

Page 46: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

CCM : Continuous Conduction Mode

DPRSTP# : H

FCCM : H

PSI# : H

DCM : Dis-Continuous Mode

CCM

DPRSLPVR : L

Active Mode

CCM

PSI# : H

DPRSLPVR : LDPRSTP# : H

Active Mode

FCCM : H

VID changeup or down

FCCM : L

Deeper SleepMode

PSI# : LDPRSTP# : L

DCM

DPRSLPVR : H

Mode change

FCCM : H

Active Mode

PSI# : HDPRSTP# : H

CCM

Mode change withVID changeup

DPRSLPVR : L

DCM

Mode change without VID change or with VID changedown

Active Mode

DPRSTP# : H

FCCM : L

PSI# : H

DPRSLPVR : L

Vo VID6 VID5 VID4 VID3 VID2 VID1 VID0----------------------------------------------1.5000 0 0 0 0 0 0 0----------------------------------------------1.4375 0 0 0 0 1 0 1----------------------------------------------1.4000 0 0 0 1 0 0 0----------------------------------------------1.3000 0 0 1 0 0 0 0----------------------------------------------1.2875 0 0 1 0 0 0 1----------------------------------------------1.2000 0 0 1 1 0 0 0----------------------------------------------1.1500 0 0 1 1 1 0 0----------------------------------------------1.1000 0 1 0 0 0 0 0----------------------------------------------1.0000 0 1 0 1 0 0 0----------------------------------------------0.9625 0 1 0 1 0 1 1----------------------------------------------0.9000 0 1 1 0 0 0 0----------------------------------------------0.8375 0 1 1 0 1 0 1----------------------------------------------0.8000 0 1 1 1 0 0 0----------------------------------------------0.7625 0 1 1 1 0 1 1----------------------------------------------0.7500 0 1 1 1 1 0 0----------------------------------------------0.7000 1 0 0 0 0 0 0----------------------------------------------0.6000 1 0 0 1 0 0 0----------------------------------------------0.5000 1 0 1 0 0 0 0----------------------------------------------0.3000 1 1 0 0 0 0 0----------------------------------------------

Yonah-2M Meron

HFM

LFM

Deeper

VBOOT

SLOPE

1.2875 V

1.2000 V

-2.1 mV/A

0.8375 V

0.7625 V

1.1500 V

1.2000 V

0.7625 V

0.8375 V

-2.1 mV/A

(Nom.)

34.5 A27 A

Yonah-2M

5.5 A

HFM

Dynamic

32 ATDC

(Max.)

44 A

LFM

3.5 A

12.5 A

26 A

Deeper

36 A

9.5 A

Meron

IMVP Spec. Rev. 0.8

CPU_Operation State 2AC

46 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6

Page 47: Gateway MA6 1228

5

5

4

4

3

3

2

2

1

1

D D

C C

B B

A A

EC #/Page/Description/Part Affected

MA6 / Schematic EC Tracking Record A ( for A-Test-> B Test ) Sep. 28, 2005)))

EC A01/02/Add C858 & C394-15pF for EMI solution.EC A02/08/Change R610 & R612 from 2.2K ohm to No_stuff for Intel recommend.

EC A04/12/Change R86 & R493 from No_stuff to 0 ohm for INtel recommend.EC A05/13/Change Net RST_BAY# from U29-GPIO17 to GPIO1 for BIOS set.EC A06/13/Add R691_33 ohm & C859_22pF for EMI solution.EC A07/14/Add R87 for identify TPM module.EC A08/23/Add L68~L70,C860~C865 for EMI solution.EC A09/24/Add L71~L73,C866~C871 for EMI solution.EC A10/24/Add U46 for LAN Status LEDs.EC A11/28/Change U45 to power switch for Card Reader.EC A12/30/Add Q53 for Audio headphone Jack sense.EC A13/31/Add R702 & C875 for EMI solution.EC A14/32/Add R693 & C872 for EMI solution.EC A15/35/Change R344 from 10K ohm to No_stuff for I/O address.EC A16/36/Modify power LED circuit to pulse instead of blink during standby.EC A17/38/Add PC219~PC240 for EMI solution.EC A18/44/Change PU2_ REFIN connect to 8724_3D3_LDO.EC A19/39/Add PQ31,PC241 for ACIN signal.

EC A03/12/Change C674 from No_stuff to 15pF for EMI solution.

EC A20/39/Change PU2_Pin VCTL connect to 8724_LDO.EC A21/39/Change PR70 & PR79 for battery charger.EC A22/39 /Change PR80 & PR59 for battery cell-set.EC A23/39/Correct PQ29 footprint.EC A24/39/Change PD11 connect to 3VPCUEC A25/39/Change PR54 & Pc80 for battery charger.

EC A27/40/Add PR11 & PR122 for prevent leakage of battery voltages.EC A28/40/Change PQ43from SI4825 to SI4973.

EC A30/43/Add PR71,PR73,PC72 for feedback resistor of 1.8VSUS.EC A31/44/Change PR127 from 75K ohm to 100K ohm for +1.2V_VGA.EC A32/45/Change PR209 for modify CPU core LOAD LINE .EC A33/45/Change PR17 to No_stuff for open cpu core dummy resistor.

EC A26/40/Add C854~C857 for filter battery signals.

EC A29/43/Add PL19,PD8,PR92,PC178,PQ73 for 1.8VSUS.

EC RECORD A 2ACustom

47 47Wednesday, December 28, 2005

Size Document Number Rev

Date: Sheet of

Quanta Computer Inc.PROJECT : MA6