graphpim: enabling instruction-level pim offloading in...

3
What is the main benefit of PIM offloading for graph? How to enable PIM for graph in a practical way? GraphPIM: Enabling Instruction-Level PIM Offloading in Graph Computing Frameworks Lifeng Nai, Ramyad Hadidi, Jaewoong Sim*, Hyojong Kim, Pranith Kumar, Hyesoon Kim (Georgia Tech, *Intel Labs) GraphPIM

Upload: vungoc

Post on 22-Nov-2018

226 views

Category:

Documents


0 download

TRANSCRIPT

⎮What isthemainbenefit ofPIMoffloadingforgraph?

⎮How toenablePIMforgraphinapractical way?

GraphPIM:EnablingInstruction-LevelPIMOffloadinginGraphComputingFrameworks

LifengNai,Ramyad Hadidi,Jaewoong Sim*,Hyojong Kim,Pranith Kumar,Hyesoon Kim(GeorgiaTech,*IntelLabs)

GraphPIM

⎮KnownPIMbenefits:

⎮GraphPIMexplores:

BenefitsofPIMOffloading

BandwidthSaving

ExtraComputation

LatencySaving

RMWCacheOperationDataOrdering

AvoidedbyPIMoffloading

AtomicOverhead

AtomicInstruction

GraphPIM:PIM-enabledGraphFramework

GraphFrameworkGraphAPI

Middleware

OS

HardwareArchitecture

GraphDataManagement

UserApplication

UserApplication

No userapplicationchange

Minorframeworkchange

Minorarchitecturechange

One-line codechange:malloc()à pmr_malloc()

TalkatTuesday2:50pm(Session7A)