hc(s)12(x) debugger manualcs5780/doc/cw_debugger_hc12_rm.pdftable of contents hc(s)12(x) debugger...

702
HC(S)12(X) Debugger Manual Revised: February 18, 2006

Upload: others

Post on 23-Oct-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

  • HC(S)12(X) Debugger Manual

    Revised: February 18, 2006

  • Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. CodeWarrior is a trademark or reg-istered trademark of Freescale Semiconductor, Inc. in the United States and/or other countries. All other product or ser-vice names are the property of their respective owners.

    Copyright © 1989 - 2006 by Freescale Semiconductor, Inc. All rights reserved.

    Information in this document is provided solely to enable system and software implementers to use Freescale Semicon-ductor products. There are no express or implied copyright licenses granted hereunder to design or fabricate any inte-grated circuits or integrated circuits based on the information in this document.

    Freescale Semiconductor reserves the right to make changes without further notice to any products herein. FreescaleSemiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any partic-ular purpose, nor does Freescale Semiconductor assume any liability arising out of the application or use of any productor circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental dam-ages. “Typical” parameters that may be provided in Freescale Semiconductor data sheets and/or specifications can anddo vary in different applications and actual performance may vary over time. All operating parameters, including “Typ-icals”, must be validated for each customer application by customer's technical experts. Freescale Semiconductor doesnot convey any license under its patent rights nor the rights of others. Freescale Semiconductor products are not de-signed, intended, or authorized for use as components in systems intended for surgical implant into the body, or otherapplications intended to support or sustain life, or for any other application in which the failure of the Freescale Semi-conductor product could create a situation where personal injury or death may occur. Should Buyer purchase or useFreescale Semiconductor products for any such unintended or unauthorized application, Buyer shall indemnify and holdFreescale Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against allclaims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim ofpersonal injury or death associated with such unintended or unauthorized use, even if such claim alleges that FreescaleSemiconductor was negligent regarding the design or manufacture of the part.

    How to Contact Us

    Corporate Headquarters Freescale Semiconductor, Inc.

    7700 West Parmer Lane

    Austin, TX 78729

    U.S.A.

    World Wide Web http://www.freescale.com/codewarrior

    Technical Support http://www.freescale.com/support

    http://www.freescale.com/codewarriorhttp://www.freescale.com/support

  • Table of Contents

    Table of Contents

    Introduction Manual Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

    Book I - Debugger EngineBook I Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

    1 Introduction 5Freescale Debugger. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

    Debugger Application. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

    Debugger Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

    Demo Version Limitations on Components . . . . . . . . . . . . . . . . . . . . . . . . . . 6

    2 Debugger Interface 7Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

    Application Programs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

    Starting the Debugger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

    Starting from within the IDE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

    Starting Debug from the Project Window . . . . . . . . . . . . . . . . . . . . . . . . . 8

    Starting Debug from the Main Window Menu Bar . . . . . . . . . . . . . . . . . . 9

    Debugger Command Line Start. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

    Command Line Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

    Order of Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

    Debugger Main Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

    Debugger Main Window Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

    Debugger Main Window Status Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

    1HC(S)12(X) Debugger Manual

  • Table of Contents

    Main Window Menu Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

    File Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

    Preferences Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

    View Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

    Customizing the Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

    Run Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

    Connection Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

    Loading a Connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

    Connection Command File Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

    Component Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

    Window Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

    Help Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

    About Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

    Component Associated Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

    Component Main Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

    Component Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

    Component Windows Object Info Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

    Component Popup Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

    Highlights of the User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

    Activating Services with Drag and Drop . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

    To Drag and Drop an Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

    Drag and Drop Combinations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

    Dragging from Assembly Component Window . . . . . . . . . . . . . . . . . . . 39

    Dragging from Data Component Window. . . . . . . . . . . . . . . . . . . . . . . . 39

    Dragging from Source Component Window . . . . . . . . . . . . . . . . . . . . . . 40

    Dragging from the Memory Component Window . . . . . . . . . . . . . . . . . 41

    Dragging from Procedure Component Window . . . . . . . . . . . . . . . . . . . 42

    Dragging from Register Component Window. . . . . . . . . . . . . . . . . . . . . 42

    Dragging from Module Component Window . . . . . . . . . . . . . . . . . . . . . 43

    Selection Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

    3 Debugger Components 45Component Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

    CPU Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

    Window Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

    2HC(S)12(X) Debugger Manual

  • Table of Contents

    Connection Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

    Loading Component Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

    General Debugger Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

    Assembly Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

    Assembly Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

    Setting Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

    Associated Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

    Command Line Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

    Command Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

    Cache Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

    Coverage Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

    Coverage Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

    Coverage Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

    Output File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

    Split View Associated Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

    DA-C Link Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

    DA-C Link Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

    DA-C Link Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

    Drag Out . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

    Drop Into . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

    Demo Version Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

    Data Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

    Data Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

    Expression Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

    Expression Command file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

    Data Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

    Scope Submenu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

    Format Submenu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

    Format Selected & All Sub Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

    Mode Submenu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

    Options Submenu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

    Zoom and Sort Submenus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74

    Associated Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74

    Memory Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

    Memory Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

    3HC(S)12(X) Debugger Manual

  • Table of Contents

    Memory Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

    Display Submenu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

    Fill Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

    Display Address. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

    CopyMem Submenu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

    Search Pattern . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

    Update Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

    Associated Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

    MicroC Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

    MicroC Link Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

    MicroC DLLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

    Module Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

    Module Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

    Module Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

    Procedure Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

    Procedure Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

    Procedure Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

    Profiler Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

    Profiler Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99

    Profiler Output File Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

    Recorder Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

    Recorder Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

    Recorder Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

    Register Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

    Status Register Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

    Editing Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

    Register Menu (Format Submenu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106

    Drop Into: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

    SoftTrace Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

    SoftTrace Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

    SoftTrace Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

    Associated Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

    Source Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111

    Folding and Unfolding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

    Source Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

    4HC(S)12(X) Debugger Manual

  • Table of Contents

    Open Source File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

    Go to Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

    Find Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

    Find Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

    Folding Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121

    Visualization Utilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123

    Inspector Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

    Inspector Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

    Inspector Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

    Associated Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

    VisualizationTool Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

    Edit Mode and Display Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132

    VisualizationTool Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

    Associated Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

    VisualizationTool Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

    Instruments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

    4 Control Points 147Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

    Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

    Breakpoints Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

    Multiple Selections in List Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

    Checking Expressions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

    Saving Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

    Setting Breakpoints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154

    Positions Where a Breakpoint Is Definable . . . . . . . . . . . . . . . . . . . . . . . . 154

    Temporary Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

    Setting Temporary Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

    Permanent Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

    Setting Permanent Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

    Counting Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

    Setting Counting Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

    Conditional Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

    Setting Conditional Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

    Deleting Breakpoints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159

    5HC(S)12(X) Debugger Manual

  • Table of Contents

    Associate a Command with a Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . 160

    Demo Version Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160

    Watchpoints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161

    Watchpoints Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

    Multiple Selections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

    Checking Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

    Setting Watchpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165

    Setting a Read Watchpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165

    Setting a Write Watchpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

    Defining a Read/Write Watchpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

    Defining a Counting Watchpoint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

    Defining a Conditional Watchpoint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

    Deleting a Watchpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169

    Associate a Command with a Watchpoint. . . . . . . . . . . . . . . . . . . . . . . . . . 169

    Demo Version Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170

    Markpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171

    Markpoints Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173

    Setting Markpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

    Setting a Source Markpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

    Setting a Data Markpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

    Setting a Memory Markpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175

    Deleting a Markpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175

    Halting on a Control Point . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176

    5 Real Time Kernel Awareness 177Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177

    Inspecting Task State. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178

    RTK Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178

    Task Description Language. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178

    Application Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180

    Inspecting Kernel Data Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181

    RTK Awareness Register Assignments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182

    OSEK Kernel Awareness . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

    OSEK ORTI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

    ORTI File and Filename . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

    6HC(S)12(X) Debugger Manual

  • Table of Contents

    ORTI File Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184

    OSEK RTK Inspector Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184

    Inspector Task . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185

    Inspector Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187

    Inspector SystemTimer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187

    Inspector Alarm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188

    Inspector Message . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189

    6 How To ... 191How To Configure the Debugger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192

    For Use from Desktop (Win 95, Win 98,Win NT4.0 or Win2000). . . . . . . 192

    Defining the Default Directory in the MCUTOOLS.INI . . . . . . . . . . . 192

    How To Start the Debugger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193

    From WinEdit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193

    Automating Debugger Startup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194

    How To Load an Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195

    How To Start an Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196

    How To Stop an Application. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196

    How To Step in the Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197

    On Source Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197

    On the Next Source Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197

    Step Over a Function Call (Flat Step) . . . . . . . . . . . . . . . . . . . . . . . . . . 198

    Step Out from a Function Call. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198

    Step on Assembly Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199

    How To Work on Variables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199

    Display Local Variable from a Function . . . . . . . . . . . . . . . . . . . . . . . . . . . 199

    Display Global Variable from a Module . . . . . . . . . . . . . . . . . . . . . . . . . . . 199

    Change Format for Variable Value Display . . . . . . . . . . . . . . . . . . . . . . . . 200

    Modify a Variable Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201

    Get the Address Where a Variable is Allocated . . . . . . . . . . . . . . . . . . . . . 202

    Inspect Memory Starting at a Variable Location Address . . . . . . . . . . . . . 202

    Load an Address Register with the Address of a Variable . . . . . . . . . . . . . 202

    How To Work on the Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203

    Change Format of Register Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203

    Modify a Register Content . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203

    7HC(S)12(X) Debugger Manual

  • Table of Contents

    Modify Index or Accumulator Register Content . . . . . . . . . . . . . . . . . . 203

    Modify Bit Register Content . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204

    Start Memory Dump at Address Where Register Is Pointing . . . . . . . . . . 204

    Modify Content of Memory Address . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205

    How to Consult Assembler Instructions Generated by a Source Statement. . . 205

    How To View Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206

    How to Communicate with the Application . . . . . . . . . . . . . . . . . . . . . . . . . . . 207

    About startup.cmd, reset.cmd, preload.cmd, postload.cmd . . . . . . . . . . . . . . . 207

    7 CodeWarrior Integration 209Debugger Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

    8 Debugger DDE Capabilities 211Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211

    DDE Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211

    Driving Debugger through DDE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211

    9 Synchronized Debugging Through DA-C IDE 213Configuring DA-C IDE for Freescale Tool Kit . . . . . . . . . . . . . . . . . . . . . . . . 213

    Create New Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214

    Configure Working Directories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214

    Configure File Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216

    Configure Library Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216

    Adding Files to Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218

    Building The Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219

    Configuring The Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221

    Compiler Configuration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221

    Linker Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222

    Maker Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223

    Debugger Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224

    DA-C IDE and Debugger Communication . . . . . . . . . . . . . . . . . . . . . . . . . 225

    Communication DLL Installation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225

    Debugger Properties Configuration. . . . . . . . . . . . . . . . . . . . . . . . . . . . 226

    Debugger Project File Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . 227

    Synchronized Debugging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229

    8HC(S)12(X) Debugger Manual

  • Table of Contents

    Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229

    Book II - HC(S)12(X) Debug Connections

    Book II Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233

    10 HC12 Debugging First Steps 235Technical Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235

    Full Chip Simulation Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235

    HCS12 Serial Monitor Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235

    SofTec HCS12 Considerations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236

    ICD-12 Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236

    BDIK Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236

    Debugging First Steps Using the Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237

    Switching Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247

    Loading the Full Chip Simulation Connection . . . . . . . . . . . . . . . . . . . . . . . . . 247

    Loading the ICD-12 Connection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249

    Switching to SofTec HC12 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253

    Switching to HCS12 Serial Monitor Connection . . . . . . . . . . . . . . . . . . . . . . . 255

    11 HC(S)12(X) Full Chip Simulation Connection 259Full Chip Simulation Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259

    Debugger Status Bar with Full Chip Simulation . . . . . . . . . . . . . . . . . . 260

    Open I/O Component Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261

    Command File Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262

    Memory Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263

    Memory Configuration Dialog Box Features . . . . . . . . . . . . . . . . . . . . 263

    Access Details Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268

    Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269

    Clock Frequency Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269

    Bus Trace. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270

    9HC(S)12(X) Debugger Manual

  • Table of Contents

    Full Chip Simulation Warnings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271

    WARNING_SETUP Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272

    MESSAGE_HIDE_ID Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274

    MESSAGE_SHOW_ID Command. . . . . . . . . . . . . . . . . . . . . . . . . . . . 274

    MESSAGE_HIDE_RESET Command . . . . . . . . . . . . . . . . . . . . . . . . . 274

    FCS and Silicon On-chip Peripherals Simulation. . . . . . . . . . . . . . . . . . . . . . . 275

    Supported Derivatives. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276

    Communication Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285

    Byteflight (BF) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285

    J1850 Bus (BLCD) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285

    Motorola Scalable CAN (MSCAN) . . . . . . . . . . . . . . . . . . . . . . . . . . . 285

    Inter-IC Bus (IIC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286

    Serial Communication Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286

    Serial Peripheral Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288

    Converter Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288

    Analog to Digital Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288

    Memory Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290

    EEPROM (EETS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290

    Flash (FTS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290

    Miscellaneous Modules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290

    Voltage Regulator (VREG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290

    Debug Module (DBG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291

    S12X_INT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291

    XGATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291

    Port I/O Modules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292

    External Bus Interface (EBI) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292

    Module Mapping Control (MMC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293

    Multiplexed External Bus Interface (MEBI) . . . . . . . . . . . . . . . . . . . . . 293

    Port Integration Module (PIM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294

    Timer Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294

    Clock and Reset Generator (CRG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294

    Blocks: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294

    Enhanced Capture Timer (ECT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296

    Periodic Interrupt Timer (PIT). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299

    Pulse Width Modulator (PWM). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299

    10HC(S)12(X) Debugger Manual

  • Table of Contents

    Timer Module (TIM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301

    Legacy HC12 (CPU12) Derivatives Simulation . . . . . . . . . . . . . . . . . . . . . 301

    MC68HC812A4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301

    HC912DG128x, HC912DT128x . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317

    FCS Visualization Utilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323

    Analog Meter Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323

    Analog Meter Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324

    Analog Meter Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324

    IO_LED Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325

    IO_LED Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325

    IO_LED Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325

    Demo Version Limitations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326

    LED Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327

    LED Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327

    LED Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327

    Phone Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329

    Phone Operations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330

    ADC/DAC Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331

    ADC/DAC Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333

    ADC/DAC - Setup Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333

    Conversion Parameters Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . 334

    Display Properties Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335

    IT_Keyboard Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336

    IT_Keyboard Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337

    Interruption Keyboard Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337

    LCD Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339

    LCD Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339

    Instruction Listing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340

    The Initialization Step . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342

    LCD Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343

    LCD Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344

    Monitor Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345

    Monitor Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345

    Add Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346

    Monitor Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346

    11HC(S)12(X) Debugger Manual

  • Table of Contents

    Change Colors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347

    Push Buttons Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349

    Push Buttons Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349

    Push Buttons Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349

    Use with IO_Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350

    Use with LEDs Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350

    Programmable IO_Ports Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352

    Programmable IO_Ports Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352

    Port Address . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353

    7-Segments Display Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354

    7-Segments Display Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355

    7-Segments Display Setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355

    Stimulation Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357

    Stimulation Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357

    Example of a Stimulation File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358

    TestTerm Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360

    Output Redirection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361

    How to Redirect. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362

    Using TestTerm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362

    TestTerm Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363

    Terminal Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365

    Configure Terminal Connections. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366

    Input and Output File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367

    File Control Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367

    How to Use Virtual SCI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369

    Wagon Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370

    Wagon Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370

    Wagon Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370

    True Time I/O Stimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372

    Stimulation Program Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372

    Running an Example Program Without Stimulation . . . . . . . . . . . . . . . 372

    Example Program with Periodical Stimulation of a Variable . . . . . . . . 374

    Example Program with Stimulated Interrupt . . . . . . . . . . . . . . . . . . . . . 375

    Example of a Larger Stimulation File . . . . . . . . . . . . . . . . . . . . . . . . . . 377

    Stimulation Input File Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380

    12HC(S)12(X) Debugger Manual

  • Table of Contents

    EBNF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380

    Electrical Signal Generators and Signals Application to Device Pins . . . . . . . 382

    Signal IO Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382

    Signal Description File EBNF. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382

    Signal File Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382

    File Example 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383

    File Example 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384

    File Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384

    Signal IO Usage. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385

    Signal Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385

    Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386

    Base Signal Files Provided . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386

    Virtual Wire Connections with the Pinconn IO Component . . . . . . . . . . . . 387

    Pinconn IO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387

    Command Set to Apply Signal on ATD Pin . . . . . . . . . . . . . . . . . . . . . . . . 388

    FCS Tutorials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389

    Guess the Number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389

    Step 1 - Environment Setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389

    Step 2 - Creating the project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389

    Step 3 - ‘Target CPU’ Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390

    Step 4 - ‘Bean Selector’ Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391

    Step 5 - ‘Project Panel’ Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392

    Step 6 - ‘Bean Inspector AS1:AsynchroSerial’ Window . . . . . . . . . . . 393

    Step 7 - Generation of Driver Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . 394

    Step 8 - Verification of Files Created . . . . . . . . . . . . . . . . . . . . . . . . . . 394

    Step 9 - Entering User Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395

    Step 10 - Run . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397

    PWM Channel 0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397

    Step 1 - Environment Setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398

    Step 2 - Creating Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398

    Step 3 - ‘Target CPU’ Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398

    Step 4 - Creating PWM Bean . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399

    Step 5 - ‘Project Panel’ Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399

    Step 6 - ‘Bean Inspector PWM8.PWM . . . . . . . . . . . . . . . . . . . . . . . . . 399

    Step 7 - Generate Driver Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399

    13HC(S)12(X) Debugger Manual

  • Table of Contents

    Step 8 - Verification of Files Created . . . . . . . . . . . . . . . . . . . . . . . . . . 399

    Step 9 - Entering User Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400

    Step 10 - Run . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400

    VisualizationTool Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401

    ‘Chart’ Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401

    Period ‘Bar Properties’ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401

    Duty Time ‘Bar Properties’ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402

    12 P&E Multilink/Cyclone Pro Connection 405Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 405

    Windows NT Installation Notice . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 405

    Interfacing Your System and P&E Multilink/Cyclone Pro. . . . . . . . . . . . . . . . 406

    Loading the P&E Multilink/Cyclone Pro Connection . . . . . . . . . . . . . . . . . . . 407

    Default Connection Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411

    13 Softec HCS12 Connection 413Technical Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 413

    inDart-HCS12 Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 413

    MCU Configuration Option. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414

    MCU Configuration Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414

    Communication Settings Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414

    User’s Manual Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 416

    About Option. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 416

    14 HCS12 Serial Monitor Connection 417Serial Monitor Technical Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417

    CodeWarrior and Serial Monitor Connection . . . . . . . . . . . . . . . . . . . . . . . . . . 417

    HCS12 Serial Monitor Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418

    MONITOR-HCS12 Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421

    Monitor Communication... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422

    Vector Mirroring Setup... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422

    Erase Flash. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422

    Trigger Module Settings... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422

    Bus Trace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422

    Select Derivative . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422

    14HC(S)12(X) Debugger Manual

  • Table of Contents

    Monitor Setup Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422

    Monitor Communication Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423

    Vector Table Mirroring Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424

    Derivative Selection Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 425

    15 Abatron BDI Connection 427Abatron BDI Highlights . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427

    Abatron BDI Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428

    Abatron BDI Connection Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428

    Interfacing Abatron BDI andYour System . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429

    BDI Interface Software Setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 430

    Running the ABATRON Configuration Tool . . . . . . . . . . . . . . . . . . . . . . . 430

    Example with B10C12.EXE Configuration Tool . . . . . . . . . . . . . . . . . 430

    Firmware Loading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431

    Initialization List (Startup Init List) Loading . . . . . . . . . . . . . . . . . . . . 432

    Communication with the Debugger Setup. . . . . . . . . . . . . . . . . . . . . . . 433

    BDI Working Mode and Setup/List Transmission. . . . . . . . . . . . . . . . . 434

    Loading the Abatron BDI Connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434

    Abatron BDI Connection Menu Entries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437

    Load... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437

    Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437

    Communication... or Connect... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437

    Setup.... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438

    Configure BDI Box... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438

    Set Bank... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438

    Command Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438

    Help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438

    Abatron BDI Connection Windows, Edit and Dialog Boxes . . . . . . . . . . . . . . 438

    Communication Device Specification Edit Box . . . . . . . . . . . . . . . . . . . . . 439

    Setup Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440

    Abatron BDI Status Bar Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441

    Status Messages. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441

    BDI ready V x.xx. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441

    No Link To Target . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441

    RUNNING. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441

    15HC(S)12(X) Debugger Manual

  • Table of Contents

    HALTED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    RESET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    Stepping and Breakpoint Messages. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    STEPPED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    STEPPED OVER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    STOPPED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    TRACED . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    BREAKPOINT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    WATCHPOINT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443

    Terminal Emulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443

    Example for CPU12 Targets:. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443

    Flash Programming. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445

    Examples of HC12/CPU12 Direct Commands . . . . . . . . . . . . . . . . . . . 445

    Abatron BDI Connection Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447

    Default Connection Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447

    HC12 and HCS12 Banked Memory support . . . . . . . . . . . . . . . . . . . . . . . . . . 447

    Banked Memory Location Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447

    PPAGE Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 448

    DPAGE Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449

    EPAGE Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449

    Various Tab (Not For All Connections). . . . . . . . . . . . . . . . . . . . . . . . . 450

    Book III - HC(S)12(X) Debug Connections - Common Features

    Book III Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 451

    16HC(S)12(X) Debugger Manual

  • Table of Contents

    15 HCS12 On-chip DBG Module 453

    16 HCS12X On-chip DBG Module 455

    17 Debugging Memory Map 457Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457

    Debugging Memory Map GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 458

    Edition Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 459

    Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460

    Priorities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461

    Remarks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462

    CPU Core Types and Priorities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462

    HC12(CPU12) Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462

    Priorities: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462

    Types:. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463

    HCS12 Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 464

    Priorities: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 464

    Types:. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 465

    HCS12X Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 465

    Priorities: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 465

    Types:. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 465

    DMM Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466

    18 HC(S)12(X) Flash Programming 467Non-volatile Memory Control Utility Introduction . . . . . . . . . . . . . . . . . . . . . 467

    Automated Application Programming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467

    Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 468

    Advanced Options: Preventing Erasing . . . . . . . . . . . . . . . . . . . . . . . . . . . 469

    NVMC Graphical User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 470

    Modules and Module States. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 470

    NVMC Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471

    Flash Module Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473

    MCU Speed Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474

    Configuration: FPP File Loading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474

    Loading an Application in Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475

    17HC(S)12(X) Debugger Manual

  • Table of Contents

    Preparing, Loading an Application in Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . 477

    Hardware Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478

    HC12 (CPU12) CPU devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478

    HC12B32 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478

    HC12D60. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478

    HC12DG128 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479

    HCS12 and HCS12X CPU devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 480

    HCS12 EEPROM’s Relocation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 481

    EB386 Compliancy and RAM Moving. . . . . . . . . . . . . . . . . . . . . . . . . . . . 482

    Legacy Flash Programming Commands in Preload and Postload Command Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482

    Book IV - CommandsBook IV Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485

    20 Debugger Engine Commands 487Commands Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487

    Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488

    Available Command Lists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488

    Kernel Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488

    Base Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489

    Environment Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491

    Component Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492

    Component Specific Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 493

    Command Syntax Terms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496

    Module Names. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497

    Debugger Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497

    A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498

    ACTIVATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498

    ADDXPR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499

    ATTRIBUTES. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499

    In the Command Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499

    18HC(S)12(X) Debugger Manual

  • Table of Contents

    In the Procedure Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500

    In the Assembly Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500

    In the Register Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501

    In the Source Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503

    In the Data Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504

    In the Memory Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505

    In the Inspector Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507

    AT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509

    AUTOSIZE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509

    BASE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510

    BC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510

    BCKCOLOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511

    BD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512

    BS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 513

    CALL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515

    CD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516

    CF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 517

    CLOCK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519

    CLOSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519

    COPYMEM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 520

    CMDFILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 520

    CR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521

    CYCLE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521

    DASM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522

    DB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523

    DDEPROTOCOL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525

    DEFINE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526

    DETAILS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527

    DL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528

    DUMP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529

    DW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529

    E . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530

    ELSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531

    ELSEIF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531

    ENDFOCUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532

    19HC(S)12(X) Debugger Manual

  • Table of Contents

    ENDFOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .533

    ENDIF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .533

    ENDWHILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .534

    EXECUTE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .534

    EXIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .535

    FILL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .535

    FILTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .536

    FIND . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .537

    FINDPROC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .538

    FOCUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .539

    FOLD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .540

    FONT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .540

    FOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .541

    FPRINTF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .542

    FRAMES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .542

    G . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .543

    GO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .544

    GOTO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .545

    GOTOIF. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .546

    GRAPHICS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .547

    HELP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .547

    IF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .548

    INSPECTOROUTPUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .549

    INSPECTORUPDATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .549

    LF. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .550

    LOAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .551

    LOADCODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .552

    LOADSYMBOLS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .552

    LOG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .553

    More About Logging of IF, FOR, WHILE and REPEAT . . . . . . . . . . .554

    LS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .557

    MEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .558

    MS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .559

    NB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .560

    NOCR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .562

    20 HC(S)12(X) Debugger Manual

  • Table of Contents

    NOLF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562

    OPEN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 563

    OUTPUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 564

    P. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 565

    PAUSETEST. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 566

    PRINTF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 567

    PTRARRAY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 567

    RD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 568

    RECORD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 569

    REPEAT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 569

    RESET. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 570

    RESTART . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 570

    RETURN. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 571

    RS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572

    S. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 573

    SAVE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574

    SAVEBP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575

    SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576

    SETCOLORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576

    SLAY. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577

    SLINE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577

    SMEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 578

    SMOD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 579

    SPC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580

    SPROC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 581

    SREC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582

    STEPINTO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583

    STEPOUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 584

    STEPOVER. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 585

    STOP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586

    T . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 587

    TESTBOX. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588

    TUPDATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588

    UNDEF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 589

    UNFOLD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 591

    21HC(S)12(X) Debugger Manual

  • Table of Contents

    UNTIL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .592

    UPDATERATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .592

    VER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .593

    WAIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .594

    WB. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .595

    WHILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .596

    WL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .597

    WW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .597

    ZOOM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .598

    21 Debugger Connection-specific Commands 599Abatron BDI Connection Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .599

    Banked Memory Location-associated Commands . . . . . . . . . . . . . . . . . . .602

    BANKWINDOW Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .604

    ICD-12 Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .606

    Command Files and ICD-12 Commands. . . . . . . . . . . . . . . . . . . . . . . . . . .606

    ICD-12 Memory Configuration Commands . . . . . . . . . . . . . . . . . . . . . . . .606

    NVMC Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .608

    FLASH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .608

    [] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .611

    DMM Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .614

    Debugging Memory Map Manager Commands . . . . . . . . . . . . . . . . . . . . .614

    "DMM" Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .614

    "DMM ADD" command. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .614

    "DMM DEL" Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .615

    "DMM SAVE" Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .615

    "DMM DELETEALLMODULES" Command . . . . . . . . . . . . . . . . . . . . . .615

    "DMM RELEASECACHES" Command . . . . . . . . . . . . . . . . . . . . . . . . . .616

    "DMM CACHINGON" Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .616

    "DMM CACHINGOFF" Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .616

    "DMM HCS12MERHANDLINGON" Command . . . . . . . . . . . . . . . . . . .616

    "DMM HCS12MERHANDLINGOFF" Command. . . . . . . . . . . . . . . . . . .616

    "DMM OPENGUI" Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .617

    Full Chip Simulator Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .617

    FCS-Associated Component-specific Commands . . . . . . . . . . . . . . . . .617

    22 HC(S)12(X) Debugger Manual

  • Table of Contents

    ADDCHANNEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619

    ADCPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619

    CPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 620

    DELCHANNEL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 620

    ITPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621

    ITVECT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621

    KPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622

    LCDPORT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 622

    LINKADDR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 623

    PBPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 623

    PORT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624

    PSMODE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624

    REGBASE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 625

    RESETCYCLES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 625

    RESETMEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 626

    RESETRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 627

    RESETSTAT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 627

    SEGPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 628

    SETCONTROL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 628

    SETCPU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 629

    SHOWCYCLES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 629

    WPORT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 630

    Book V - Environment VariablesBook V Contents. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 631

    22 Debugger Engine Environment Variables 633Debugger Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634

    The Current Directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 635

    Global Initialization File (MCUTOOLS.INI - PC Only) . . . . . . . . . . . . . . 636

    Local Configuration File (usually project.ini) . . . . . . . . . . . . . . . . . . . . . . . . . 637

    Default Layout Configuration (PROJECT.INI) . . . . . . . . . . . . . . . . . . . . . 638

    23HC(S)12(X) Debugger Manual

  • Table of Contents

    Ini File Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .640

    Environment Variable Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .641

    Line Continuation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .642

    Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .643

    ABSPATH: Absolute Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .644

    DEFAULTDIR: Default Current Directory . . . . . . . . . . . . . . . . . . . . . . . . .645

    ENVIRONMENT=: Environment File Specification . . . . . . . . . . . . . . . . .646

    GENPATH: #include “File” Path. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .647

    LIBRARYPATH: ‘include ’ Path . . . . . . . . . . . . . . . . . . . . . . . . . . .648

    OBJPATH: Object File Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .649

    TMP: Temporary directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .650

    USELIBPATH: Using LIBPATH Environment Variable. . . . . . . . . . . . . . .651

    Search Order for Source Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .652

    In the Debugger for C Source Files (*.c, *.cpp) . . . . . . . . . . . . . . . . . . . . .652

    In the Debugger for Assembly Source Files (*.dbg) . . . . . . . . . . . . . . . . . .652

    In the Debugger for Object Files (HILOADER) . . . . . . . . . . . . . . . . . . . . .652

    Debugger Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .652

    23 Connection-specific Environment Variables 655Connection-specific Environment Variables. . . . . . . . . . . . . . . . . . . . . . . . . . .655

    Abatron BDI Connection Environment Variables. . . . . . . . . . . . . . . . . . . . . . .655

    Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .658

    Banked Memory Location-associated Environment Variables . . . . . . . . . .660

    ICD-12 Environment. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .663

    ICD-12 Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .663

    ICDPORT Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .663

    BMDELAY Variable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .664

    Index 665

    24 HC(S)12(X) Debugger Manual

  • Introduction

    Manual ContentsThe HC(S)12(X) Debugger Manual consists of the following books:

    Book 1: Debugger Engine - defines the HC12, HCS12 and HC(S)12(X) common and base features, their functionality, and a description of the components that are avilable in the debugger.

    • Chapter 1.1 “Introduction” on page 5

    • Chapter 1.2 “Debugger Interface” on page 7

    • Chapter 1.3 “Debugger Components” on page 45

    • Chapter 1.4 “Control Points” on page 147

    • Chapter 1.5 “Real Time Kernel Awareness” on page 177

    • Chapter 1.6 “How To ...” on page 191

    • Chapter 1.7 “CodeWarrior Integration,”

    • Chapter 1.8 “Debugger DDE Capabilities,”

    • Chapter 1.9 “Synchronized Debugging Through DA-C IDE,”

    Book 2: HC(S)12(X) Debugger Connections - defines the connections available for debugging code written for HC12 CPUs.

    • Chapter 2.1 “HC12 Debugging First Steps” on page 235

    • Chapter 2.2 “HC(S)12(X) Full Chip Simulation Connection” on page 259

    • Chapter 2.3 “P&E Multilink/Cyclone Pro Connection” on page 405

    • Chapter 2.4 “Softec HCS12 Connection” on page 413

    • Chapter 2.5 “HCS12 Serial Monitor Connection” on page 417

    • Chapter 2.6 “Abatron BDI Connection” on page 427

    Book 3: HC(S)12(X) Debugger Connections - Common Features

    • Chapter 3.1 “HCS12 On-chip DBG Module” on page 453

    • Chapter 3.2 “HCS12X On-chip DBG Module” on page 455

    • Chapter 3.3 “Debugging Memory Map” on page 457

    1HC(S)12(X) Debugger Manual

  • Manual Contents

    • Chapter 3.4 “HC(S)12(X) Flash Programming” on page 467

    Book 4: Commands

    • Chapter 4.1 Debugger Engine Commands on page 487

    • Chapter 4.2 Debugger Connection-specific Commands on page 599

    Book 5: Environment Variables

    • Chapter 5.1 Debugger Engine Environment Variables on page 633

    • Chapter 5.2 Connection-specific Environment Variables on page 655

    2 HC(S)12(X) Debugger Manual

  • Book I - Debugger Engine

    Book I ContentsEach