implementation of a robust virtual metrology for plasma

10
Implementation of a robust virtual metrology for plasma etching through effective variable selection and recursive upd ate technology Kye Hyun Baek a) School of Chemical and Biological Engineering, Seoul National University, San 56-1, Shillim-dong, K w anak -gu, Seoul 151-7 4 2 , South K orea and Semiconductor R & D Center, Samsung Electronics Co. , L td, San # 16 Banw ol-D ong, H w asung-City, G yeonggi-do 4 4 5-7 0 1, South K orea Ki w o o kS o ng School of Chemical and Biological Engineering, Seoul National University, San 56-1, Shillim-dong, K w anak -gu, Seoul 151-7 4 2 , South K orea C h o ng h un Han School of Chemical and Biological Engineering, Seoul National University, San 56-1, Shillim-dong, K w anak -gu, Seoul 151-7 4 2 , South K orea; I nstitute of Chemical P rocesses, Seoul National University, San 56-1, Shillim-dong, K w anak -gu, Seoul 151-7 4 2 , South K orea; and Engineering R esearch I nstitute, Seoul National University, San 56-1, Shillim-dong, K w anak -gu, Seoul 151-7 4 2 , South K orea G i l h eyun C h o i and Han Ku C ho Semiconductor R & D Center, Samsung Electronics Co. , L td, San # 16 Banw ol-D ong, H w asung-City, G yeonggi-do 4 4 5-7 0 1, South K orea T h o m as F.E d g ar D ep artment of Chemical Engineering, University of T ex as at A ustin, 1 University Station-C0 4 0 0 A ustin, T ex as7 8 7 12 (Received 5 September 2013; accepted 2 January 2014; published 23 January 2014) V irtual metro lo g y (V M ) is attracting much interest f ro m semico nducto r manuf acturers because o f its po tential advantag es f o r q uality co ntro l. P lasma etching eq uipment w ith state- o f - the- art plasma senso rs are attractive f o r implementing V M .H ow ever, the plasma senso rs req uiring physical understanding mak e it dif fi cult to select input parameters f o r V M . I n additio n, tho se senso rs w ith hig h sensitivity f req uently cause several issues in terms o f V M perf o rmance. T his paper w ill address plasma senso r issues in implementing a ro bust V M ,w here self - ex cited electro n reso nance spectro sco py, o ptical emissio n spectro sco py, and V I - pro be are utiliz ed f o r critical dimensio n predictio n in a plasma etching pro cess. A n o ptimum senso r selectio n techniq ue w hich can g ive insig ht into ef f ectiveness o f plasma senso rs is intro duced. I n this techniq ue, a numerical criterio n, integ rated sq uared respo nse, is pro po sed f o r ef f ective selectio n o f impo rtant senso rs f o r particular manipulated variables. Senso r data shif t acro ss eq uipment preventive maintenance (P M ) and its impact o n V M perf o rmance are also addressed, w here a recursive data centering techniq ue is intro duced to handle P M -to-PM senso r data drif t in a co st- ef f ective w ay. T he applicatio n o f the techniq ue intro duced in this paper is sho w n to be ef f ective in dynamic rando m access memo ry manuf acturing . H o pef ully, these results w ill enco urag e f urther implementatio n o f ro bust virtual metro lo g y in plasma etching f o r semico nducto r manuf acturing . V C 2 0 14 A merican V acuum Society. [http: / / dx .do i.o rg / 10.1116 / 1.486 2254] I. IN TR O D U CT IO N V irtual metro lo g y (V M ) is a techniq ue to estimate w af er metro lo g y variables using in-situ senso r measurements, w here there is a reg ressio n mo del betw een state variables (SV s) as input and perf o rmance variables (P V s) as o utput. D ue to its ability to pro vide w af er- to - w af er q uality assur- ance, V M has been attracting much interest in recent years f ro m semico nducto r manuf acturer researchers. 18 A w ell- develo ped V M system can reduce req uirements f o r physical metro lo g y, w hich is ex pensive and is a bo ttleneck in semi- co nducto r manuf acturing . V M pro vides real- time q uality assurance f o r pro ductio n to o ls instead o f scheduled to o l mo nito ring w ith test w af ers. In additio n, V M can be inco rpo rated into w af er- to - w af er pro cess co ntro l strateg ies, w hich can mitig ate measurement delay issues. 2,9 B uilding aV M system in plasma etching starts f ro m input variable selectio n f o r an o utput variable such as critical dimensio n (CD ), etch depth, o r etch rate. H ow ever, since plasma etch pro cesses have a larg e number o f in-situ senso rs due to the inherent co mplex ity o f plasmas, 1017 selecting pro per input variables that are better co rrelated w ith o utput variables is alw ays challeng ing . T he plasma etching enviro nment in semico nducto r manu- f acturing is dynamic due to vario us pro ducts and eq uipment variability. F ro m the eq uipment variability perspective, eq uip- ment ag ing characteristics are eq uipment- specifi c so that scheduled preventive maintenance (P M ) maintains the eq uip- ment perf o rmance w ithin desired targ ets. W et- cleaning , o ne o f the majo r P M s, can cause many chang es in eq uipment states because maj o r inside parts are sw apped w ith pre- cleaned parts a) E lectro nic mail: k yehyun.baek @ g mail.co m 0 1 2 2 0 3 - 1 J . V ac. S ci. T echnol. B 3 2 ( 1 ) , J an/F eb2 0 1 4 2166-2746/2014/32(1)/012203/10/$30.00 V C 2 0 1 4 A merican V acuum S ociety 012203-1

Upload: others

Post on 04-Oct-2021

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Implementation of a robust virtual metrology for plasma

Implementation of a robust virtual metrology for plasma etching througheffective variable selection and recursive upd ate technology

Kye Hyun Baeka)

School of Chemical and Biological Engineering, Seoul National University, San 56-1, Shillim-dong,K w anak -gu, Seoul 151-7 4 2 , South K orea and Semiconductor R & D Center, Samsung Electronics Co. ,L td, San # 16 Banw ol-D ong, H w asung-City, G yeonggi-do 4 4 5-7 0 1, South K orea

Ki w o o k S o ngSchool of Chemical and Biological Engineering, Seoul National University, San 56-1, Shillim-dong,K w anak -gu, Seoul 151-7 4 2 , South K orea

C h o ng h un HanSchool of Chemical and Biological Engineering, Seoul National University, San 56-1, Shillim-dong,K w anak -gu, Seoul 151-7 4 2 , South K orea; I nstitute of Chemical P rocesses, Seoul National University,San 56-1, Shillim-dong, K w anak -gu, Seoul 151-7 4 2 , South K orea; and Engineering R esearch I nstitute,Seoul National University, San 56-1, Shillim-dong, K w anak -gu, Seoul 151-7 4 2 , South K orea

G i l h eyun C h o i and Han Ku C h oSemiconductor R & D Center, Samsung Electronics Co. , L td, San # 16 Banw ol-D ong, H w asung-City,G yeonggi-do 4 4 5-7 0 1, South K orea

T h o m as F . E d g arD ep artment of Chemical Engineering, University of T ex as at A ustin, 1 University Station-C0 4 0 0 A ustin,T ex as 7 8 7 12

(Received 5 September 2013; accepted 2 January 2014; published 23 January 2014)

V irtual metro lo g y (V M ) is attracting much interest f ro m semico nducto r manuf acturers because o f

its po tential advantag es f o r q uality co ntro l. P lasma etching eq uipment w ith state- o f - the- art plasma

senso rs are attractive f o r implementing V M . H o w ever, the plasma senso rs req uiring physical

understanding mak e it dif fi cult to select input parameters f o r V M . I n additio n, tho se senso rs w ith

hig h sensitivity f req uently cause several issues in terms o f V M perf o rmance. T his paper w ill

address plasma senso r issues in implementing a ro bust V M , w here self - ex cited electro n reso nance

spectro sco py, o ptical emissio n spectro sco py, and V I - pro be are utiliz ed f o r critical dimensio n

predictio n in a plasma etching pro cess. A n o ptimum senso r selectio n techniq ue w hich can g ive

insig ht into ef f ectiveness o f plasma senso rs is intro duced. I n this techniq ue, a numerical criterio n,

integ rated sq uared respo nse, is pro po sed f o r ef f ective selectio n o f impo rtant senso rs f o r particular

manipulated variables. Senso r data shif t acro ss eq uipment preventive maintenance (P M ) and its

impact o n V M perf o rmance are also addressed, w here a recursive data centering techniq ue is

intro duced to handle P M - to - P M senso r data drif t in a co st- ef f ective w ay. T he applicatio n o f the

techniq ue intro duced in this paper is sho w n to be ef f ective in dynamic rando m access memo ry

manuf acturing . H o pef ully, these results w ill enco urag e f urther implementatio n o f ro bust virtual

metro lo g y in plasma etching f o r semico nducto r manuf acturing .VC 2 0 14 A merican V acuum Society.

[http: / / dx .do i.o rg / 10.1116 / 1.486 2254]

I. IN T R O D U CT IO N

V irtual metro lo g y (V M ) is a techniq ue to estimate w af er

metro lo g y variables using in-situ senso r measurements,

w here there is a reg ressio n mo del betw een state variables

(SV s) as input and perf o rmance variables (P V s) as o utput.

D ue to its ability to pro vide w af er- to - w af er q uality assur-

ance, V M has been attracting much interest in recent years

f ro m semico nducto r manuf acturer researchers.1–8 A w ell-

develo ped V M system can reduce req uirements f o r physical

metro lo g y, w hich is ex pensive and is a bo ttleneck in semi-

co nducto r manuf acturing . V M pro vides real- time q uality

assurance f o r pro ductio n to o ls instead o f scheduled to o l

mo nito ring w ith test w af ers. I n additio n, V M can be

inco rpo rated into w af er- to - w af er pro cess co ntro l strateg ies,

w hich can mitig ate measurement delay issues.2,9

B uilding a V M system in plasma etching starts f ro m input

variable selectio n f o r an o utput variable such as critical

dimensio n (CD ), etch depth, o r etch rate. H o w ever, since

plasma etch pro cesses have a larg e number o f in-situ senso rs

due to the inherent co mplex ity o f plasmas,10–17 selecting

pro per input variables that are better co rrelated w ith o utput

variables is alw ays challeng ing .

T he plasma etching enviro nment in semico nducto r manu-

f acturing is dynamic due to vario us pro ducts and eq uipment

variability. F ro m the eq uipment variability perspective, eq uip-

ment ag ing characteristics are eq uipment- specifi c so that

scheduled preventive maintenance (P M ) maintains the eq uip-

ment perf o rmance w ithin desired targ ets. W et- cleaning , o ne o f

the maj o r P M s, can cause many chang es in eq uipment states

because maj o r inside parts are sw apped w ith pre- cleaned partsa) E lectro nic mail: k yehyun.baek @ g mail.co m

0 1 2 2 0 3 - 1 J . V ac. S ci. T echnol. B 3 2 ( 1 ) , J an/ F eb 2 0 1 4 2 1 6 6 - 2 7 4 6 / 2 0 1 4 / 3 2 ( 1 ) / 0 1 2 2 0 3 / 1 0 / $ 3 0 . 0 0 VC 2 0 1 4 A merican V acuum S ociety 0 1 2 2 0 3 - 1

Page 2: Implementation of a robust virtual metrology for plasma

to save time. The parts swapping impact can be detected

clearly by plasma sensors, but it is less refl ected in process

results. These different responses between sensor data and pro-

cess results across PM require cost-effective adaptation techni-

ques to achieve reliable VM performance.

This paper discusses issues in implementing robust VM

for plasma etching. First, several considerations in plasma

etching for building a VM system are addressed in Sec. II. In

Sec. III, theories suggested in this paper will be briefl y dis-

cussed. In Sec. IV, the results applied in manufacturing a

dynamic random access memory (DRAM) will be shown,

which proves the effectiveness of our methodology.

II. CONSIDERATIONS ON PLASMA ETCH-SPECIFICVIRTUAL METROLOG Y

A. Plasma sensors to measure plasma characteristics

Figure 1 illustrates the three groups of variables in a

plasma etch process. The plasma has manipulated variables

(MVs) and PVs connecting with several hundred SVs. U ntil

recent years, a group of equipment state variables from built-

in hardware gauges have been utilized for equipment

monitoring and process readiness check. However, with the

narrower process window due to the semiconductor device

shrinkage, the detection capability of those SVs is now insuf-

ficient to measure process performance. As a result, plasma

state variables from plasma sensors, which are more repre-

sentative of process results, are emerging as alternatives.

Plasma etch processes use a plasma to generate highly re-

active ionized species from relatively inert molecular gases to

remove material from surfaces. The ionized species are accel-

erated in a perpendicular direction to the wafer by the sheath

potential of the plasma, which enables anisotropic etching.

Since physical and chemical reactions occur in plasma etch-

ing, sensors that measure electrical and chemical characteris-

tics in the plasma should be installed in etch equipment.

Figure 2 illustrated the schematic of the plasma etching

equipment and plasma sensors employed in this paper. The

etching equipment is an inductively coupled plasma reactor

from Applied Materials, Inc. This equipment uses the rf

power of 13.56MHz to generate the plasma through induc-

tive coupling and has optical emission spectroscopy (O ES)

and VI-probe built in the system. The O ES sensor measures

emission spectra ranging from 200 nm to 800 nm, which

FIG . 1. (Color online) O verview of complex multivariable plasma etch processes whose variables are classified into manipulated variables, state variables, and

performance variables.

FIG . 2. (Color online) Schematic of the plasma etching system and additional plasma sensors employed in this paper.

012203-2 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-2

J. Vac. Sci. Technol. B, Vol. 32, No. 1, Jan/Feb 2014

Page 3: Implementation of a robust virtual metrology for plasma

reflects chemical composition in plasma.10 The VI-probe

sensor measures the voltage, current, and phase of the rf

power of 13.56MHz and its harmonics, with which sheath

voltage of plasma can be estimated.11 The self-excited elec-

tron resonance spectroscopy (SEERS) from Plasmetrex

GmbH is an additionally installed sensor, which measures

electrical and chemical properties of the plasmas such as

electron density, electron collision rate, etc.12,13,18

B. Sensor variable selection with minimum plasmaknowledge

The number of in-situ sensors employed in plasma etch

equipment is potentially more than several hundred. Table I

summarizes sensor variables employed in this paper, which

shows the total number of sensor variables is 1308. In addition

to the huge number of sensor variables in plasma etching, uti-

lization of sensor variables is limited because it requires addi-

tional knowledge to interpret in terms of their relationships to

MVs and PVs. Specifically, plasma sensors are difficult to uti-

lize because they require high-level knowledge of the plasma.

Thus, an efficient and affordable sensor variable selection

technique needs to be developed in plasma etching.

Building a VM system for plasma etching starts from

input variable selection for each output variable (e.g., CD,

etched depth, or etch rate). However, since plasma etch proc-

esses have a large number of SVs from sensors as described

in the previous paragraph, selecting proper input variables

that are better correlated with output variables is always

challenging for a reliable VM system. In addition, the num-

ber of selected input variables should be minimized, given

that the cost for computer resources to maintain a fab-wide

VM system can increase with the number of input variables.

Therefore, variable selection is an important step in building

a VM system. For this reason, many statistical approaches

have been tried for variable selection.5,9,19–21 Those statisti-

cal approaches may be useful in handling a large number of

variables but relying solely on statistical methods without

consideration of the physical meanings of variables may

exclude important variables. In addition, the result that a

VM system in plasma etching shows much more reliable

performances by selecting important plasma variables22

underscores the consideration of physical properties on vari-

ables in selecting input variables. Under these circumstan-

ces, a new variable selection approach that can reflect

physical meaning of variables or engineers’ knowledge is

valuable for a reliable and cost-effective VM system.

C. Sensor data shift across preventive maintenance

One of the major PM events in semiconductor manufac-

turing is wet-cleaning, which can cause many changes in

equipment states because major internal parts are replaced

with new or pre-cleaned parts. The parts replacement can be

detected clearly by plasma sensors, but it is less reflected in

process results, such as shown in Fig. 3. Although the actual

CD shows no distinction, sudden shift of the plasma sensor

data across PM result in poor CD prediction performance.

TABL E I. L ist of sensor variables employed in this paper.

Hardware gauges SEERS VI-probe OES

Variables Description Variables Description Variables Description Variables Description

Throttle current_ pct_ open Throttle valve

open level

Collision rate Electron collision rate f0V Fundamental voltage 200.0 nm Emission intensity

Source forward_ reading Forward power

reading

Electron density Electron density f0I Fundamental current 200.5 nm Emission intensity

Source reflected_ reading Reflected power

reading

1st Harmonics 1st harmonics current f0Phase Fundamental phase 201.0 nm Emission intensity

Source series_ reading Matchbox reading

(Series cap.)

2nd Harmonics 2nd harmonics current f1V 1st harmonics voltage 201.5 nm Emission intensity

Source shunt_ reading Matchbox reading

(Shunt cap.)

3rd Harmonics 3rd harmonics current f1I 1st harmonics current ! !

Source div cap_ current_ 1 Current flowing

source coil1

4th Harmonics 4th harmonics current f1Phase 1st harmonics phase ! !

Source div cap_ current_ 2 Current flowing

source coil2

2nd phase 2nd harmonics current f2V 2nd harmonics voltage ! !

! ! 3rd phase 3rd harmonics phase f2I 2nd harmonics current

! ! 4th phase 4th harmonics phase f2Phase 2nd harmonics phase

! ! f3V 3rd harmonics voltage

f3I 3rd harmonics current

f3Phase 3rd harmonics phase

f4V 4th harmonics voltage

f4I 4th harmonics current

f4Phase 4th harmonics phase

f5V 5th harmonics voltage

f5I 5th harmonics current

f5Phase 5th harmonics phase

80 9 18 1201

012203-3 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-3

JVST B - Microelectronics and Nanometer Structures

Page 4: Implementation of a robust virtual metrology for plasma

These different responses between plasma sensor data and

process results across PM require adaptation techniques to

achieve reliable VM performance.

III. BRIEF THEORYOVERVIEW

A. Integrated sq uared response (ISR) based sensorvariable selection method

Under the circumstances in sensor variable selection

described in Secs. II A and II B, a sensor variable ranking ta-

ble, which sorts all sensor variables in a descending order in

terms of each MV, would be useful as a starting point in

variable selection. The sensor variable ranking table should

be obtained after the entire set of sensor responses to each

MV are analyzed, which takes large amount of time and

knowledge. Given this situation, a systematic scheme to col-

lect and analyze the entire sensor responses would be

desirable.

As a systematic scheme for sensor data collection and

analysis, a time-integrated variance calculation method with

a step change test is suggested in this paper. By running the

one recipe like that summarized in Table II, the entire sensor

response data for each MV can be collected at one time. To

make sure that each step change test is done on the same

condition, a step with the baseline condition before and after

the step change test is inserted. The step time is determined

long enough to reach steady state, which is monitored by

plasma parameters such as electron collision rate and elec-

tron density from SEERS.

Since each steady state sensor response shows strong,

moderate, or weak response to the step change of MVs, a nu-

merical criterion, ISR, is developed for their classification.

Figure 4 shows how ISR is calculated from a raw sensor sig-

nal after a step change test. The raw signal of collision rate

from SEERS, which measures electron collision frequency

in plasma, shows significant response to a 10% change of

source power at 46 s and reaches steady state in a few sec-

onds. The raw signal of collision rate is then normalized by

using Eq. (1)

y" ¼ðyþðtÞ ' yssÞ

yss; (1)

where yss is the average of steady state data points before the

step change and yþ(t) is the data point at the time before and

after the step change.

The normalized data, y* , are then integrated from the start

to the end of the step change for the ISR calculation

ISR ¼1

b' a

ðb

a

ðy"ðtÞÞ2dt; (2)

where a and b are the start of step change and the end time

of step change, respectively.

Table III lists a part of sensor variable ranking table for

source power, bias power, and flow rate of Gas 4, based on

ISR. For source power, two variables from SEERS, six varia-

bles from OES, and one variable from the hardware gauge

group and VI-probe are selected as the top ten important sen-

sor variables. This result is thought to be reasonable, given that

all of the selected variables are related to the properties of elec-

tron, ion, and etchant in the plasma. In the bias power case,

five variables from SEERS, three variables from VI-probe, and

FIG. 3. (Color online) CD and plasma sensors behavior across PM: (a) CD, (b) collision rate, (c) electron density, and (d) 651.5 nm emission.

012203-4 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-4

J. Vac. Sci. Technol. B, Vol. 32, No. 1, Jan/Feb 2014

Page 5: Implementation of a robust virtual metrology for plasma

two variables from hardware gauges are selected, but no varia-

bles from OES are included in the list. This result is also plau-

sible, given that bias power does not impact etchant very much

and both VI-probe and the selected hardware gauges can esti-

mate sheath voltage in plasma. For the flow rate of Gas 4, eight

variables from OES and two variables from SEERS are in the

top ten sensor variable list. This is also thought to be a reason-

able result, given that OES and SEERS can measure chemical

properties of plasma that is changed by increasing gas flow

rate. Thus, it is thought that the sensor ranking table based on

ISR reflects intuitive physical and chemical properties of sen-

sor variables.

B. Relative gain array (RGA) method

Determining an optimum input variable set is still chal-

lenging even with the sensor ranking table because there are

complex interactions between SVs and MVs in plasma etch-

ing. Therefore, interaction analysis between SVs and MVs

should be considered in selecting an optimum input variable

set for VM.

RGA originated by Bristol23 is a useful tool to analyze

interactions between MVs and controlled variables (CVs) in

the control systems. One or more MVs can affect the interac-

tions of CVs in a specific loop or all other control loops.

Therefore, understanding the dependence of different MVsTABLEII.Stepchangetestconditionsofseven

MVsforsensordataacquisition.

MVs

1ststep

2ndstep

3rd

step

4th

step

5th

step

6th

step

7th

step

8th

step

9th

step

10th

step

11th

step

12th

step

13th

step

14th

step

15th

step

Pressure

10%

up

Baseline

SourcePower

10%

up

Baseline

Baseline

Baseline

Baseline

Baselin

Biaspower

Stabilize

Baseline

Baseline

Baseline

15%

up

Baseline

Baseline

Baseline

Baselin

Gas1flow

Step

Baseline

10%

up

Gas2flow

Baseline

10%

up

Gas3flow

Baseline

Baseline

Baseline

10%

up

Gas4flow

Baselin

10%

up

FIG. 4. (Color online) Signals of collision rate for the step change test of

source power: (a) raw signal of collision rate where yss is the average of

steady state data points before step change and yþ(t) is the data point at the

time before and after step change and (b) normalized signal, y*(t).

012203-5 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-5

JVST B - Microelectronics and Nanometer Structures

Page 6: Implementation of a robust virtual metrology for plasma

and CVs in the control scheme could be extremely helpful in

designing and implementing a control scheme for a process.

For a control system with n controlled variables and n

manipulated variables, the relative gain between a controlled

variable, yi, and a manipulated variable, uj, is defined to be

the dimensionless ratio of two steady-state gains23,24

kij ¼@yi = @uj" #

u

@yi = @uj" #

y

¼open-loop gain

closed-loop gain(3)

for i¼ 1, 2… ., n and j¼ 1, 2… ., n.

In Eq. (3), the symbol, ð@yi = @ujÞu, denotes a partial deriv-ative that is evaluated with all of the manipulated variables

except uj held constant. Thus, this term is the open-loop gain

between yi and uj. Similarly, @yi = @uj" #

ycan be interpreted as

a closed-loop gain that indicates the effect of uj and yi when

all of the other feedback control loops are closed.

The RGA, denoted by K, is defined as follows:24

u1 u2 ! ! ! un

K ¼

y1y2! ! !yn

k11 k12 ! ! ! k1n

k21 k22 ! ! ! k2n

! ! ! ! ! ! ! ! ! ! ! !kn1 kn2 ! ! ! knn

2

6

6

4

3

7

7

5

:(4)

According to the RGA properties,23,24 there are two rules

to pair controlled and manipulated variables. The first rule is

to choose the RGA row element that is close to unity and the

second rule is to avoid negative elements.

The RGA method has been extended to nonsquare sys-

tems by Chang and Y u.25

The nonsquare relative gain array (N RGA) method has

the similar properties to those of RGA method except that

the sum of the elements in each row of the N RG falls

between zero and unity. It follows the same input–output

pairing rules as those of the RGA, but due to the control in

the least-square sense, the sum of squared errors (SSE)

caused by uncontrolled SVs should be investigated.

The SSE for the entire system under perfect control of a

selected square subsystem can be calculated as follows.25 If

there is a (m( n) (m> n) nonsquare system with its transfer

function matrix G and n outputs for control are chosen, the

system can be partitioned into

yS'''

yR

2

4

3

5 ¼GS

'''GR

2

4

3

5u; (5)

where yS is a (n( 1) output vector for the selected (con-

trolled) outputs and yR is a [(m-n)( 1] output vector for the

remaining (uncontrolled) outputs.

When considering steady-state error only, the input vector

for the square subsystem in closed-loop is calculated by

!u ¼ G'1S !ysetS ; (6)

where a pseudoinverse of Gs is employed when the inverse

of Gs does not exist.

When the square subsystem is under perfect control, the

steady-state error for all output is described by

!e ¼ ðINmxn ' GG'1S Þ!ysetS ; (7)

where INmxn is a (m( n) matrix with unity in the diagonal and

zero elsewhere, and !e is a (m( 1) steady-state error vector.

Thus for a particular choice of the square sub-system, Gs,

the SSE is defined as

SSE ¼X

n

i¼1

k!eðiÞk22 ¼X

n

i¼1

kðINmxn ' GG'1S Þ!ysets;i k

22; (8)

where !ysets;i is (n( 1) vector with unity in the ith entry and

zero elsewhere, and !eðiÞ is (m( 1) steady state error vector

corresponding to the specific input !ysets;i , and Imxn is (m( n)

matrix with unity in the diagonal and zero elsewhere, and Gs

is the steady state gain of square subsystem.

C. Recursive equation for updating coefficient in datanormaliz ation

As described in Sec. II B, a huge number of sensors

which have different physical properties and scales are

employed in plasma etching. The data normalization of

each sensor value can be a another important issue for VM

because according to the way of data normalization, the

weight of certain sensor variables can be changed, which in

TABLE III. Top ten sensor variables based on ISR with regard to source power, bias power, and gas 4 flow.

Source power ISR Bias power ISR Gas 4 flow ISR

1 Collision rate 3.461 ( 10 Collision rate 3.549 ( 10'1 Collision rate 1.063 ( 10'1

2 E-chuck current 4.936 ( 10'1 4th harmonics 5.040 ( 10'2 Electron density 3.713 ( 10'4

3 4th harmonics 1.444 ( 10'2 f2V 3.936 ( 10'2 OES 7 3.155 ( 10'4

4 OES 1 1.346 ( 10'2 f1V 2.097 ( 10'2 OES 8 1.342 ( 10'4

5 OES 2 1.156 ( 10'2 DC bias 2.097 ( 10'2 OES 9 1.234 ( 10'4

6 OES 3 1.143 ( 10'2 2nd harmonics 1.457 ( 10'2 OES10 1.229 ( 10'4

7 OES 4 1.142 ( 10'2 Peak voltage 1.045 ( 10'2 OES 1 1.207 ( 10'4

8 OES 5 1.075 ( 10'2 3rd harmonics 9.763 ( 10'3 OES11 1.161 ( 10'4

9 f0V 1.022 ( 10'2 1st harmonics 7.347 ( 10'3 OES12 1.142 ( 10'4

10 OES 6 9.968 ( 10'3 f4V 5.878 ( 10'3 OES13 1.130 ( 10'4

012203-6 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-6

J. Vac. Sci. Technol. B, Vol. 32, No. 1, Jan/Feb 2014

Page 7: Implementation of a robust virtual metrology for plasma

turn might lead to wrong decision. Thus, selection of data

normalization is also crucial in plasma etching. In this

study, mean centered and unit variance in Eq. (9) is chosen

for data normalization

Xnormaliz ation;i ¼Xi ' Xmean

r; (9)

where Xnormaliz ation,i is the normalized value of the ith sensor

variable and Xi is the raw value of the sensor variable and

Xmean and r are the mean and the standard deviation of the X

variables, respectively.

When the sensor data shifts like that shown in Fig. 3, VM

model also should take care of those drift. Otherwise predic-

tion performance by VM gets worse. There are two

approaches to handling this issue.26 The first approach is to

maintain the data structure but only to update the coefficient

for data normalization.27 The second approach is to fre-

quently update the data structure by rebuilding the VM

model with incoming new data sets. Updating the coeffi-

cients such as the mean and the standard deviation in our

case would be more effective to handle the drift issue when

the domain knowledge about the PM occurrence can be

incorporated into the VM operation.

The mean and the standard deviation can be recursively

calculated like that shown in the following equations:

xn ¼x1 þ x2 þ x3 þ ! ! ! þ xn'1 þ xn

n

¼

n' 1ð Þ ( x1 þ x2 þ x3 þ ! ! ! xn'1ð Þ

n' 1ð Þþ xn

n

¼n' 1

nxn'1 þ

1

nxn; (10)

r2n ¼

1

n' 1

$ %2X

n

i¼1

xi ' !xnð Þ2;

X

n

i¼1

xi ' !xnð Þ2 ¼X

n

i¼1

x2i ' 2!xnxi þ !x2" #

¼X

n

i¼1

x2i ' 2!xnX

n

i¼1

xi þ n!x2

¼X

n

i¼1

x2i ' n!x2;

r2n ¼

1

n' 1

$ %2X

n

i¼1

xi ' !xnð Þ2

¼1

n' 1

$ %2X

n

i¼1

xi2

!

' nX

n

i¼1

xi

! 22

4

3

5; (11)

where n represents samples, and xn and rn are the average

and the standard deviation of n samples, and x1)n is the each

data point.

With the above recursive equations, the coefficients of

data normalization will be updated, based on the domain

knowledge.

IV. RESULTS AND DISCUSSION

A. ISR based sensor variable selection for VM topredict CD

Since the process result like CD are closely related to

plasma SVs and the plasma SVs are manipulated by MVs,

selecting plasma SVs corresponding to MVs is a proper

approach to determining an optimum input variable set for

VM. Specifically, selecting at least one SV per MV is desira-

ble for controller robustness.

In order to determine the upper mentioned optimum input

variable sets for VM, a systematic variable selection, where

the ISR-based sensor variable selection described in Sec. III A

is incorporated with the RGA, is suggested in this paper. As a

first step, MVs that are effective to control CD are determined

based on engineers’ knowledge. Then top ranked plasma sen-

sor variables for each MV are chosen, which can be obtained

from the ISR based sensor ranking table. The number of top

sensor variables per MV is determined, considering the total

number of the selected MVs. Then sensor variables with zero

steady-state gain elements for several MVs are excluded

because the SV with zero gain for a MV implies that it does

not respond to the particular MV. After that, NRGA of the

steady-state gain matrix between MVs and SVs is calculated.

Table IV summarizes the NRGA of (18( 7) steady-state gain

matrix, where the relative gains of each MV which are close

to unity as much as possible are in bold.

According the pairing rules from RGA in Sec. III B, possi-

ble MV-SV parings can be determined as follows: (Pressure –

OES10 or OES 8), (Source Power – OES11 or OES 1), (Bias

Power – Electron Density or 2nd Harmonics), (Gas 1 Flow –

OES11), (Gas 2 Flow – Collision Rate or OES 4), (Gas 3

Flow – 4th Harmonics), and (Gas 4 Flow – Electron Density).

These selected possible pairings by the RGA rules are not

always valid for nonsquare systems,25 so the SSE caused by

uncontrolled SVs should be investigated for all possible

(7( 7) square subsystems. Table V summarizes the top ten

square sub-systems having lowest SSEs, which is calculated

by the Eq. (8). The top square subsystem consists of collision

rate, OES 8, electron density, OES 1, OES 4, 2nd harmonics,

and 4th harmonics. These selected SVs are a part of the

selected nine SVs through the RGA rules above. The other

square subsystems in Table V also include at least six SVs

matching the selected SVs through the RGA rules. This sug-

gests the selected SVs through the RGA rules are also valid

for the nonsquare system.

B. Implementing a reliable VM system by simple linearregression methods

With the top square subsystem selected in Sec. IVA, VM

models to predict a metal line CD in a DRAM device is built

by applying the linear regression methods like multiple lin-

ear regression (MLR) and partial least squares regression

(PLSR). Since an optimum input variable set which reflects

physical properties of plasmas is selected, it is believed that

a robust VM model without employing more complex

regression methods such as neural network28 and support

vector regression (SVR)29 could be built.

012203-7 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-7

JVST B - Microelectronics and Nanometer Structures

Page 8: Implementation of a robust virtual metrology for plasma

Figure 5 shows the performance of the VM systems built

with MLR and PLSR methods. 25 wafers are prepared for

VM model construction, some of which have a CD value

larger than the normal specification, and the other 45 wafers

under normal operations are utilized for the performance

check of the VM systems. The prediction error of both mod-

els is less than 5% if several outliers which come from previ-

ous process steps are excluded. The mean absolute

percentage error (MAPE) of the VM system is larger than

other published VM results.5,21 However, considering that

the VM system in this paper predicts much more compli-

cated CD than etch rate or etched depth in those papers and

furthermore the number of input variables employed in the

VM model is relatively small, the ISR based sensor variable

selection introduced in this paper is useful. In addition, it

might be the first one to implement the robust CD VM with

less than 10 input variables in plasma etching.

C. Recursive coefficient update to handle sensor datashift across PM

As is described in Sec. II C, a performance of a VM sys-

tem in plasma etching might be worse after wet-cleaning

unless the model of VM covers the plasma sensor data shift.

Figure 6(a) shows the CD prediction performance of the VM

system across wet-cleaning. After wet-cleaning, the predic-

tion error of the VM system jumps from less than 3% before

wet-cleaning to higher than 7%. This situation happens after

almost every wet-cleaning, which implies that the lifetime of

the VM system ends once wet-cleaning starts.

In order to extend the lifetime of the VM system, the

model of the VM system should be updated. A simple way

to update the model of the VM system is to rebuild a new

model of the VM system with the new training sets after

wet-cleaning. Rebuilding the whole model of the VM sys-

tem, however, is not a good approach in semiconductor man-

ufacturing because it sacrifices additional production wafers

and the wafer-to-wafer quality control with the VM during

the period of the modeling rebuild.

There have been several studies on recursive update of

PLS.30–32 Those recursive update algorithms are based on

historical data and then wait for accumulation of new data

points to converge. Since recursive modeling still uses all

the input and output data points from the beginning, they are

not proper for the case of only input variables’ shift in

plasma etching. Thus, the recursive coefficient centering

technique in data normalization described in Sec. III C is

more cost-effective and more appropriate in the sensor data

shift after wet-cleaning.

TABLE V. Top ten square subsystems having lowest SSEs. C/R, collision rate; E/D, electron density; 2nd Har, 2nd harmonics; 4th Har, 4th harmonics.

Square subsystem 1 2 3 4 5 6 7 8 9 10

Selected SVs C/R C/R C/R C/R C/R C/R C/R C/R C/R C/R

OES 8 OES 8 OES10 OES12 OES11 OES14 OES10 OES 9 OES12 OES 9

E/D E/D E/D E/D OES 8 E/D E/D E/D E/D E/D

OES 1 OES 1 OES 1 OES 1 E/D OES 1 OES 1 OES 1 OES 1 OES 1

OES 4 2nd Har OES 4 OES 4 OES 4 OES 4 2nd Har OES 4 2nd Har 2nd Har

2nd Har OES 2 2nd Har 2nd Har 2nd Har 2nd Har OES 2 2nd Har OES 2 OES 2

4th Har 4th Har 4th Har 4th Har 4th Har 4th Har 4th Har 4th Har 4th Har 4th Har

SSE 9.975 10.142 10.166 10.304 10.308 10.313 10.330 10.350 10.367 10.445

TABLE IV. Calculated NRGA of the 18( 7 steady-state gain matrix. The relative gains of each MV close to unit as much as possible are in bold.

Pressure Source power Bias power Gas 1 flow Gas 2 flow Gas 3 flow Gas 4 flow

Collision rate 0.000 '0.019 0.138 0.560 0.651 '0.284 '0.047

OES14 0.588 '0.362 0.000 '0.435 0.314 0.108 '0.074

OES10 0.62 4 '0.381 0.000 '0.513 0.406 0.142 '0.122

OES 9 0.402 '0.273 0.000 '0.388 0.333 0.102 '0.043

OES 13 '0.235 0.185 0.000 0.229 '0.129 -0.054 0.105

OES 11 '0.884 0.7 8 3 0.000 0.9 8 2 '0.702 '0.194 0.311

OES 12 0.466 '0.310 0.000 '0.420 0.388 0.143 '0.119

OES 15 0.037 '0.046 0.000 '0.053 0.120 0.029 0.027

OES 16 '0.021 0.031 0.000 0.033 '0.004 '0.009 0.047

OES 8 0.7 55 '0.469 0.000 '0.659 0.509 0.149 '0.094

Electron density 0.000 0.180 0.3 4 9 0.000 '0.670 0.162 0.9 7 2

OES 17 0.157 '0.055 0.000 '0.047 0.023 0.032 '0.040

OES 1 '1.289 1.3 58 0.000 1.455 '1.103 '0.283 0.433

OES 4 '0.198 0.446 '0.013 '0.222 0.59 3 '0.156 0.014

2nd harmonics 0.000 '0.077 0.3 3 8 0.329 0.106 0.493 '0.202

OES 2 0.236 0.087 0.000 '0.020 0.165 '0.046 '0.067

4th harmonics 0.000 0.020 0.185 0.169 0.000 0.62 5 0.000

OES 18 0.362 '0.100 0.003 0.000 0.000 0.042 '0.102

012203-8 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-8

J. Vac. Sci. Technol. B, Vol. 32, No. 1, Jan/Feb 2014

Page 9: Implementation of a robust virtual metrology for plasma

Figure 6(b) shows the results in which the recursive coeffi-

cient centering in data normalization is applied to input varia-

bles in this paper. By applying the technique after wet-

cleaning, the prediction error is significantly reduced to less

than 5%, which is close to that of the VM before wet-cleaning.

There is an initial drift of the prediction error at the beginning

of the first several wafers after wet-cleaning. This initial drift

can be handled by applying different weighting of the several

first wafers when the mean and standard deviation are recur-

sively calculated. This technique is successively applied to

both MLR and PLSR based VM systems. In addition, it is

believed that the technique can be applied to other regression

techniques in which initial data normalization is required.

V. CONCLUSIONS

Issues in implementing a robust VM for plasma etching

are discussed in this paper: state-of-the art plasma sensors

which are more responsive to process results than hardware

gauges to measure equipment states, effective selection of

plasma sensor variables responding to individual MV, sensor

data shift across PM. In order to handle selection of plasma

sensor variables, ISR based sensor ranking table is sug-

gested, which can be a starting point for variable selection of

VM. The initial variable set based on ISR can be refined by

the interaction analysis from NRGA which can reduce the

number of input variables for VM. With the help of plasma

sensor variables and its optimum sensor variable selection,

simple linear regression methods such as MLR and PLSR

are successfully applied to predict a metal line CD in plasma

etching. The MAPE of the VM systems is less than 5% even

in the case of complicated CD prediction. Sensor variable

shift effects across wet-cleaning which hurts a reliability of

the VM system in plasma etching is reduced to the level of

MAPE before wet-cleaning with a cost-effective recursive

coefficient centering technique.

Reliability of a VM system will be further enhanced

through missing data estimation and handling a frequent tar-

get change in semiconductor manufacturing in future work.

ACK NOWLEDGMENTS

The authors would like to express their sincere gratitude

to Q uentin E. Walker and X iaoliang Z huzng from Applied

Materials, Inc. and Yoon Jae K im in Samsung Electronics

Co. Ltd.

1P. K ang, H. J. Lee, S. Cho, D. K im, J. Park, C. K . Park, and S. Doh,

Expert Syst. Appl. 36, 12554 (2009).2A. A. K han, J. R. Moyne, and D. M. Tilbury, J. Process Control 18, 961

(2008).3P. Chen, S. Wu, J. Lin, F. K o, H. Lo, J. Wang, C. H. Yu, and M. S. Liang,

Proceedings of the International Symposium on Semiconductor

M anufacturing (IEEE, San Jose, CA, 2005), p. 155.

FIG. 5. (Color online) Metal line CD prediction performance of VM systems:

(a) MLR and (b) PLSR are applied to build the VM model.

FIG. 6. (Color online) Metal line CD prediction performance of PLS based

VM systems: (a) without and (b) with the recursive coefficient technique.

012203-9 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-9

JVST B - Microelectronics and Nanometer Structures

Page 10: Implementation of a robust virtual metrology for plasma

4M. H. Hung, T. H. Lin, F. T. Cheng, and R. C. Lin, IEEE/ASME Trans.

Mechatronics 12, 308 (2007).5S. Lynn, J. Ringwood, E. Ragnoli, S. Mcloone, and N. MacGearailt,

Proceedings of the Advanced Semiconductor Manufacturing Conference

(IEEE/SEMI, Berlin, Germany, 2009), p. 143.6D. Zeng and C. J. Spanos, IEEE Trans. Semicond. Manuf. 22, 419 (2009).7S. I. Imai and M. Kitabata, IEEE Trans. Semicond. Manuf. 22, 432 (2009).8V. Vitale, W. Aderhold, A. Hunter, I. Iliopoulos, N. Kroupnova, A.

Yanovich, and N. Merry, Proceedings of the Advanced Semiconductor

Manufacturing Conference (IEEE/SEMI, Cambridge, MA, 2008), p. 349.9P. Kang, D. Kim, H. J. Lee, S. Doh, and S. Cho, Expert Syst. Appl. 38,

2508 (2011).10J. W. Coburn, J. Appl. Phys. 51, 3134 (1980).11E. Semmler, P. Awakowicz, and A. Keudell, Plasma Sources Sci.

Technol. 16, 839 (2007).12K. H. Baek, Y. Jung, G. J. Min, C. Kang, H. K. Cho, and J. T. Moon,

J. Vac. Sci. Technol. B 23, 125 (2005).13K. M. Klick, W. Rehak, and M. Kammeyer, Jpn. J. Appl. Phys., Part 1 36,

4625 (1997)14M. A. Sobolewski, J. Vac. Sci. Technol. A 24, 1892 (2006).15R. Chen, H. Huang, C. J. Spanos, and M. Gatto, J. Vac. Sci. Technol. A

14, 1901 (1996).16H. H. Yue, S. J. Qin, R. J. Markle, C. Nauert, and M. Gatto, IEEE Trans.

Semicond. Manuf. 13, 374 (2000).17H. M. Park, D. S. Grimard, and J. W. Grizzle, J. Vac. Sci. Technol. A 21,

814 (2003).18M. Klick, J. Appl. Phys. 79, 3445 (1996).

19D. White, B. Goodlin, A. Gower, D. Boning, H. Chen, H. Sawin, and T.

Dalton, IEEE Trans. Semicond. Manuf. 13, 193 (2000).20B. M. Wise, N. B. Gallagher, S. W. Butler, D. D. White, Jr., and G. G.

Barna, J. Chemom. 13, 379 (1999).21T. H. Lin, F. T. Cheng, A. J. Ye, W. M. Wu, and M. H. Hung,

Proceedings of the IEEE International Conference on Robotics and

Automation (IEEE, Pasadena, CA, 2008), 3636.22Y. J. Kim, K. H. Baek, Y. J. Kim, S. W. Choi, and W. S. Han, Proceedings

of the 8th European AEC/APC Conference, Dresden, Germany, 19–20

April 2007 (unpublished).23E. H. Bristol, IEEE Trans. Autom. Control A C - 11, 133 (1966).24D. E. Seborg, T. F. Edgar, and D. A. Mellichamp, Process Dynamics and

Control (John Wiley & Sons, Inc., Hoboken, 2004).25J. Chang and C. C. Yu, Chem. Eng. Sci. 45, 1309 (1990).26G. Spitzlsperger, C. Schmidt, G. Ernst, H. Strasser, and M. Speil, IEEE

Trans. Semicond. Manuf. 18, 528 (2005).27K. A. Chamness and T. F. Edgar, Proceedings of the SEMATECH

AEC/APC XV Symposium, Colorado Springs, CO, 13–18 September 2003

(unpublished).28M. C. Johannesmeyer, A. Singhai, and D. E. Serborg, AIChE J. 48, 2022

(2002).29A. J. Smola and B. Sch€olkopf, Stat. Comput. 14, 199 (2004).30B. S. Dayal and J. F. MacGregor, J. Process Control 7, 169

(1997).31K. Helland, H. E. Berntsen, O. S. Borgen, and H. Martens, Chemom.

Intell. Lab. Syst. 14, 129 (1992).32S. J. Qin, Comput. Chem. Eng. 22, 503 (1998).

012203-10 Baek et al.: Implementation of a robust virtual metrology for plasma etching 012203-10

J. Vac. Sci. Technol. B, Vol. 32, No. 1, Jan/Feb 2014