introduction to microfabrication€¦ · introduction to microfabrication second edition sami...

15
Introduction to Microfabrication Second Edition Sami Franssila Professor of Materials Science at Aalto University and Adjunct Professor of Micro- and Nanotechnology at University of Helsinki, Finland A John Wiley and Sons, Ltd., Publication

Upload: dangthien

Post on 26-Aug-2018

418 views

Category:

Documents


9 download

TRANSCRIPT

  • Introduction to MicrofabricationSecond Edition

    Sami Franssila

    Professor of Materials Science at Aalto Universityand Adjunct Professor of Micro- and Nanotechnology

    at University of Helsinki, Finland

    A John Wiley and Sons, Ltd., Publication

    Administrator9780470666722.jpg

  • Introduction to Microfabrication

  • Introduction to MicrofabricationSecond Edition

    Sami Franssila

    Professor of Materials Science at Aalto Universityand Adjunct Professor of Micro- and Nanotechnology

    at University of Helsinki, Finland

    A John Wiley and Sons, Ltd., Publication

  • This edition first published 2010 2010, John Wiley & Sons, Ltd

    First Edition published in 2004

    Registered officeJohn Wiley & Sons Ltd, The Atrium, Southern Gate, Chichester, West Sussex, PO19 8SQ, United Kingdom

    For details of our global editorial offices, for customer services and for information about how to apply for permission to reuse thecopyright material in this book please see our website at www.wiley.com.

    The right of the author to be identified as the author of this work has been asserted in accordance with the Copyright, Designs andPatents Act 1988.

    All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted, in any form or byany means, electronic, mechanical, photocopying, recording or otherwise, except as permitted by the UK Copyright, Designs andPatents Act 1988, without the prior permission of the publisher.

    Wiley also publishes its books in a variety of electronic formats. Some content that appears in print may not be available inelectronic books.

    Designations used by companies to distinguish their products are often claimed as trademarks. All brand names and product namesused in this book are trade names, service marks, trademarks or registered trademarks of their respective owners. The publisher isnot associated with any product or vendor mentioned in this book. This publication is designed to provide accurate andauthoritative information in regard to the subject matter covered. It is sold on the understanding that the publisher is not engaged inrendering professional services. If professional advice or other expert assistance is required, the services of a competentprofessional should be sought.

    Library of Congress Cataloguing-in-Publication Data

    Franssila, Sami.Introduction to microfabrication / Sami Franssila. 2nd ed.

    p. cm.Includes index.ISBN 978-0-470-74983-8 (cloth)1. Microelectromechanical systems. 2. Integrated circuits. 3. Semiconductor processing. 4. Nanotechnology.5. Microfabrication. I. Title.TK7875.F73 2010621.381 dc22

    2010010076

    A catalogue record for this book is available from the British Library.

    ISBN: 978-0-470-74983-8

    Set in 9/11pt Times by Laserwords Private Limited, Chennai, IndiaPrinted and Bound in Markono Print Media Pte Ltd, Singapore

    www.wiley.com

  • Contents

    Preface to the First Edition ixPreface to the Second Edition xiiiAcknowledgements xv

    1 Introduction 11.1 Substrates 21.2 Thin Films 31.3 Processes 51.4 Dimensions 71.5 Devices 81.6 MOS Transistor 101.7 Cleanliness and Yield 101.8 Industries 111.9 Exercises 12

    References and Related Reading 132 Micrometrology and Materials Characterization 15

    2.1 Microscopy and Visualization 152.2 Lateral and Vertical Dimensions 172.3 Optical Techniques 182.4 Electrical Measurements 192.5 Physical and Chemical Analyses 212.6 Practical Issues with Micrometrology 252.7 Measurements Everywhere 262.8 Exercises 27

    References and Related Reading 273 Simulation of Microfabrication Processes 29

    3.1 Simulator Types 293.2 Levels of Simulation 293.3 The 1D Simulators 303.4 The 2D Simulators 313.5 The 3D Simulators 323.6 Other Simulation Needs in Microfabrication 333.7 Exercises 33

    References and Related Reading 344 Silicon 35

    4.1 Silicon Material Properties 354.2 Silicon Crystal Growth 364.3 Silicon Crystal Structure 394.4 Silicon Wafering Process 414.5 Defects and Non-Idealities in Silicon

    Crystals 444.6 Advanced Wafers 454.7 Exercises 45

    References and Related Reading 465 Thin-Film Materials and Processes 47

    5.1 Thin Films vs. Bulk Materials 475.2 Physical Vapor Deposition 485.3 Chemical Vapor Deposition 505.4 PECVD: Plasma-Enhanced CVD 525.5 ALD: Atomic Layer Deposition 535.6 Electrochemical Deposition (ECD) 54

    5.7 Other Methods 565.8 Thin Films Over Topography: Step

    Coverage 575.9 Stresses 58

    5.10 Metallic Thin Films 605.11 Polysilicon 615.12 Oxide and Nitride Thin Films 625.13 Polymer Films 645.14 Advanced Thin Films 655.15 Exercises 66

    References and Related Reading 66

    6 Epitaxy 696.1 Heteroepitaxy 696.2 Epitaxial Deposition 716.3 CVD Homoepitaxy of Silicon 726.4 Doping of Epilayers 736.5 Measurement of Epitaxial Deposition 746.6 Simulation of Epitaxy 746.7 Advanced Epitaxy 756.8 Exercises 76

    References and Related Reading 76

    7 Advanced Thin Films 777.1 General Features of Thin-Film Processes 777.2 Film Growth and Structure 777.3 Thin-Film Structure Characterization 807.4 Surfaces and Interfaces 827.5 Adhesion 847.6 Two-Layer Films 847.7 Alloys and Doped Films 857.8 Multilayer Films 867.9 Selective Deposition 86

    7.10 Reacted Films 877.11 Simulation of Deposition 897.12 Thickness Limits of Thin Films 907.13 Exercises 91

    References and Related Reading 92

    8 Pattern Generation 938.1 Pattern Generators 938.2 Electron Beam Lithography 958.3 Laser Pattern Generators 978.4 Photomask Fabrication 978.5 Photomask Inspection, Defects and Repair 988.6 Photomasks as Tools 998.7 Other Pattern Generation Methods 1008.8 Exercises 101

    References and Related Reading 101

    9 Optical Lithography 1039.1 Lithography Process Flow 1039.2 Resist Chemistry 1069.3 Resist Application 107

  • vi Introduction to Microfabrication

    9.4 Alignment and Overlay 1089.5 Exposure 1089.6 Resist Profile 1099.7 Resolution 1099.8 Process Latitude 1099.9 Basic Pattern Shapes 110

    9.10 Lithography Practice 1109.11 Photoresist Stripping 1119.12 Exercises 112

    References and Related Reading 11310 Advanced Lithography 115

    10.1 Projection Optical Systems 11510.2 Resolution of Projection Optical Systems 11710.3 Resists 11710.4 Thin-Film Optics in Resists 11810.5 Lithography Over Steps 12010.6 Optical Extensions of Optical Lithography 12110.7 Non-Optical Extension of Optical

    Lithography 12310.8 Lithography Simulation 12410.9 Lithography Triangles 124

    10.10 Exercises 125References and Related Reading 125

    11 Etching 12711.1 Etch Mechanisms 12711.2 Etching Profiles 12811.3 Anisotropic Wet Etching 13011.4 Wet Etching 13011.5 Plasma Etching (RIE) 13211.6 Isotropic Dry Etching 13411.7 Etch Masks 13411.8 Non-Masked Etching 13511.9 Multistep and Multilayer Etching 135

    11.10 Etch Processes for Common Materials 13611.11 Ion Beam Etching 13811.12 Etch Process Characteristics 13811.13 Selecting Etch Processes 13911.14 Exercises 140

    References and Related Reading 14112 Wafer Cleaning and Surface Preparation 143

    12.1 Classes of Contamination 14312.2 Chemical Wet Cleaning 14412.3 Physical Wet Cleaning 14512.4 Rinsing and Drying 14612.5 Dry Cleaning 14612.6 Particle Removal 14612.7 Organics Removal 14812.8 Metal Removal 14812.9 Contact Angle 149

    12.10 Surface Preparation 15012.11 Exercises 151

    References and Related Reading 15213 Thermal Oxidation 153

    13.1 Thermal Oxidation Process 15313.2 DealGrove Oxidation Model 15413.3 Oxidation of Polysilicon 15613.4 Oxide Structure 15613.5 Local Oxidation of Silicon 15713.6 Stress and Pattern Effects in Oxidation 15813.7 Simulation of Oxidation 16013.8 Thermal Oxides vs. other Oxides 16013.9 Exercises 161

    References and Related Reading 162

    14 Diffusion 16514.1 Diffusion Process 16514.2 Diffusion Mechanisms 16714.3 Doping of Polysilicon 16714.4 Doping Profiles in Diffusion 16814.5 Diffusion Applications 16914.6 Simulation of Diffusion 17014.7 Diffusion at Large 17114.8 Exercises 171

    References and Related Reading 17215 Ion Implantation 173

    15.1 The Implantation Process 17315.2 Implant Applications 17515.3 Implant Damage and Damage Annealing 17715.4 Tools for Ion Implantation 17715.5 Ion Implantation Simulation 17915.6 Implantation Further 17915.7 Exercises 179

    References and Related Reading 18016 CMP: ChemicalMechanical Polishing 181

    16.1 CMP Process and Tool 18216.2 Mechanics of CMP 18316.3 Chemistry of CMP 18416.4 Non-Idealities in CMP 18416.5 Monitoring CMP Processes 18516.6 Applications of CMP 18516.7 CMP as a Whole 18816.8 Exercises 188

    References and Related Reading 188

    17 Bonding 19117.1 Bonding Basics 19117.2 Fusion Bonding Blanket Silicon Wafers 19317.3 Anodic Bonding 19417.4 Metallic Bonding 19517.5 Adhesive Bonding 19617.6 Layer Transfer and Temporary Bonding 19617.7 Bonding of Structured Wafers 19817.8 Bond Quality Measurements 19917.9 Bonding for Packaging 200

    17.10 Bonding at Large 20117.11 Exercises 201

    References and Related Reading 201

    18 Polymer Microprocessing 20318.1 Polymer Materials 20318.2 Polymer Thermal Properties 20618.3 Thick-Resist Lithography 20718.4 Molding Techniques 20918.5 Hot Embossing 21218.6 Nanoimprint Lithography 21318.7 Masters for Replication 21518.8 Processing on Polymers 21618.9 Polymer Bonding 217

    18.10 Polymer Devices 22018.11 Polymer Overview 22218.12 Exercises 222

    References and Related Reading 222

    19 Glass Microprocessing 22519.1 Structure and Properties of Glasses 22519.2 Glass Substrates 22619.3 General Processing Issues with Glasses 22719.4 Glass Etching 22919.5 Glass Bonding 230

  • Contents vii

    19.6 Glass Devices 23219.7 Specialty Glasses 23319.8 Exercises 235

    References and Further Reading 235

    20 Anisotropic Wet Etching 23720.1 Basic Structures on Silicon 23720.2 Etchants 23720.3 Etch Masks and Protective Coatings 23920.4 Etch Rate and Etch Stop 24020.5 Front-Side Processed Structures 24120.6 Convex Corner Etching 24320.7 Membrane Fabrication 24520.8 Through-Wafer Structures 24720.9 Etching 249

    20.10 Silicon Etching 25020.11 Comparison of , and

    Etching 25120.12 Exercises 252

    References and Related Reading 25321 Deep Reactive Ion Etching 255

    21.1 RIE Process Capabilities 25521.2 RIE Process Physics and Chemistry 25821.3 Deep Etching 25921.4 Combining Anisotropic and Isotropic DRIE 26021.5 Microneedles and Nozzles 26121.6 Sidewall Quality 26421.7 Pattern Size and Pattern Density Effects 26521.8 Etch Residues and Damage 26721.9 DRIE vs. Wet Etching 268

    21.10 Exercises 269References and Related Reading 269

    22 Wafer Engineering 27122.1 Silicon Crystals 27122.2 Gettering 27222.3 Wafer Mechanical Specifications 27422.4 Epitaxial Wafers 27522.5 SOI Wafers 27522.6 Bonding Mechanics 27922.7 Advanced Wafers 28022.8 Variety of Wafers 28022.9 Exercises 281

    References and Further Reading 282

    23 Special Processes and Materials 28323.1 Substrates other than Silicon 28323.2 Pattern Generation 28423.3 Patterning 28723.4 Powder Blasting 28823.5 Deposition 28823.6 Porous Silicon 29123.7 Molding with Lost Mold 29423.8 Exercises 295

    References and Related Reading 296

    24 Serial Microprocessing 29924.1 Focused Ion Beam (FIB) Processing 29924.2 Focused Electron Beam (FEB) Processing 30124.3 Laser Direct Writing 30124.4 AFM Patterning 30524.5 Ink Jetting 30624.6 Mechanical Structuring 30724.7 Chemical and Chemomechanical Machining

    Scaled Down 30824.8 Conclusions 310

    24.9 Exercises 310References and Further Reading 311

    25 Process Integration 31325.1 The Two Sides of the Wafer 31425.2 Device Example 1: Solar Cell 31525.3 Device Example 2: Microfluidic Sieves 31625.4 Wafer Selection 31725.5 Masks and Lithography 31825.6 Design Rules 31825.7 Resistors 32025.8 Device Example 3: PCR Reactor 32225.9 Device Example 4: Integrated Passive Chip 323

    25.10 Contamination Budget 32425.11 Thermal Processes 32525.12 Metallization 32625.13 Passivation and Packaging 32725.14 Exercises 327

    References and Related Reading 328

    26 MOS Transistor Fabrication 32926.1 Polysilicon Gate CMOS 32926.2 Polysilicon Gate CMOS: 10 m to 1 m

    Generations 33026.3 MOS Transistor Scaling 33326.4 CMOS from 0.8 m to 65 nm 33526.5 Gate Module 33626.6 SOI MOSFETs 33926.7 Thin-Film Transistors 34026.8 Integrated Circuits 34326.9 Exercises 343

    References and Related Reading 344

    27 Bipolar Transistors 34727.1 Fabrication Process of SBC Bipolar

    Transistor 34727.2 Advanced Bipolar Structures 34927.3 Lateral Isolation 35127.4 BiCMOS Technology 35227.5 Cost of Integration 35427.6 Exercises 354

    References and Related Reading 355

    28 Multilevel Metallization 35728.1 Two-Level Metallization 35728.2 Planarized Multilevel Metallization 35928.3 Copper Metallization 36028.4 Dual Damascene MetallizXation 36128.5 Low-k Dielectrics 36228.6 Metallization Scaling 36428.7 Exercises 366

    References and Related Reading 366

    29 Surface Micromachining 36929.1 Single Structural Layer Devices 36929.2 Materials for Surface Micromachining 37129.3 Mechanics of Free-Standing Films 37229.4 Cantilever Structures 37329.5 Membranes and Bridges 37429.6 Stiction 37629.7 Multiple Layer Structures 37829.8 Rotating Structures 37929.9 Hinged Structures 381

    29.10 CMOS Wafers as Substrates 38329.11 Exercises 383

    References and Related Reading 384

  • viii Introduction to Microfabrication

    30 MEMS Process Integration 38730.1 Silicon Microbridges 38730.2 Double-Sided Processing 38930.3 Membrane Structures 39130.4 Piezoresistive Pressure Sensor 39230.5 Tilting and Bending Through-Wafer Etched

    Structures 39430.6 Needles and Tips, Channels and Nozzles 39630.7 Bonded Structures 39930.8 Surface Micromachining Combined with

    Bulk Micromachining 40030.9 MEMS Packaging 401

    30.10 Microsystems 40530.11 Exercises 405

    References and Related Reading 40631 Process Equipment 409

    31.1 Batch Processing vs. Single WaferProcessing 409

    31.2 Process Regimes: Temperature and Pressure 41031.3 Cluster Tools and Integrated Processing 41231.4 Measuring Fabrication Processes 41231.5 Equipment Figures of Merit 41531.6 Simulation of Process Equipment 41531.7 Tool Lifecycles 41631.8 Cost of Ownership 41631.9 Exercises 417

    References and Related Reading 41732 Equipment for Hot Processes 419

    32.1 High-Temperature Equipment: Hot Wallvs. Cold Wall 419

    32.2 Furnace Processes 41932.3 Rapid Thermal Processing/Rapid Thermal

    Annealing 42132.4 Furnaces vs. RTP Systems 42332.5 Exercises 423

    References and Related Reading 42433 Vacuum and Plasmas 425

    33.1 Vacuum Physics and Kinetic Theory ofGases 425

    33.2 Vacuum Production 42633.3 Plasma Etching 42733.4 Sputtering 42833.5 Residual Gas Incorporation into Deposited

    Film 43033.6 PECVD 43033.7 Residence Time 43133.8 Exercises 432

    References and Related Reading 43234 CVD and Epitaxy Equipment 433

    34.1 Deposition Rate 43334.2 CVD Rate Modeling 43434.3 CVD Reactors 43534.4 CVD with Liquid Sources 43634.5 Silicon CVD Epitaxy 43734.6 Epitaxial Reactors 43734.7 Control of CVD Reactions 43934.8 Exercises 439

    References and Related Reading 44035 Cleanrooms 441

    35.1 Cleanroom Construction 44135.2 Cleanroom Standards 44235.3 Cleanroom Subsystems 444

    35.4 Environment, Safety and Health (ESH) 44535.5 Cleanroom Operating Procedures 44635.6 Mini-Environments 44735.7 Exercises 447

    References and Related Reading 44736 Yield and Reliability 449

    36.1 Yield Definitions and Formulas 44936.2 Yield Models 45036.3 Yield Ramping 45036.4 Package Reliability 45236.5 Metallization Reliability 45236.6 Dielectric Defects and Quality 45336.7 Stress Migration 45536.8 Die Yield Loss 45536.9 Exercises 456

    References and Related Reading 45637 Economics of Microfabrication 457

    37.1 Silicon 45737.2 IC Costs and Prices 45837.3 IC Industry 45937.4 IC Wafer Fabs 46037.5 MEMS Industry 46237.6 Flat-Panel Display Industry 46337.7 Solar Cells 46437.8 Magnetic Data Storage 46637.9 Short Term and Long Term 467

    37.10 Exercises 467References and Related Reading 468

    38 Moores Law and Scaling Trends 46938.1 From Transistor to Integrated Circuit 46938.2 Historical Development of IC

    Manufacturing 47038.3 MOS Scaling 47138.4 Departure from Planar Bulk Technology 47338.5 Memories 47438.6 Lithography Future 47638.7 Moores Law 47838.8 Materials Challenges 48038.9 Statistics and Yield 480

    38.10 Limits of Scaling 48138.11 Exercises 482

    References and Related Reading 48239 Microfabrication at Large 485

    39.1 New Devices 48539.2 Proliferation of MEMS 48639.3 Microfluidics 48639.4 BioMEMS 48839.5 Bonding and 3D Integration 48939.6 ICMEMS Integration 49039.7 Microfabricated Devices for

    Microfabrication 49439.8 Exercises 495

    References and Related Reading 496

    Appendix A Properties of Silicon 499

    Appendix B Constants and ConversionFactors 501

    Appendix C Oxide and Nitride Thicknessby Color 503

    Index 505

  • Preface to the First Edition

    Microfabrication is generic: its applications includeintegrated circuits, MEMS, microfluidics, micro-optics,nanotechnology and countless others. Microfabricationis encountered in slightly different guises in all of theseapplications: electroplating is essential for deep sub-micron IC metallization and for LIGA-microstructures;deep-RIE is a key technology in trench DRAMs and inMEMS; imprint lithography is utilized in microfluidicswhere typical dimensions are 100 m, as well as innanotechnology, where feature sizes are down to 10 nm.This book is unique because it treats microfabrication inits own right, independent of applications, and thereforeit can be used in electrical engineering, materials science,physics and chemistry classes alike.

    Instead of looking at devices, I have chosen toconcentrate on microstructures on the wafer: linesand trenches, membranes and cantilevers, cavities andnozzles, diffusions and epilayers. Lines are sometimesisolated and sometimes in dense arrays, irrespective oflinewidths; membranes can be made by timed etching orby etch stop; source/drain diffusions can be aligned to thegate in a mask aligner or made in a self-aligned fashion;oxidation on a planar surface is easy, but the oxidation oftopographic features is tricky. The microstructure-viewof microfabrication is a solution against outdating:alignment must be considered for both 100 m fluidicchannels and 100 nm CMOS gates, etch undercuttingtarget may be 10 nm or 10 m, but it is there; dopantswill diffuse during high temperature anneals, but thejunction depth target may be tens of nanometres or tensof micrometres.

    A common feature of older textbooks is concentrationon physics and chemistry: plasma potentials, boundarylayers, diffusion mechanisms, Rayleigh resolution,thermodynamic stability and the like. This is certainlya guarantee against outdating in rapidly evolvingtechnologies, but microfabrication is an engineeringdiscipline, not physics and chemistry. CMOS scalingtrends have in fact been more reliable than basic physicsand chemistry in the past 40 years: optical lithographywas predicted to be unable to print submicron lines and

    gate oxides today are thinner than the ultimate limitsconceived in the 1970s. And it is pedagogically betterto show applications of CVD films before plunging intopressure dependence of deposition rate, and to discussmetal film functionalities before embracing sputteringyield models.

    In this book, another major emphasis is on materials.Materials are universal, and not outdated rapidly. Newmaterials are, of course, being introduced all the time, butthe basic materials properties like resistivity, dielectricconstant, coefficient of thermal expansion and Youngsmodulus must always be considered for low-k andhigh-k dielectrics, SnO2 sensor films, diamond coatingsand 100 m-thick photoresists alike. Silicon, silicondioxide, silicon nitride, aluminium, tungsten, copper andphotoresist will be met again in various applications:nitride is used not only in LOCOS isolation, but also inMEMS thermal isolation; aluminium not only serves as aconductor in ICs but also as a mirror in MOEMS; copperis used for IC metallization and also as a sacrificial layerunder nickel in metal MEMS; photoresist acts not onlyas a photoactive material but also as an adhesive in waferbonding.

    Devices are, of course, discussed but from thefabrication viewpoint, without thorough device physics.The unifying idea is to discuss the commonalities andgeneric features of the fabrication processes. Resistorsand capacitors serve to exemplify concepts like alignmentsequence and design rules, or interface stability. Afterbasic processes and concepts have been introduced,process integration examples show a wide spectrum offull process flows: for example, solar cell, piezoresistivepressure sensor, CMOS, AFM cantilever tip, microfluidicout-of-plane needle and super-self-aligned bipolartransistor. Small process-sequence examples include,similarly, a variety of structures: replacement gate, cavitysealing, self-aligned rotors and dual damascene-low-koptions are among the others.

    Older textbooks present microfabrication as a toolboxof MEMS or as the technology for CMOS manufactur-ing. Both approaches lead to unsatisfactory views on

  • x Preface to the First Edition

    microfabrication. Ten years ago, chemicalmechanicalpolishing was not detailed in textbooks, and five yearsago discussion on CMP was included in multilevelmetallization chapter. Today, CMP is a generic tech-nology that has applications in CMOS front-end deviceisolation and surface micromechanics, and is used tofabricate photonic crystals and superconducting devices.It therefore deserves a chapter of its own, independent ofactual or potential applications. Similarly, wafer cleaningused to be presented as a preparatory step for oxidation,but it is also essential for epitaxy, wafer bonding andCMP. Device-view, be it CMOS or some other, limitsprocesses and materials to a few known practices, andexcludes many important aspects that are fruitful in otherapplications.

    The aim of the book is for the student to feel comfort-able both in a megafab and in a student lab. This meansthat both research-oriented and manufacturing-driven as-pects of microfabrication must be covered. In order tokeep the amount of material manageable, many thingshave had to be left out: high density plasmas are men-tioned, but the emphasis is on plasma processing in gen-eral; KOH and TMAH etching are both described, butcommonalities rather than differences are shown; imprintlithography and hot embossing are discussed but polymerrheology is neglected; alternatives to optical lithographyare mentioned, but discussed only briefly. Emphasis is oncommon and conceptual principles, and not on the latesttechnologies, which hopefully extends the usable life ofthe book.

    Structure of the Book

    The structure of this book differs from the traditionalstructure in many ways. Instead of discussing individ-ual process steps at length first and putting full processestogether in the last chapter, applications are presentedthroughout the book. The chapters on equipment are sep-arated from the chapters on processes in order to keepthe basic concepts and current practical implementationsapart.

    The introduction covers materials, processes, devicesand industries. Measurements are presented next, andmore examples of measurement needs in microfabrica-tion are presented in almost every chapter. A generaldiscussion of simulation follows, and more specificsimulation cases are presented in the chapters that follow.

    Materials of microfabrication are presented next:silicon and thin films. Silicon crystal growth is shortlycovered but from the very beginning, the discussioncentres on wafers and structures on wafers: therefore,silicon wafering process, and resulting wafer properties

    are emphasized. Epitaxy, CVD, PVD, spin coating andelectroplating are discussed, with resulting materialsproperties and microstructures on the centre stage, ratherthan equipment themselves. Lithography and etchingthen follow. This order of presentation enables morerealistic examples to be discussed early on.

    The basic steps in silicon technology, such as oxida-tion, diffusion and ion implantation are discussed next,followed by CMP and bonding. Moulding and stamp-ing techniques have also been included. In contrast toolder books, and to books with CMOS device emphasis,this book is strong in back-end steps, thin films, etching,planarization and novel materials. This reflects the grow-ing importance of multilevel metallization in ICs as wellas the generic nature of etch and deposition processes,and their wide applicability in almost all microfabrica-tion fields. Packaging is not dealt with, again in line withwafer-level view of microfabrication. This also excludesstereomicrolithography and many miniaturized traditionaltechniques like microelectrodischarge machining.

    Microfabrication is an engineering discipline, and vol-ume manufacturing of microdevices must be discussed.Discussions on process equipment have often beenbogged by the sheer number of different designs: shouldthe students be shown both 13.56 MHz diode etcher,triode, microwave, ECR, ICP and helicon plasmas, andshould APCVD, LPCVD, SA-CVD, UHV-CVD andPECVD reactors all be presented? In this book, theprocess equipment discussion is again tied to structuresthat result on wafers, rather than in the equipment perse: base vacuum interaction with thin-film purity isdiscussed; the role of RTP temperature uniformity onwafer stresses is considered; and surface reaction versustransport controlled growth in different CVD reactors isanalysed. Cleanroom technology, wafer fab operations,yield and cost are also covered. Moores law and othertrends expose students to some current and future issuesin microfabrication processes, materials and applications.

    In many cases, treatment has been divided into twochapters: for example, Chapter 5 treats thin film basics,and Chapter 7 deals with more advanced topics. Lithogra-phy and etching have been divided similarly. This enablesshort or long course versions to be designed around thebook. The figures from the book are available to teach-ers via the Internet. Please register at Wiley for accesswww.wileyeurope.com/go/microfabrication.

    Advice to Students

    This book is an introductory text. Basic university physicsand chemistry suffices for background. Materials scienceand electronics courses will of course make many aspects

  • Preface to the First Edition xi

    easier to understand, but the structure of the book doesnot necessitate them. The book contains 250 homeworkproblems, and in line with the idea of microfabrication asan independent discipline, they are about fabrication pro-cesses and microstructures; not about devices. Problemsfall mainly in three categories: process design/analysis,simulations and back-of-the-envelope calculations. Theproblems that are designed to be solved with a simulatorare marked by S. A simple one-dimensional simulatorwill do. The ordinary problems are designed to de-velop a feeling for orders of magnitude in the microworld:linewidths, resistances, film thicknesses, deposition rates,stresses etc. It is often enough to understand if a processcan be done in seconds, minutes or hours; or whetherresistance range is milliohms, ohms or kiloohms. Youmust learn to make simplifying assumptions, and to livewith uncertain data. Searching the Internet for answersis no substitute to simple calculations that can be donein minutes because the simple estimates are often as ac-curate (or inaccurate) as answers culled from Internet.It should be borne in mind that even constants are of-ten not well known: for instance, recent measurements

    of silicon melting point have resulted in values 1408 Cby one group, 1410 C by one, 1412 C by seven groups,1413 C by eight groups and 1416 C by three groups,and if older works are encountered, values range from1396 C to 1444 C. With thin film materials propertiesare very much deposition process dependent, and differ-ent workers have measured widely different values forsuch basic properties as resistivity or thermal conductiv-ity. Even larger differences will pop up, if, for instance,the phase of metal film changes from body-centered cu-bic to -phase: temperature coefficient of resistivity canthen be off by a factor of ten. Polymeric materials, too,exhibit large variation in properties and processing. Thereare also calculations of economic aspects of microfabri-cation: wafer cost, chip size and yield. A bit of memorycosts next to nothing, but the fabs (fab is short for fabri-cation facility) that churn out these chip are enormouslyexpensive.

    Comments and hints to selected homework problemsare given in Appendix A. In Appendix B you can finduseful physical constants, silicon material properties andunit conversion factors.

  • Preface to the Second Edition

    If you search on microfabrication in Google Scholar,there will be over 100 000 hits; if you type in MEMSin Science Direct, you will get in excess of 300 000 hits;transistor in IEEE Xplore produces 60 000 articles; andthin film in Scirus, over a million articles. There isobviously no problem finding the scientific literature.

    This book is a primer that prepares you to access theprimary literature. It is a textbook, not a reference workor an encyclopedia, and even less a review article. Thismeans that the topics and examples are chosen on ped-agogic grounds, so many remarkable seminal works andrecent breakthroughs are not addressed. It also means thatmost fabrication processes are not shown in full detail,rather fundamental ideas are described, and nuances andspecial features are left out, in order to highlight the mainideas. The articles and books in the References and Fur-ther Reading sections have been selected to be accessibleto students, and serve as supplementary reading for as-signments and exercises.

    This is a fabrication text, and device physics is dis-cussed only briefly. It would be impossible to includedevice physics because microfabrication can be appliedto hundreds of different microdevices. In this book theexamples are drawn mostly from CMOS, MEMS, mi-crofluidics and solar cells, but there are examples fromhard disk drives, flat panel displays, optics and opto-electronics, DNA chips, bipolar and power semiconductordevices, and nanotechnology.

    What is New in this Second Edition?

    There is about 25% more material, and the text and figureshave been revised throughout. The basic structure of thebook remains unchanged, and the order of chapters ismostly as in the first edition.

    Silicon wafer basics are discussed in Chapter 4. Moreadvanced wafers, and wafer behavior during processing,are discussed elsewhere, in Chapters 6 (epitaxy), 17(bonding) and 22 (wafer engineering). Chapters 5 and

    7 on thin films have been reorganized into basic andadvanced chapters, with step coverage and stresses nowincluded in the basic chapter.

    Pattern generation (Chapter 8) now includes additionalmaterial on electron beam lithography. Chapter 9 intro-duces photoresists and optical lithography, but it is lim-ited to 1 contact/proximity lithography only. Chapter10 deals with advanced IC fabrication lithography withreduction steppers and scanners.

    Chapter 12, on wafer cleaning and surface preparation,has been expanded to include polymer surface treatmentsand aspects of fluid behavior on surfaces that are impor-tant in fluidics.

    Chapter 17 on bonding has been completely rewritten.It now covers fusion, anodic, metallic and adhesive bond-ing, including process physics and chemistry as well astechnical implementations. Much of the more specializedmaterial on silicon fusion bonding and SOI wafers hasbeen moved to Chapter 22 on wafer engineering.

    Chapter 18 has been completely rewritten and is nowcalled Polymer Microprocessing. In addition to the oldmaterial on embossing, imprinting and replica molding, itnow contains more on polymer materials properties, thick-resist lithography, polymer bonding and a great numberof device examples, especially in fluidics.

    Chapter 19, on glass microprocessing, is new, butit incorporates some elements of a dismantled chapterProcessing on Non-silicon Substrates. The new chapter,however, concentrates on processing glass itself, to makemicrodevices of glass, in addition to fabricating deviceson glass.

    Chapter 23, on special processes, is a collection of tech-niques which are not in the mainstream of microfabrica-tion: namely, niche techniques, non-standard approaches,special materials, and the like. For instance, porous sili-con by electrochemical etching has been moved from theetching chapter to this chapter, in order to streamline thebasic etching chapter.

    Another new chapter, Chapter 24, deals with serialmicroprocessing. This includes many direct writing and