ir-drop in on-chip power distribution networks

Upload: sethu-george

Post on 03-Apr-2018

213 views

Category:

Documents


0 download

TRANSCRIPT

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    1/11

    512 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 3, MARCH 2013

    IR-Drop in On-Chip Power Distribution Networksof ICs With Nonuniform Power Consumption

    Josep Rius, Member, IEEE

    AbstractA compact IR-drop model for on-chip powerdistribution networks in array and wire-bonded ICs is analyzed.Chip dimensions, size, and location of the supply pads, metalcoverage, piecewise distribution of IC consumption, and theresistance between the pads and the power supply are consideredto obtain closed-form expressions for the IR-drop. The IR-dropmodel is validated by comparing its results with electricalsimulations. The obtained error is in the range of 1%.

    Index Terms IC modeling, IR-drop, power distributionnetworks (PDNs), power supply noise (PSN).

    I. INTRODUCTION

    TO ENSURE a good supply voltage throughout the IC, andfor the high-consumption and high-density ICs availablein current technologies, the on-chip power distribution network

    (PDN) is usually organized as a grid of wide parallel wiresin the two or more upper metal layers covering the IC

    surface. Connection to the package is currently made by two

    approaches: the so-called peripheral bonding, in which the

    supply pads are distributed along the sides of the IC, and

    array bonding, where the supply pads are distributed in anarray over the whole IC surface, in a flip-chip package.

    The PDN behaves as a conductive mesh with resistive,

    inductive, and capacitive properties. As a consequence, the

    electric current spikes produced during the circuit activity aretransformed into voltage bounces at the supply terminals of the

    internal circuits. This power supply noise (PSN) has several

    undesirable effects on the performance and reliability of ICs

    [1]. A good PDN design is therefore necessary to reduce thePSN below a specified value. The PSN can be roughly divided

    into static and dynamic. Static PSN, or IR-drop, is the voltage

    drop caused by the DC supply current in the PDN resistances,

    whereas dynamic PSN is due to transients exciting the PDN

    inductances and capacitances. The analysis of the IR-drop is

    important [2], [3], [1] because it allows addressing the most

    important issues in PDN design, that is, width and pitch of

    the PDN wires [4][8], [9] and size, number, and location of

    pads [10], [11], [4], [5], [12][13]. When a dynamic analysisof the PSN is required, there are additional important issues

    to solve, such as the impact of on-chip PDN inductance [14],[15] and the amount and distribution of on-chip decoupling

    capacitance [15], [1].

    Manuscript received June 6, 2011; revised November 9, 2011; acceptedFebruary 16, 2012. Date of publication March 20, 2012; date of current versionFebruary 20, 2013.

    The author is with the Department of Electronic Engineering,Universitat Politecnica de Catalunya, Barcelona 08028, Spain (e-mail:[email protected]).

    Digital Object Identifier 10.1109/TVLSI.2012.2188918

    The design of a good, reliable on-chip PDN of a digital IC

    is a very complex task because designers cannot anticipate all

    the details of the design. The PSN depends on the location,

    size, and activity of the circuit blocks. Therefore, in order to

    check that the PSN is below the specified value, it is necessary

    to simulate the complete circuit, which is clearly unfeasible

    for large ICs. The help of specific CAD tools alleviates thisproblem. However, due to the simulation time, CAD tools are

    primarily intended for use in postlayout verification, after the

    design is complete. A failure in the design involves a costly

    rework of the PDN. This leads to overdimensioning, resulting

    in the sacrifice of valuable routing resources. For this reason,the use of prelayout tools in the early stages of the PDN

    design, which give approximate results for the PSN expected,

    becomes a necessity [16], [9], [17].This paper is exclusively centered on IR-drop. It addresses

    the estimation of the PDN performance in the early steps of an

    IC design by an analytical approach. As mentioned, this prob-lem can also be tackled with numerical tools. However, the

    analytical approach has the advantage that, in addition to pro-viding a numerical solution, it shows the relationships between

    the significant parameters, improving the understanding of the

    problem. Thus, there is room for an analytical tool that, in an

    interactive fashion, rapidly provides approximate results for

    the expected IR-drop of a PDN. This tool shows the depen-dency of IR-drop on the number and size of pads and consum-ing blocks, IC dimensions, current density and sheet resistance,

    thus allowing rapid optimization of these parameters.In their seminal paper [16], Shakeri and Meindl demonstrate

    that the PDN can be approximated as a continuous layer of

    conductive material and that IR-drop can be calculated by

    solving a partial differential equation, that is, Poisson equation,

    with the proper boundary conditions and source function. This

    paper takes as the starting point the framework and definitionof the problem as presented in [16] without repeating the

    derivation of the Poisson equation and related concepts, whichare extensively discussed in [16]. The organization of this

    paper is as follows. Section II presents the problem to solve.

    In Section III, expressions to obtain the IR-drop at any pointof an infinite array-bonded PDN are derived for any number

    and location of pads and any number of rectangular consuming

    blocks. The results are used in Section IV to find the solution

    of the same problem in finite PDNs. In Section V, we compare

    our formulas with electrical simulations of several PDNs.

    Section VI discusses some features of the proposed approach

    and finally, Section VII summarizes the conclusions of this

    paper.

    10638210/$31.00 2012 IEEE

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    2/11

    RIUS: IR-DROP IN ON-CHIP POWER DISTRIBUTION NETWORKS OF ICs 513

    Fig. 1. IC with six consuming blocks and an array of power/ground pads.

    I I . STATEMENT OF THE PROBLEM

    According to the approach of [16], the IR-drop in a PDNfollows the Poisson equation:

    2 V = RSJ (1)

    where V is the IR-drop (V), RS is the sheet resistance of thePDN (), and J is the current density function (A/m2). The

    sheet resistance RS is assumed to be constant in the whole

    IC. In the array-bonding configuration, the supply current

    drawn by the consuming blocks is supplied by an array of

    power/ground pads distributed over the IC surface. Fig. 1

    illustrates an IC with six blocks and an array of power/groundpads (small black and white squares).

    In the array-bonding configuration, the normal derivative of

    the voltage, V/n (where symbol n in a rectangular IC meanseither x or y), at the four sides of the PDN is zero; that is, the

    current drawn by the blocks flows from the power to ground

    pads through the PDN.

    The solution of (1) for the simple case of constant J in an

    infinite IC with an infinite PDN and an infinite regular array

    of pads is shown in [16]. A solution for the IR-drop at any

    point is given in the form of several double and triple infinite

    series in [16]. After some approximations and numerical work,

    the authors show that the maximum IR-drop (which is at the

    center of a square with four supply pads at its vertices) isgiven by

    VIR max =RSIPAD

    2 ln 0.387a

    DPAD

    (2)

    where a is the distance between adjacent pads, is a correc-tion factor related to the pad shape, and DPAD is the side

    length of a square pad. The coefficient 0.387 is obtainedafter a numerical calculation of the double and triple infinite

    series and assuming several approximations. Equation (2)

    puts together the relevant variables in PDN design: the sheetresistance of the power grid, RS, which is related to the metal

    coverage of such grid; the current per pad, IPAD; the pad

    density, a, which is related to the distance between the pads;

    and the pad size, DPAD.

    a

    b

    PAD

    observation

    point P

    rpp

    rpxy

    dxdy

    A

    ap

    Rpad

    V0

    a

    b

    PAD

    observation

    point P

    rpp

    rpxy

    dxdy

    A

    ap

    Rpad

    V0

    Fig. 2. Parameters involved in the analysis of the IR-drop at the observationpoint P in an infinite resistive plane with one pad and one consuming block.

    In Sections III and IV, we obtain approximate expressionsfor the IR-drop under more realistic conditions, that is, the

    current density J is not constant in the whole IC and/orthe PDN is of finite dimensions. Instead of solving (1)

    directly, we use several results from potential theory and

    conformal mapping techniques to find the IR-drop in these

    cases.

    At this point, it is appropriate to say that if the sheet

    resistance RS of the PDN is nonisotropic, that is, if the sheet

    resistance in the x-direction, RS X, and in the y-direction, RSY,

    is different, a change in the independent variables x and y

    makes the sheet resistance isotropic at the small price of a

    change in the PDN dimensions [16]. Hence, our analysis only

    considers the isotropic case, with RS constant.Moreover, our analysis is intended for circular pads but, as

    shown in [16] and [18], it can be extended to square pads by

    using the concept of a circular pad of equivalent radius havingthe same resistance to the PDN as the square pad.

    III. IR-DROP IN AN INFINITE PDN

    Let us now attack the following simpler problem: we

    consider an infinite PDN as a continuous conductive surfacewith constant sheet resistance RS. A single block A of

    dimensions a b m2 and a constant current density J A/m2

    is connected to the PDN at an arbitrary place. At another

    arbitrary point, there is a circular pad of radius aP that

    supplies the current IPAD = abJ required by A. A resistance

    Rpad connects the pad to the power supply, which is assumed

    to be at a constant voltage V0 = 0. Fig. 2 illustrates thegeometry of the problem. The IR-drop between the pad

    (whose voltage is Vpad = J ab Rpad) and the potential VP at

    any observation point P over the PDN is found as follows.

    We denote the distance between the center of the pad andthe observation point P as rP p, and the distance between

    the differential area dxdy inside A and point P as rP x y . The

    potential at P is [19]

    VP =J RS

    2

    a0

    b0

    ln

    rP x y

    d x d y J ab RS

    2ln

    rP p

    . (3)

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    3/11

    514 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 3, MARCH 2013

    a

    b

    PAD1

    observation

    point P

    rP1

    rpxy

    dxdy

    A

    PAD2

    PAD3

    rP2

    rP3PADN

    rPN

    ap2

    apN

    ap1ap3

    Rpad

    V0 Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    a

    b

    PAD1

    observation

    point P

    rP1

    rpxy

    dxdy

    A

    PAD2

    PAD3

    rP2

    rP3PADN

    rPN

    ap2

    apN

    ap1ap3

    Rpad

    V0

    Rpad

    V0 Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    Fig. 3. Parameters involved in the analysis of the IR-drop at the observationpoint P in an infinite resistive plane with multiple pads and one consumingblock.

    Integrals like the one in (3) are well known in engineeringelectromagnetics. Their explicit solution can be found else-

    where [20]. They define the so-called geometric mean distance

    (GMD) between a point P and the rectangular block A, asshown in the following equation:

    a0

    b0

    ln

    rpx y

    d x d y = ab ln (GMDP ) . (4)

    Now, (3) can be written as

    VP =J RSab

    2ln

    GMDP

    rP p. (5)

    If point P is at a distance aP from the center of the pad,

    that is, at any point of its circumference, then the following

    equalities hold:

    rP p = aP , VP = Vpad, GMDP = GMDpad (6)

    where GMDpad is the GMD from the center of the pad to

    A, which is assumed to be the same as the distance from the

    circumference of the pad to A provided that the pad radius a Pis small with respect to the block dimensions.

    Now the complete IR-drop, VP , between the power supply

    and point P becomes

    VP =J RSab

    2ln

    GMDpad

    GMDP

    rP p

    a P

    + J a b Rpad. (7)

    Let us now generalize this result for N pads.

    A. Multiple PadsImagine the same block A and N circular pads, PAD1,

    PAD2, , PADN, of radius aP1, aP2, , aP N, and equal

    resistances Rpad, distributed on an infinite PDN. It is assumed

    that the pads are widely separated, that is, the distances

    between them are much greater than their radius, ri j >> (a Pi ,

    a P j ). Fig. 3 shows the involved geometry.

    Each pad supplies a fraction of the total current drawnby A. Thus

    IPADi = i J ab,

    Ni=1

    i = 1. (8)

    Now we can write

    VP =J ab RS

    2ln (GMDP )

    1J ab RS

    2ln (rP1)

    2J ab RS

    2ln (rP2)

    N J a b RS

    2ln (rP N) .

    (9)

    That is

    VP =J ab RS

    2ln

    GMDPN

    i=1

    riPi

    (10)

    where GMDP is the GMD between point P and block A, and

    rPi is the distance between point P and pad i , which supplies

    the fraction i of the total current.

    By applying the above principle, we can find the IR-drop

    between point P and the pad voltage. To do so, we place

    point P at a distance a Pi from the center of pad i , that is, atits circumference. Thus, the following equalities hold:

    VP = Vpadi = i J a b Rpad

    GMDP = GMDi

    rP1 = ri1, rP2 = ri2, . . . ,

    rPi = aPi , . . . , rP N = ri N. (11)

    By grouping together all the terms in i , we obtain the

    following set of N equations, one for each value of i , with N

    unknowns (the values of )

    ln GMDi

    Nj =i

    j ln ri j i

    ln a Pi 2

    Rpad

    RS

    = 0,

    i = 1, 2, . . . , N. (12)

    Such N equations are not linearly independent because

    of (8). However, we can subtract each equation in (12) from

    its predecessor and build N 1 equations. These, together

    with (8) form a system of N linearly independent equations

    with N unknowns, as shown in (13)

    lnGMDi

    GMDi+1= i

    ln

    a Pi

    ri+1,i 2

    Rpad

    RS

    +i+1

    ln

    ri,i+1

    aP,i+1+ 2

    Rpad

    RS

    +

    Nj = i

    j = i + 1

    j lnri j

    ri+1,j

    Ni=1

    i = 1. (13)

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    4/11

    RIUS: IR-DROP IN ON-CHIP POWER DISTRIBUTION NETWORKS OF ICs 515

    This system can be written in matrix form as

    lnaP1r21

    2Rpad

    RSln

    r12aP2

    +2Rpad

    RS ln

    r1,N1r2,N1

    lnr1,Nr2,N

    lnr21r31

    lnaP2r32

    2Rpad

    RS ln

    r2,N1r3,N1

    lnr2,Nr3,N

    lnrN1,1

    rN1ln

    rN1,2rN2

    lnaP,N1rN,N1

    2Rpad

    RSln

    rN1,NaPN

    +2Rpad

    RS1 1 1 1

    1

    2...N

    = ln

    GMD2GMD1

    lnGMD

    3GMD2

    lnGMDN

    GMDN11

    and in compact form as

    M = B (14)

    where M is an N N matrix, and and B are column

    vectors of N elements. Now, vector can be easily calculated

    with (15) = M1B (15)

    and the N elements of are the coefficients we are looking

    for. As a simple example, if N = 2, the explicit result is

    1 =1

    2+

    1

    2

    ln GMD2GMD1

    ln r12aP

    + 2RpadRS

    2 =1

    2

    1

    2

    ln GMD2GMD1

    ln r12aP

    + 2RpadRS

    . (16)

    B. Completing the Solution

    The total IR-drop, VP , between the power supply and

    point P can be calculated as the sum of the voltage drop

    at the Rpad of a reference pad plus the IR-drop from this pad

    to point P. As any pad can be selected as the reference, wechoose pad 1. Thus, the formula for VP becomes

    VP =J ab RS

    2ln

    GMD1

    GMDP

    Nj =1

    rjP j

    a1P1

    Nj =1

    rj1j

    +1J ab Rpad (17)

    which reduces to (7) if N = 1.

    As can be seen, the problem of finding the IR-drop at any

    point of an infinite PDN having one consuming block and N

    pads is solved if the fraction of the current supplied by each

    pad (coefficients ) is known.

    Because of the linearity of the problem, it is easy to

    generalize (17) for M blocks by applying superposition. Thus,

    the previous procedure is repeated M times, one for each

    block, to calculate vectors 1, 2, , M. Then, the total

    IR-drop at any point is found by summing the contribution of

    each block: VP(total) =M

    j =1 VP j .

    C. Flexibility and Generality of (17)

    Under the above assumptions, (17) gives the IR-drop at any

    point of a PDN with a sheet resistance RS, a number N of

    circular pads of radius a P and resistance to power supply Rpad,

    and one block of dimensions a b with a current density J.

    XX

    a

    a

    2a

    aP

    Fig. 4. Calculation of the IR-drop at the center of a square with four padsand one square consuming block and an infinite resistive plane.

    Note that under the assumption of infinite dimension for the

    PDN, (17) is fully flexible, which allows deciding on the

    size and location of the consuming block, and the number,radius, and location of pads. As will be shown in Section V,

    the IR-drop VP as calculated from (17) provides a very

    good approximation of the real IR-drop of finite PDNs if theconsuming block is not very close to the external borders of

    the pad array, that is, the IC sides.

    Equation (17) can also be used to calculate the maximum

    IR-drop under the same conditions as those analyzed by Shak-

    eri and Meindl in [16]. In this paper, the maximum IR-drop

    (which is placed at the center of the square formed by four

    pads) is given by (2), where the numerical coefficient is known

    after a long calculation of several double and triple Fourierseries and assuming several approximations. The interested

    reader may read [16] for details. As will be shown here, (2)can be derived from (17), when the latter is applied to this

    particular case.

    Let us consider the square consuming block in Fig. 4, which

    is embedded in an infinite PDN with a sheet resistance RS.

    In this example, Rpad = 0. The side length of the block is

    2a, which is twice the distance between adjacent pads. Ithas four circular pads with the same radius aP symmetrically

    distributed in the block. Note that this geometry reproducesthe scenario studied by Shakeri and Meindl, except that in

    this case the consuming block is finite. Let us now use (17)

    to calculate VP at its center, that is, the point marked with

    X in Fig. 4.

    In these conditions, (17) becomes

    VX =

    4J a2RS

    2 ln

    GMD1 r1

    X1r2

    X2r3

    X3r4

    X4

    GMDX a1

    P r212 r

    313 r

    414

    =J a2RS

    2ln

    GMD1 r

    1X1r

    2X2r

    3X3r

    4X4

    GMDX a1P r

    212 r

    313 r

    414

    4. (18)

    Due to the particular symmetry of the figure, (18) becomes

    VX =J a2RS

    2ln

    GMD1 2 12 a 14

    GMDX 2 218 a

    14P

    4

    =IPADRS

    2ln

    0.3797a

    a P(19)

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    5/11

    516 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 3, MARCH 2013

    4 pads

    16 pads

    36 pads

    64 pads

    X

    4 pads

    16 pads

    36 pads

    64 pads

    X

    Fig. 5. Shakeri and Meindls problem [16]: calculation of the IR-drop at the

    center of a square. The number of pads and the area of the square consumingblock tend to infinity.

    where GMD1 and GMDX are calculated as functions ofa fromthe solution of (4), according to [21]. This result is very close

    to Shakeri and Meindls formula (2). Now, to reproduce thecase in [16], we increase the size of the block and the number

    of pads, as shown in Fig. 5.

    In this way, we obtain an asymptotic equation for VX by

    generalizing (18)

    VX =IPADRS

    2ln

    coef a

    aP

    . (20)

    We check the coefficient of (20) for different numbers N

    of symmetrically distributed pads. The results are shown in

    Table I.

    As can be seen, when N increases, the numerical coefficient

    coef tends to a definite value which is very close to that

    reported by Shakeri and Meindl in [16].It is worth pointing out that the method to obtain the

    numerical coefficient of (2) presented in our paper is much

    simpler than that in [16] and gives practically the same results

    under the same conditions. In addition, it is much more flexible

    and can be applied to a variety of cases because it does not

    impose any restriction on the number, size, or symmetry of

    the distribution of the consuming blocks and pads.

    IV. IR-DROP IN A FINITE PDN

    In Section III, we made a strong assumption of a PDN of

    infinite extension. Here, we remove this assumption becauseit gives erroneous results in the estimation of the IR-drop

    when the consuming blocks are close to the IC sides. In

    fact, on-chip PDNs are on top of dies of finite dimensions,L units wide and H units high. Let us now extend the results

    of Section III to obtain the IR-drop for such PDNs. This

    extension is based on the conformal transformation of the

    interior of a rectangle in a complex plane Z into the upper

    TABLE I

    COEFFICIENT coefOF (20) AS A FUNCTION OF NUMBER OF PAD IN FIG . 5

    N Calculated coef

    4 0.3797

    16 0.3810

    36 0.3813

    64 0.3814

    100 0.3814

    half of another complex plane W. Conformal transformation

    is a mathematical technique that uses the functions of com-

    plex variables to map complicated boundaries into simpler,

    more readily analyzed configurations [21]. After the problem

    is solved in the transformed configuration, inverting these

    functions allows coming back to the original geometry. This

    technique is restricted to 2-D fields satisfying Laplace or

    Poisson equation, as in our case, and has been successfully

    applied to many engineering problems. A good summary ofthe technique and its applications can be read, for instance, in

    the first chapter of [21].

    It is well known [21] that the Jacobi elliptic function w =sn(z,k) maps the interior of a rectangle with vertices K, K,

    K + j K, K + j K in the complex plane Z into the upper

    half of the complex plane W. Here, j = sqrt(1) and K and

    K are complete elliptic integrals of the first and second kind

    related to the dimensions of the rectangle; the modulus k ofthe elliptic functions can be calculated as follows [22]:

    k =

    2

    3

    2(21)

    where 2 and 3 are elliptic theta functions of the second and

    third kind with zero argument. These functions are calculated

    as follows [22]:

    2 =

    n=0

    2q

    n+ 12

    2

    3 = 1 +

    n=0

    2q n2

    q = eL

    H . (22)

    With this transformation, the side L/2, L/2 of the rectangle

    in plane Z becomes the segment 1, 1 of the real axis ofplane W. The side L/2, L/2+jH of the rectangle becomes the

    segment 1, 1/k of the real axis of plane W, whereas the side

    L/2, L/2+jH becomes the segment 1, 1/k, and the side

    L/2+jH, L/2+jH becomes the rest of the real axis of planeW [21]. A sketch of the transformation showing the lines of

    constants x and y is shown in Fig. 6(a) and (b).Fig. 6(a) shows a square PDN with L = 1 and H = 1.

    This PDN has nine identical pads identified by black circles.The top and bottom sides of the square are drawn in black

    and the left and right sides in gray. This square is mapped in

    plane Z with its origin at the center of the bottom side. Thetransformation w = sn(z, k) maps points z = x + j y of the

    interior of this square into points w = u + j vof the upper half

    of W, as drawn in Fig. 6(b). Thus, the origin of plane W is

    also the origin of plane Z, and point jK in Z is transformed

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    6/11

    RIUS: IR-DROP IN ON-CHIP POWER DISTRIBUTION NETWORKS OF ICs 517

    -0.6 -0.4 -0.2 0 0.2 0.4 0.60

    0.1

    0.2

    0.3

    0.4

    0.5

    0.6

    0.7

    0.8

    0.9

    1

    x

    jy

    -0.6 -0.4 -0.2 0 0.2 0.4 0.60

    0.1

    0.2

    0.3

    0.4

    0.5

    0.6

    0.7

    0.8

    0.9

    1

    x

    jy

    (a)

    -8 -6 -4 -2 0 2 4 6 80

    2

    4

    6

    8

    10

    12

    14

    16

    jv

    u

    -8 -6 -4 -2 0 2 4 6 80

    2

    4

    6

    8

    10

    12

    14

    16

    jv

    u

    (b)

    Fig. 6. (a) Square PDN with L = 1, H = 1, nine pads (circles), and a rectangular block (thick line), represented in plane Z. (b) Same PDN, pads, andblock, represented in plane W. Dashed lines are the lines of constants x and y in plane Z (and constants u and vin plane W).

    into the infinity point in W. The size of pads is also modified,being greater in W when they are far from the real axis and

    smaller when they are close to the real axis. Notice that thepoints of the real axis, v= 0 in W, are the transformed points

    of the four sides of the rectangle in plane Z.

    The current at the four sides of the PDN (the four sides of

    the rectangle in Z) is zero. Therefore, the real axis of plane W

    must have the same property, that is, the Neumann boundary

    condition V/n = 0 must be satisfied in the real axis of W.

    To force this condition, we need to add to W the image of the

    upper half-plane [that is, the conjugate of plane W, conj(W)]

    including the pads of the original W domain at their conjugate

    coordinates.

    After this step, we build the infinite domain W = WUconj(W). By including the current sources in W and conj(W),

    we can calculate the IR-drop in this infinite domain using themethods in Section III. However, caution must be taken when

    including the current sources (rectangular blocks). Equation

    (4) for GMD, as derived in [20], is valid only for rectangular

    blocks. Therefore, this solution cannot be used directly in

    W because a rectangular block in Z transforms into a

    nonrectangular figure in W. Similarly, if pads are circlesin Z, in W they take a different shape.

    To overcome these restrictions, we use two results fromthe theory of conformal mapping [19], [21]. The first one is

    that the regions about the corresponding points z and w areinfinitesimally similar. This means further that angles between

    the intersecting lines in plane Z are preserved between the

    corresponding lines in plane W [19]. That is, if the circles

    or squares in Z are sufficiently small, their transformed

    images in W are also circles and squares. The second one

    is the invariance of the Poisson equation under a conformal

    transformation, in other words, a differential area dx dy at

    a point z Z transforms into a differential area du dv at a

    point w W with a change of scale equal to |f(z)|2 and arotation of angle equal to the argument of f(z), with f(z)

    being the derivative of the transformation f at point z. In our

    case, f(z) = sn (z) = cn(z) dn(z), where cn(z) and dn(z)are also Jacobi elliptic functions.

    With these results, the application of the methods inSection III to W, including pads and blocks, becomes

    possible if the radius of pads are small with respect to L and

    H and if the blocks are small. If the blocks are large, they must

    be divided into small square sub-blocks, and each transformed

    sub-block in W must be considered as a scaled and rotated

    square, which is the image of the original sub-block in Z.

    Bearing the above in mind, the procedure to find the IR-drop

    VP at any point of a finite PDN is as follows.1) Map the PDN in plane Z into the half-space W by the

    transformation w = sn(z). This mapping must includethe pads with scaled radius.

    2) If necessary, divide the consuming blocks in Z into small

    sub-blocks, and map them into W, scaling and rotating

    them as required.

    3) Add to W the conjugate half-plane conj(W) including

    the transformed pads and blocks (or sub-blocks) in

    conjugate positions. We now have the infinite domain

    W = WU conj(W).4) Obtain the IR-drop VP at any point PW W

    by the method described in Section III for an infinite

    PDN considering all pads and all blocks (including the

    conjugate ones).

    5) Finally, come back to plane Z by using the inversefunction z = sn1(w,k) and find the potential at point

    PZ Z. The inversion requires calculating an incom-

    plete elliptic integral of the first kind, which is a standard

    built-in function in any computer algebra system.

    V. VALIDATION OF THE RESULTS

    The above method was validated by comparing the calcu-

    lated IR-drop with electrical simulations of PDNs of array-bonded ICs with a range of values of their parameters.

    The error metric is defined as the normalized difference

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    7/11

    518 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 3, MARCH 2013

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    Fig. 7. IC with an array of 16 pads and a block within the array.

    Fig. 8. IC with an array of four pads and a block at the IC side.

    between the value of the maximum IR-drop obtained by

    the method described here and the value obtained from thesimulations.

    A. Infinite PDN

    First, we compare the IR-drop predicted from the results

    of Section III (infinite PDN) with the electrical simulation

    results. Fig. 7 illustrates the following case: one consumingblock of 12.5 mm2 inside a chip of 10 10 mm2 and an

    array of 16 regularly spaced pads of radius 100 m. Here,Rpad = 0.

    In this case, the consuming block is fully inside the array

    of pads. As expected, the error in the IR-drop at any place

    (including the location of its maximum) is small, that is, lessthan 0.5%.

    However, when the consuming block is at the chip side (thatis, totally or partially outside the array of pads), the error is

    much greater. This is the case, for example, of Fig. 8: oneconsuming block of 8 mm2 inside a chip of 10 10 mm2 and

    four regularly spaced pads of radius 200 m. Here, Rpad = 0.

    Now the error is as large as 25%, which is an unacceptablevalue. Fig. 9(a) and (b) shows the IR-drop distribution in

    the electrical simulation and the calculation, respectively. The

    differences resulting from the assumption of an infinite PDN

    are clearly visible.

    Fig. 9. Difference of IR-drop on the PDN surface between (a) electricalsimulation and (b) calculation when an infinite PDN is assumed in the IC ofFig. 8.

    B. Finite Rectangular PDN

    To compare our results with the simulations of finite PDNs,we defined chips of different sizes and features, including anumber of pads of different sizes excited by consuming blocks

    of different sizes at different places and drawing different

    currents.

    In the HSPICE simulations, the PDN was defined as an

    array of cells modeling the regular grid of metal segments

    with the same length in the X and Y directions and samewidth. These interconnected cells form the whole PDN. The

    length of each segment was 100 m, and in our simulationsthe square pads had a side length Dpad of 1, 2, or 3 segment

    lengths. According to the approach described in Section II,

    an appropriate coefficient multiplying Dpad was calculated

    to obtain the equivalent radius of the circular pads withthe same resistance to the PDN as the square pads used

    in the simulations. This coefficient depends on the numberof segments connected to the square pads in horizontal and

    vertical directions. For 1, 2, and 3 segments, its value is0.7071, 0.6334, and 0.6049, respectively. If the number of

    segments goes to infinity, this coefficient tends asymptotically

    to 0.5903, which is the value given in [18] and used in [16].The simplest check of our formulas is the comparison of

    the maximum IR-drop when the consuming block is the whole

    chip. The results are summarized in Table II, where the first

    column gives the chip size, the second, the length of a side of

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    8/11

    RIUS: IR-DROP IN ON-CHIP POWER DISTRIBUTION NETWORKS OF ICs 519

    TABLE II

    IR-DROP IN IC S WHERE THE CONSUMPTION IS CONSTANT IN THE WHOLE CHI P

    Chip size (mm2) Dpad (m) No. of pads rsegment () RS() J(mA/mm2) Vcalc (mV) Vsim (mV) Error (%)

    7.2 7.2 200 9 4.4 2.2 25 100.0 99.3 +0.7

    7.2 7.2 200 36 2.2 1.1 25 8.23 8.15 +0.98

    2.6 2.6 100 4 4.4 2.2 25 28.81 28.73 +0.28

    2.6 2.6 300 4 4.4 2.2 25 15.16 15.01 +1.0

    10.4 10.4 100 64 2.2 1.1 25 14.40 14.36 +0.3

    10.4 10.4 300 64 2.2 1.1 25 7.44 7.51 0.9310.4 10.4 200 16 2.2 1.1 25 61.01 60.61 +0.66

    A B C

    D E F

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J3 J4

    A B C

    D E F

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J3 J4

    Fig. 10. Six examples of ICs with nonuniform current distribution, anddifferent sheet resistance and number of pads.

    the square pad, and the third, the number of pads. The fourthand fifth columns contain the resistance of a line segment, and

    consequently the sheet resistance of our formulas. The sixthcolumn shows the current density, and the seventh and eighthgive the calculated and simulated maximum IR-drop for each

    example, respectively. The last column contains the error as

    defined before. In these examples, Rpad = 0.

    As can be seen in Table II, in all cases the maximum error

    is 1%. Interestingly, by applying the result in [16] (2) to the

    same examples, the error ranges from 2.8 to 10 %.We also checked our results for a nonuniform current

    distribution with two or more consuming blocks, each onedrawing a different amount of current. The six examples

    simulated are illustrated in Fig. 10 and their main parameters

    are described in Table III.

    Here, examples AC illustrate a chip of 7.2 7.2 mm2with nine pads. Example D is of a chip of the same size but

    with 36 pads, and examples E and F show a chip of 10.4 10.4 mm2 with 16 pads. The dotted lines in Fig. 10 define the

    contour of the separation between the consuming blocks J1,J2, and so on. Again, in these examples, Rpad = 0.

    Table III is divided into two parts. The second column in the

    top part shows the size of the consuming block. The asterisk(*) for examples C and E indicates that only the size of the

    smaller consuming block is given, the other block is the rest

    of the chip. The third and fourth columns contain the segment

    resistance and sheet resistance, respectively. The fifth and sixth

    TABLE III

    MAI N PARAMETERS OF THE SIX EXAMPLES OF THE PD N IN FIG . 1 0

    ExampleBlock

    size(mm2)

    rsegment()

    RS()J1

    (mA/mm2)J2

    (mA/mm2)

    A 9.60 4.4 2.2 0 100

    B 5.76 2.2 1.1 0 100

    C 9.60 (*) 2.2 1.1 25 100

    D 9.60 2.2 1.1 0 100

    E 13.52 (*) 2.2 1.1 25 100

    F

    13.52,27.04,40.56,27.04

    2.2 1.1 100 25

    ExampleJ3

    (mA/mm2)J4

    (mA/mm2)Vcalc(mV)

    Vsim(mV)

    Error(%)

    A - - 195.89 194.80 +0.56

    B - - 100.25 99.60 +0.65

    C - - 120.74 120.3 +0.37

    D - - 28.87 28.42 +1.58

    E - - 146.50 145.64 +0.59

    F 25 100 233.4 235.31 0.81

    show the current density of blocks 1 and 2. The second andthird columns in the bottom part of Table III give the current

    of blocks 3 and 4. The fourth and fifth contain the calculatedand simulated maximum IR-drop in millivolts and finally, the

    sixth column shows the error, which is below 1% in most

    cases.

    The influence of Rpad was investigated by repeating the

    simulation of example D, but imposing Rpad = 50 m. In this

    case, the maximum IR-drop increases to 33.6 mV accordingto our formulas, and to 33.08 mV in the simulations. Thus, the

    error is again 1.57%. We also checked the calculated voltagedrop at each pad Vpad. Table IV shows the results for all

    36 pads. There, columns 2 and 6 contain the calculated voltagein millivolts of each pad and, columns 3 and 7 the simulated

    one. Columns 4 and 8 are the differences between both results

    in microvolts.

    Finally, Figs. 11 and 12 show a view of the IR-drop of

    example F according to electrical simulations (Fig. 11) and

    calculation (Fig. 12).

    VI . DISCUSSION

    A cardinal feature of our approach is that knowing the IR-

    drop at a given point only requires knowing its coordinates,

    chip size, and location of all pads and consuming blocks.

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    9/11

    520 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 3, MARCH 2013

    TABLE IV

    VOLTAGE DROP ACROSS THE RESISTANCE Rpad OF THE 36 PADS OF EXAMPLE D

    No. of pad Vpad (mV) (calc) Vpad (mV) (sim) Diff. (V ) No . of pa d Vpad (mV) (calc) Vpad (mV) (sim) Diff. (V)

    1 0.0494 0.0648 15.4 19 0.0338 0.0440 10.2

    2 0.4851 0.4539 31.2 20 0.3523 0.3912 38.9

    3 4.4046 4.3620 42.6 21 3.6979 3.6430 54.9

    4 5.6705 5.5930 77.5 22 4.7674 4.6850 82.4

    5 1.2613 1.2850 23.7 23 0.9624 0.9790 16.6

    6 0.1269 0.1540 27.1 24 0.0882 0.1060 17.8

    7 0.0488 0.0640 15.2 25 0.0156 0.0210 5.4

    8 0.4832 0.5360 52.8 26 0.1326 0.1483 15.7

    9 4.4003 4.3560 44.3 27 0.7089 0.7208 11.9

    10 5.6656 5.5860 79.6 28 0.9065 0.9095 3.0

    11 1.2582 1.2810 22.8 29 0.2987 0.3072 8.5

    12 0.1257 0.1530 27.3 30 0.0386 0.0470 8.4

    13 0.0457 0.0590 13.3 31 0.0043 0.0067 2.4

    14 0.4660 0.5140 48.0 32 0.0210 0.0279 6.9

    15 4.3474 4.2940 53.4 33 0.0630 0.0757 12.7

    16 5.6020 5.5120 90.0 34 0.0757 0.0891 13.4

    17 1.2274 1.2450 17.6 35 0.0371 0.0452 8.1

    18 0.1189 0.1430 24.1 36 0.0091 0.0130 3.9

    Fig. 11. IR-drop distribution on the surface of the PDN of example Faccording to electrical simulations.

    This is a great advantage over conventional approaches based

    on the numerical solution of differential equations (that is,

    finite element or finite difference methods), which require thecalculation of the IR-drop at all the points of the PDN surface

    to know the IR-drop at a given point. Thus, our approachmakes it possible to obtain a faster response of IR-drop at

    specific locations. In the case of searching the IR-drop at allthe points of the PDN surface, then both approaches have a

    comparable execution time. Additionally, the execution time

    is independent of the size of the consuming block. Let usnow sketch the computational complexity of the approach. At

    this point, it is worth mentioning that no effort was made

    to optimize the speed of our calculations, which are actually

    written as MATLAB scripts.

    Fig. 12. IR-drop distribution on the surface of the PDN of example Faccording to calculation.

    The algorithm can be roughly divided into three phases:

    1) building plane W and calculating the location and size of

    the pads and blocks (or sub-blocks, when required), includingtheir images, on it; 2) executing the core of the algorithm,

    which is in (15) and (17); and 3) coming back to plane Z,performing the inverse transformation.

    Phase 1) is extremely fast because it only requires theconformal mapping of a small number of objects, like blocks

    (or sub-blocks, when required) and pads, whose number is

    limited. Its computational load depends on the product of thenumber of pads and the number of blocks (or sub-blocks, when

    required). In its turn, the computational load of phase 3) is

    linearly proportional to the number of observation points

    where the IR-drop must be known.

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    10/11

    RIUS: IR-DROP IN ON-CHIP POWER DISTRIBUTION NETWORKS OF ICs 521

    TABLE V

    EXECUTION TIME IN SECONDS AS A FUNCTION OF NUMBER OF PAD

    (1, 25, AN D 100), NUMBER OF OBSERVATION POINTS (1, 100, AN D 900),

    AN D NUMBER OF BLOCKS (16, 96, 480, AN D 1056)

    1 PAD

    Points/blocks 16 96 480 1056

    1 0.08 0.38 1.85 4.07

    100 0.20 1.12 5.51 12.06

    900 1.12 6.68 33.32 73.16

    25 PADS

    Points/blocks 16 96 480 1056

    1 0.20 1.15 5.69 12.48

    100 0.46 2.69 13.33 29.30

    900 2.47 14.75 73.75 162.12

    100 PADS

    Points/blocks 16 96 480 1056

    1 0.94 5.52 27.47 60.42

    100 1.60 9.52 47.53 104.55

    900 6.96 41.69 208.37 458.30

    Phase 2) has the highest computational load. Equation (15)involves: 1) building matrix M of N N elements (where

    N is the number of pads), each one containing the logarithmof the ratio of the distances between two pads, which must

    be calculated previously; 2) building vector B of N elements,

    each one containing the logarithm of the ratio of the GMD of

    two pads to the block, which must be calculated previously;

    3) inverting matrix M; and 4) multiplying the inverted matrix

    by B. Actions 1) and 3) must be done only once, and actions2) and 4) must be done only once per block (or sub-block).

    Thus, computational load of phase 2) depends on the numberof pads only and is independent of the number of points where

    the IR-drop must be known.On the other hand, (17) involves the following actions:

    1) calculating the ratio between the GMD of the reference

    pad and the product of all the distances between the reference

    pad and all the pads at the power calculated previously in

    (15); 2) multiplying the distances between the observation

    point and each pad at the power calculated previously in (15);

    3) calculating the GMD between the observation point, forwhich the IR-drop must be known, and the consuming block;

    4) dividing the results of actions 2) and 3); and 5) multiplyingthe results of actions 1) and 2) and taking the logarithm.

    Action 1) can be precomputed and the result is reused every

    time (17) is calculated, but actions 2) to 5) must be executed

    for every observation point for which the IR-drop must beknown. The above are operations on scalars, and therefore

    the computational load increases linearly with the numberof observation points. For each block (or sub-block, when

    required), (17) is executed as many times as the observationpoints we define are executed Thus, the computational load

    depends on the product of the number of blocks (or sub-

    blocks) and the number of observation points.

    To give an idea of the execution time, we executed the

    MATLAB script on a standard PC with an Intel Q8200 CPU

    with a clock frequency of 2.33 GHz and 3 GB of RAM. Only

    a single core was used in the runs. It is worth mentioning

    here that in the open literature devoted to PDNs and related

    topics, complex ICs are divided into a few tens of functional

    blocks (see [23][25]), of known location, size, and averageconsumption. Therefore, it seems reasonable to analyze the

    execution times for this number of blocks. However, we also

    present the execution time for cases involving a much higher

    number of blocks (1056). Thus, Table V shows the execution

    time in seconds for several combinations of number of pads,

    number of blocks, and number of observation points. In all

    cases, the IC size is 10 10 mm2. All blocks are of size

    100 100 m2 in order to ensure accurate calculation of theIR-drop, and because no division into sub-blocks is required.

    Except for the cases of one observation point, a small

    fraction of the execution time is spent in phases 1) and 2) ofthe algorithm. As mentioned, no attempt was made to optimize

    the execution time, which can be improved with little effort bytaking advantage of the parallelizable nature of the algorithm,

    recoding it in a compiled language and adapting it for parallel

    execution in multicore processors.

    These execution times, as well as the results of Section IV,

    showing a good agreement between the IR-drop calculatedwith our approach and the results obtained by electricalsimulation, demonstrate that our method is useful in exploring

    the tradeoffs to optimize the PDN in its early design phase.Parameters like the number, size, and distribution of pads,

    metal coverage, or distribution of functional blocks can be

    explored in an interactive way to obtain a preliminary view of

    the consequences of each decision.

    In addition, it is worth pointing out that, although our

    approach has been described for PDNs in flip-chip packages,it can also be used for wire-bonded ICs by placing the pads at

    the IC periphery instead of over the PDN surface. Moreover, inspite of the fact that this paper assumes a PDN with symmetric

    ground and supply grids, the described methodology to get IR-drop can also be applied in nonsymmetrical PDNs with powerand ground grids with different properties and with a different

    pad distribution.

    VII. CONCLUSION

    This paper analyzed the IR-drop in PDNs of array-bondedICs. The PDN is modeled as a conductive surface of

    constant sheet resistance. Under this restriction, closed-formexpressions to find the fraction of current supplied by

    each pad, given a set of consuming blocks inside the IC,

    were derived. The number, size, and location of pads and

    consuming blocks and the current drawn by each block arearbitrary. Closed-form expressions to find the IR-drop at

    any point of a finite PDN of array-bonded ICs having anynumber of pads were also given. The IC power is consumed

    by rectangular blocks of any size, placed in any location anddrawing an arbitrary DC current. The effect of the resistance

    between the IC pads and the power supply was also included

    in the model. As particular cases, the methodology proposedfor the calculation of pad current and IR-drop is also valid for

    wire-bonded ICs and nonsymmetrical PDNs. The analytical

    expressions were validated with electrical simulations. The

    maximum error found is in the range of 1%. The execution

  • 7/28/2019 IR-Drop in on-Chip Power Distribution Networks

    11/11

    522 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 3, MARCH 2013

    time using a single core of an Intel Q8200 CPU, running a

    MATLAB script with a clock frequency of 2.33 GHz and 3 GB

    of RAM, is of 0.46 s for the calculation of the IR-drop at 100observation points of a PDN of 10 10 mm2, with 25 supply

    pads, and 16 consuming blocks. For the same PDN, with

    100 supply pads, 1056 consuming blocks, and 900 points for

    which the IR-drop must be known, the execution time is 458 s.

    REFERENCES

    [1] M. Popovich, A. V. Mezhiba, and E. G. Friedman, Power DistributionNetworks with On-Chip Decoupling Capacitors. New York: Springer-Verlag, 2008.

    [2] A. Dubey, P/G pad placement optimization: Problem formulation forbest IR-drop, in Proc. 6th Int. Symp. Quality Electron. Design, May2005, pp. 340345.

    [3] M. Eireiner, S. Henzler, T. Missal, J. Berthold, and D. Schmitt-Landsiedel, Power supply network design: A case study drivenapproach, Adv. Radio Sci., vol. 5, pp. 279284, 2007.

    [4] P. Gupta and A. B. Kahng, Efficient design and analysis of robust powerdistribution meshes, in Proc. 19th Int. Conf. VLSI Design, 2006, pp.337342.

    [5] D. E. Khalil and Y. Ismail, Optimum sizing of power grids forIR drop, in Proc. IEEE Circuits Syst. Int. Symp., Sep. 2006, pp.481484.

    [6] X. Wang, Y. Cai, X. Hong, and S. X.-D. Tan, Optimal wire sizing forearly stage power/ground grid planning, in Proc. Int. Conf. Commun.Circuits Syst., Jun. 2006, pp. 24062410.

    [7] R. Bhooshan, Novel and efficient IR-drop models for designing powerdistribution network for sub-100 nm Integrated circuits, in Proc. 8th

    Int. Symp. Quality Electron. Design, Mar. 2007, pp. 287292.[8] R. Bhooshan and B. P. Rao, Optimum IR drop models for estimation

    of metal resource requirements for power distribution network, in Proc.Int. Conf. Very Large Scale Integr., Oct. 2007, pp. 292295.

    [9] G. Huang, A. Naeemi, T. Zhou, D. OConnor, A. Muszynski, B. Singh,D. Becker, J. Venuto, and J. D. Meindl, Compact physical models forchip and package power and ground distribution networks for gigascaleintegration (GSI), in Proc. Electron. Compon. Technol. Conf., May2008, pp. 646651.

    [10] J. Fu, Z. Luo, X. Hong, Y. Cai, S. X.-D. Tan, and Z. Pan, VLSI on-chippower/ground network optimization considering decap leakage currents,in Proc. Design Autom. Conf., 2005, pp. 735738.

    [11] T. Sato, M. Hashimoto, and H. Onodera, Successive pad assignmentalgorithm to optimize number and location of power supply pad usingincremental matrix inversion, in Proc. Design Autom. Conf., 2005, pp.723728.

    [12] Y. Zhong and M. D. F. Wong, Fast placement optimization of powersupply pads, in Proc. Asia South-Pacific Design Autom. Conf., Jan.2007, pp. 763767.

    [13] C.-H. Lu, H.-M. Chen, C.-N. J. Liu, and W.-Y. Shih, Packageroutability- and IR-drop-aware finger/pad assignment in chip-packageco-design, in Proc. Design Autom. Test Eur. Conf. Exhibit., Apr. 2009,pp. 845850.

    [14] N. Srivastava, X. Qi, and K. Banerjee, Impact of on-chip inductanceon power distribution network design for nanometer scale integratedcircuits, in Proc. 6th Int. Symp. Quality Electron. Design, Mar. 2005,pp. 346351.

    [15] S. Pant, D. Blaauw, and E. Chiprout, Power grid physics and implica-tions for CAD, IEEE Design Test Comput., vol. 24, no. 3, pp. 246254,

    MayJun. 2007.[16] K. Shakeri and J. D. Meindl, Compact physical IR-drop models for

    chip/package co-design of gigascale integration (GSI), IEEE Trans.Electron. Dev., vol. 52, no. 6, pp. 19871096, Jun. 2005.

    [17] H. Chen, C.-K. Cheng, A. B. Khang, Q. Wang, and M. Mori, Optimalplanning for mesh-based power distribution, in Proc. Design Autom.Conf., 2004, pp. 444449.

    [18] Y. T. Lo, A note on the cylindrical antenna of non circular crosssection, J. Appl. Phys., vol. 24, no. 10, pp. 13381339, May 1953.

    [19] E. Weber, Electromagnetic Fields Theory and Applications Vol. I Map-ping of Fields. New York: Wiley, 1950.

    [20] T. J. Higgins, Formulas for the geometric mean distances of rectangularareas and of line segments, J. Appl. Phys., vol. 14, pp. 188195, Apr.1943.

    [21] R. Schinzinger and P. A. Laura, Conformal Mapping Methods andApplications. New York: Dover, 2003.

    [22] E. T. Whittaker and G. N. Watson, A Course of Modern Analysis, 4th

    ed. Cambridge, U.K.: Cambridge Univ. Press, 1927.[23] M. D. Pant, P. Pant, and D. S. Wills, On-chip decoupling capacitor

    optimization using architectural level prediction, IEEE Trans. VeryLarge Scale Integr. (VLSI) Syst., vol. 10, no. 3, pp. 319326, Jun. 2002.

    [24] M. S. Gupta, J. L. Oatley, R. Joseph, G.-Y. Wei, and D. M. Brooks,Understanding voltage variations in chip multiprocessors using a dis-tributed power-delivery network, in Proc. Design Autom. Test Eur.Conf., Apr. 2007, pp. 16.

    [25] E. Chiprout, Fast flip-chip power grid analysis via locality and gridshells, in Proc. Int. Conf. Comput. Aided Design, Nov. 2004, pp.485488.

    Josep Rius received the M.S. and Ph.D. degrees inelectrical engineering from the Universitat Politc-nica de Catalunya (UPC), Barcelona, Spain.

    He has been an Associate Professor with the Elec-tronic Engineering Department, UPC, since 1991.His current research interests include VLSI testing,power estimation, and power/signal integrity.