journal of photopolymer science and technology technical

9
Spin-on Metal Oxides and Their Applications for Next Generation Lithography Huirong Yao a , Salem Mullen a , Elizabeth Wolfer a , Douglas Mckenzie a , Alberto Dioses a , Dalil Rahman a , JoonYeon Cho a , Munirathna Padmanaban a , Claire Petermann b , SungEun Hong b , Geert Mannaert c , Toby Hopf c , Danilo De Simone c , Diziana Vangoidsenhoven c , Christophe Lorant c , Farid Sebaai c , Efraín Altamirano Sanchez c a EMD Performance Materials Corp., 70 Meister Avenue, Somerville, NJ 08876, USA b Merck Performance Materials, Kapeldreef 75, B-3001 Leuven, Belgium c IMEC, Kapeldreef 75, B-3001 Leuven, Belgium Metal oxide or metal nitride films are used as hard mask materials in the semiconductor lithography processes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. The present paper describes formation and functional properties of novel metal oxide hard masks by simple solution spin coating process. These stable metal oxide formulations containing significant amount of Ti, W, Hf, Zr and Al possess good etch selectivity and therefore good pattern transfer capability. The metal oxide films can be removed by commonly used wet chemicals in the fab environment such as TMAH developer, solvents or other oxidizing agents. The hard mask material absorbs DUV wavelengths and hence can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity. Some metal hard masks are also developed for via or trench filling applications for electronic devices as high K materials. The research demonstrated that these metal oxide hard masks are compatible with litho track and etch processing without concern of metal contamination. They can, therefore be integrated as replacements of CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV processes. This paper discusses coating, optical, filling, etch and wet removal properties the spin-on metal oxide formulations. In addition, a new potential application in self-aligned quadruple patterning cut process for advanced technology nodes is also described. Keywords: Metal oxide hard masks, spin coat, antireflective, etch resistance, gap fill, lithography, wet strip, metal contamination, SAQP, cut last 1. Introduction As the feature size is getting smaller and smaller, hard masks play an important role in pattern transfer to the desired substrate in the IC manufacturing processes. Organic and inorganic type hard masks are used. While most organic hard masks such as carbon and siloxane type are solution spin coated, inorganic type hard masks such as SiON and SiN are either chemical vapor deposited (CVD) or atomic layer deposited (ALD). One of the disadvantages of these techniques is the throughput and high cost due to dedicated equipment needed. [1] In the case of rework process, Si-based hard masks have to be either removed by plasma etch or to be treated with strong oxidizing chemistries such as concentrated H 2 SO 4 /H 2 O 2 mixture (Piranha) with post-removal process to clean off the residues on the wafer surface. Such issues are challenging problems for manufacturability as they reduce the throughput and yield due to high defects [2,3]. Future generation of lithography processes require hard masks with higher resistance to plasma etch and materials that can be easily wet stripped after pattern transfer process to prevent dry etch damage to the substrate underneath [4,5]. Previously we reported that AZ® Spin-on metal hard mask (MHM) materials are useful for generating metal oxide containing underlayers [4-9]. The materials Journal of Photopolymer Science and Technology Volume 29, Number 1 (2016) 2016SPST 59 67 April 20, 2016 May 11, 2016 Received Accepted Technical Paper 59

Upload: others

Post on 05-May-2022

1 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Journal of Photopolymer Science and Technology Technical

Spin-on Metal Oxides and Their Applications for Next Generation Lithography

Huirong Yaoa, Salem Mullena, Elizabeth Wolfera, Douglas Mckenziea, Alberto Diosesa, Dalil Rahmana, JoonYeon Choa, Munirathna Padmanabana, Claire Petermannb, SungEun Hongb, Geert Mannaertc,

Toby Hopfc, Danilo De Simonec, Diziana Vangoidsenhovenc, Christophe Lorantc, Farid Sebaaic, Efraín Altamirano Sanchezc

aEMD Performance Materials Corp., 70 Meister Avenue, Somerville, NJ 08876, USA

bMerck Performance Materials, Kapeldreef 75, B-3001 Leuven, Belgium cIMEC, Kapeldreef 75, B-3001 Leuven, Belgium

Metal oxide or metal nitride films are used as hard mask materials in the semiconductor

lithography processes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput.

The present paper describes formation and functional properties of novel metal oxide hard masks by simple solution spin coating process. These stable metal oxide formulations containing significant amount of Ti, W, Hf, Zr and Al possess good etch selectivity and therefore good pattern transfer capability. The metal oxide films can be removed by commonly used wet chemicals in the fab environment such as TMAH developer, solvents or other oxidizing agents.

The hard mask material absorbs DUV wavelengths and hence can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity. Some metal hard masks are also developed for via or trench filling applications for electronic devices as high K materials. The research demonstrated that these metal oxide hard masks are compatible with litho track and etch processing without concern of metal contamination. They can, therefore be integrated as replacements of CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV processes. This paper discusses coating, optical, filling, etch and wet removal properties the spin-on metal oxide formulations. In addition, a new potential application in self-aligned quadruple patterning cut process for advanced technology nodes is also described. Keywords: Metal oxide hard masks, spin coat, antireflective, etch resistance, gap fill, lithography, wet strip, metal contamination, SAQP, cut last

1. Introduction As the feature size is getting smaller and smaller, hard masks play an important role in pattern transfer to the desired substrate in the IC manufacturing processes. Organic and inorganic type hard masks are used. While most organic hard masks such as carbon and siloxane type are solution spin coated, inorganic type hard masks such as SiON and SiN are either chemical vapor deposited (CVD) or atomic layer deposited (ALD). One of the disadvantages of these techniques is the throughput and high cost due to dedicated equipment needed. [1] In the case of rework process, Si-based hard masks have to be either removed by plasma etch or

to be treated with strong oxidizing chemistries such as concentrated H2SO4/H2O2 mixture (Piranha) with post-removal process to clean off the residues on the wafer surface. Such issues are challenging problems for manufacturability as they reduce the throughput and yield due to high defects [2,3]. Future generation of lithography processes require hard masks with higher resistance to plasma etch and materials that can be easily wet stripped after pattern transfer process to prevent dry etch damage to the substrate underneath [4,5]. Previously we reported that AZ® Spin-on metal hard mask (MHM) materials are useful for generating metal oxide containing underlayers [4-9]. The materials

Journal of Photopolymer Science and Technology

Volume 29, Number 1 (2016) - Ⓒ 2016SPST59 67

April 20, 2016May 11, 2016

Received Accepted 

Technical Paper

59

Page 2: Journal of Photopolymer Science and Technology Technical

demonstrated good long term shelf life and pot-life stability. The underlayer coating is strippable in chemical solutions after curing of the film to form metal oxide. The specially designed underlayers can be used to significantly improve photosensitivity of EUV photoresist performance [8,10]. The metal oxide films have excellent dry etch resistance and/or good gap fill performances so that they can be used as a hard mask to replace silicon underlayers in processes, such as trilayer or image reversal processes [4,5].

The present work will demonstrate the coating quality, optical property, dielectric constants, bulk etch rate, wet strippability, and filling performance of our newly developed metal oxide hard masks containing Ti, Zr, Hf, W or Al. The etch performance is unique for each metal under fluorinated gas or oxygen gas conditions. For example, the etch resistance of ZrOx films is generally higher than that of SiOx reference or TiOx films under CF4 plasma. For the same type of metal with similar chemical platforms, higher metal contents usually give better etch resistance. There is a trade-off between dry etch resistance and wet removal process. Although most metal oxide films can be wet etched by alkaline chemical strippers such as SC1 (H2O:H2O2:NH4OH), developer or simply polar solvents, high etch resistant ZrOx films can only be removed by aqueous solution of diluted HF or Piranha (concentrated H2SO4/H2O2). The good filling feature of spin-on MHM materials can be employed to yield a reverse tone image of the original resist generally called image reversal or tone reversal after plasma etching the original resist patterns [11]. The filling capability of MHM materials can also be used in device manufacturing. When the patterned substrate is part of an electronic device, the metal oxide film in the structure then can be used for high К materials as gate dielectrics to reduce leakage current density [12]. To be used for advanced patterning, the metal containing hard masks will have to go through several lithography and etch processes. Before introducing these new materials to the FAB, one needs to demonstrate that they do not induce any metal contamination in the process tools. We will report metal contamination results using both state-of-the-art litho tracks and plasma etchers for various metal oxide materials using TXRF (Total Reflection X-Ray Fluorescence) method. We will then present an example of application of the metal oxide hard masks for advanced patterning. Metal oxide hard masks can potentially be used as etch stop layer in self aligned

quadruple patterning (SAQP) cut last process for advanced technology nodes below 7 nm since they have high dry etch and wet etch resistance during 1st etch and post-etch clean. (Figure 1). The etch stop layer also serves as high etch selectivity hardmask that enables further pattern transfer to the substrate.

Figure 1. MHM used as etch stop layer in SAQP cut last process (an organic BARC layer may be added under resist to suppress reflectivity).

2. Experimental2.1. Material synthesis and formulation preparation

Derivatives of metal alkoxide compounds were synthesized at EMD Performance Materials Corp. In some cases, metal oxide nano particle dispersions were used. Spin-on MHM formulations denoted as AZ® EXP MHM samples in the results and discussion section were prepared by mixing appropriate amount of metal compounds and additives in commonly used resist solvents such as propyleneglycol monomethyl ether acetate (PGMEA) or propyleneglycol monomethyl ether (PGME) or mixtures of PGMEA/PGME. Aqueous MHM were also formulated where the metal derivative is readily soluble in water. The final solutions were filtered through a micro filter with a pore size of 0.1um.

2.2. Film thickness measurement and evaluations of optical indices (n, k) for AZ® EXP MHM materials

Spin-on MHM solutions were spun on a 200mm or 300mm Si wafer and baked at various temperatures indicated in the results and discussion sections. The optical parameters (n&k@193, 248 and 633nm) of the spin-on MHM films were measured by a J.A. Woollam® VUV VASE™ Ellipsometer. The film thickness was measured by nanometrics.

2.3. Solvent and developer resistance tests Spin-on metal hard mask solutions were

spin-coated on silicon wafers and baked at various conditions from 150°C/60s to 350°C/60s to achieve the desired solvent resistance. To test solvent resistance of the films, solvent such as propylene glycol monomethyl ether acetate (PGMEA) or ethyl

J. Photopolym. Sci. Technol., Vol. 29, No. 1, 2016

60

Page 3: Journal of Photopolymer Science and Technology Technical

lactate (EL) was dispensed on the silicon wafer coated with metal hard mask materials. The solvent was removed by nitrogen sweep after 60 s. Film integrity was examined visually and also by measuring film thickness before and after soaking. To test developer resistance of the film, AZ® 300 MIF developer was dispensed on the coated wafer. The wafer was rinsed with water after 60s and dried by nitrogen. Film integrity was examined visually and by measuring film thickness before and after soaking.

2.4. Determination of metal content in the coated films

Metal % wt/wt in the metal oxide films were measured by elemental analysis and TGA weight loss measurement (Calcination). The sample was heated under oxygen in the chamber from ambient temperature to 800 °C at a rate of 20 °C/60s and continuously heated at a constant temperature of 800 °C for 1 hour during calcination. The Metal % wt/wt can be calculated based on the total weight loss or the weight of final oxide left after calcination. The results from two methods are consistent. The curing temperature is from150 °C to 400 °C and baking time is about 60 - 120 seconds depending on the composition in MHM formulations.

2.5. Blank etch rate and pattern etch transfer The bulk etch rates of spin-on MHM films

including SiO2 as reference were measured on ICP etcher LAM kiyo CX at IMEC for various spin-on MHM samples. The spin-on MHM samples were spin-coated on a silicon wafer and baked at 250-350 °C for 60-120s. The center etch condition of 10mT/Power450W/Bias100V/100CF4(sccm)/60deg Temp was used for CF4 gas. The etch condition of 5mT/Power 400W/Bias 200V/29O2(sccm)/ 160Ar(sccm)/40deg Temp was used for O2 gas. The etch selectivity is calculated for each spin-on MHM materials using SiOX as reference.

2.6. Wet Etch Rate Measurements Formulations of AZ® EXP MHM was

tested using the stripping recipes including acid or alkaline aqueous solutions such as SC1 (H2O:H2O2:NH4OH), SC2 (HCl 1M, H2O2 3M), Piranha (H2SO4 : 30% H2O2 = 2 : 3), diluted HF, NH4F, phosphoric acid, 300 MIF developer, or simply a solvent or a solvent mixture. The initial thickness of the film was 20 - 100 nm. Spin-on MHM material was spin coated and cured on a silicon wafer. The wafer was immersed in one of above stripping solutions. The film thickness was

recorded periodically until the film was completely washed away. The wet etch rate was obtained by measuring film loss at different time intervals during wet-etch process if there is a linear relationship between film loss and etching time [4,5].

2.7. Via or trench filling performance evaluations MHM formulations were spin coated and

baked at appropriate temperature normally at 250°C/60s, on a topography wafer in most cases. These topography wafers have 650 nm deep vias with 70, 80, 90, and 100 via sizes. Via holes were patterned at various pitches: dense, semi-dense and isolated at 1:1, 1:1.4 and 1:6 ratios. Other via wafer used has 700 nm deep vias with ~ 140 nm via size. The coated wafers were examined under a scanning electron microscope. The good filling performances were observed without any voids under above processing conditions.

2.8. Dielectric constant studies MHM formulations were spin coated and

baked at 250°C-350°C for 60s-120s on a silicon wafer to obtain a metal oxide film targeting a film thickness of 200 nm. Based on capacity measurement using external HP3890A meter at 100 kHz, the dielectric constant К of the metal oxide films were calculated.

2.9. Metal contamination studies 2.9.1. Metal contamination study after metal oxide hard mask processing on a litho track

Total Reflection X-Ray Fluorescence (TXRF) is used to detect trace metals on silicon wafers, with detection limits in the order of 1E10 atoms/cm2, which is a standard cross-contamination specification. A sequence of experiments was performed at IMEC on SOKUDO DUO tracks manufactured by SCREEN. In each test, clean monitor wafers were processed through the track at first. A batch of wafers coated with the AZ® spin-on MHM materials (15 wafers) were processed subsequently. Finally, clean monitor wafers were processed. One of the monitor wafers processed before and after the MHM coating was flipped before processing to collect any backside contamination as well and flipped again for TXRF measurement. The metal concentrations measured on the monitor wafers before and after coating of MHM materials by TXRF measurements were compared. One more wafer was tested as “blank” to check contamination levels of this reference wafer.

2.9.2. Metal contamination study after metal oxide

J. Photopolym. Sci. Technol., Vol. 29, No. 1, 2016

61

Page 4: Journal of Photopolymer Science and Technology Technical

hard mask processing in a state-of-the-art ICP etcher

TXRF quality Si wafers have been prepared to collect possible metal contamination in the chamber and on the platform (transport modules) after etching. The wafers to measure transport contamination have been processed upside down to make direct contact and to collect potential contaminants from the chuck, wafer handler or cool down station.

Prior to running etch contamination tests on the spin-on metal oxide hard mask, the etch chamber was conditioned on a bare Si wafer with a seasoning plasma recommended by the tool supplier. Afterwards 5 spin-on MHM wafers were processed in a Cl2 plasma with a clean conditioning plasma in between every wafer. The post clean step contains a BCl3/Cl2 chemistry to deal with the metal contamination, followed by the tool supplier recommended clean and chamber conditioning steps. During the plasma processing the relevant optical emission wavelengths have been monitored in order to assess the quality of the clean and the endpoint of the etched film. In total 3 iterations of etch contamination tests have been processed for each type of metal oxide hard mask and 2 TXRF wafers have been measured after the etch iteration. One is for the “process” check and the other is for the “transport” check. The metal contamination is measured by TXRF in sweeping mode on 165 wafer locations. The results in at/cm2 is the integrated information for the whole 300 mm wafer surface.

2.10. Metal oxide hard mask evaluation for use as stop layer and hardmask in self aligned quadruple patterning cut last process 2.10.1. SARO (Alignment) test

SARO stands for SMASH Alignment Read Out. “SMASH” is the wafer alignment system designed by ASML which is now used on their state-of-the-art scanners. Scribeline alignment markers were first generated on every die of the silicon wafers by exposing a Zero Layer litho step followed by an etch 70nm deep into the silicon substrate. These alignment markers could then be compared on wafers where the spin-on MHM film was present versus those without the metal oxide layer.

2.10.2. Cut 1 litho The standard litho stack consisted of a

85nm BARC and a 100nm PR. In order to test the inclusion of a spin-on MHM layer within a standard Fin Cut flow, the new stack had a 24nm thick ZrOx MHM film spin-coated onto a silicon wafer, a 30nm

thick SOG layer coated on top of this, a 30nm BARC and a 100nm PR. Spin-on ZrOx MHM then acted as an etch stop layer during the etching of the SOG (Figure 1). The exposure was done using a LF mask and an NTD process, in order to create an array of holes that would define the locations for the subsequent Fin Cuts. The lithography results of the two types of stack were compared.

2.10.3. Cut 2 litho After cut 1 litho, the pattern was transferred

into SOG layer on the ZrOx by plasma etch and the BARC and PR were subsequently dry stripped. A 30nm BARC and a 100nm PR were coated again on the stack for cut 2 litho. The exposure was done using the same LF mask and NTD process as cut 1 but with a 200 nm vertical scanner translation. After optimization of the cut 2 litho exposure conditions, the litho performance of cut 1 and cut 2 were compared.

2.10.4. Pattern after cut 1 and cut 2 Cut 2 pattern transfer was done using same

etch recipe as cut 1 etch. After dry strip of BARC and PR, the final pattern showing both cut 1 and cut 2 was imaged by CDSEM.

3. Results and Discussion3.1. Coating properties of MHM filling materials

Inorganic hard masks such as SiO2, TiN, SiN, ZrO2, Si3N4, are usually deposited by CVD or ALD process. These methods are good for thin conformal depositions but when thicker (>20nm) films are needed it takes long processing time. The surface amorphous properties of the films are not good and often have grains in the films. It is desirable to develop spin coated metal oxide hard mask formulations which is capable of providing metal oxides with good amorphous performance up to 1 µm film thickness. EMD has developed novel spin-on metallic hard mask formulations with various metal oxides including TiOx, ZrOx, HfOx, WOx and AlOx etc. The stable metal oxide formulations form grain-free oxide films with high metal content after curing and provide excellent etch resistance, easy wet removal, low defects, no metal contamination and good resistance to moisture. [2-7] Based on TGA calcination test and elemental analysis, metal oxide content of the films ranges from 20% to 90% of the total weight depending on the metal element, the chemical structure and the processing conditions. Figure 2 shows the coating quality of high metal content film of ZrOx-2 at 300° C/60s baking. SEM pictures of spin-on MHM films demonstrated that no gross

J. Photopolym. Sci. Technol., Vol. 29, No. 1, 2016

62

Page 5: Journal of Photopolymer Science and Technology Technical

defects were observed and the film surface quality is consistent before and after forced aging at 40°C water bath for 1 week.

Figure 2. Cross-section of metal oxide film of ZrOx-2 on silica wafer after baking at 300° C/60s.

3.2. Optical Properties of Spin-on MHM formulations

Table 1 shows the real (n) and imaginary (k) parts of the refractive index values of the various metal oxide samples coated on silicon wafers and baked at 350º C for 60 seconds. The n&k values are tunable by changing the metal oxide material or the bake conditions. Similar to organic anti-reflective coatings, spin-on metal oxides absorb in the DUV region and suppress the reflectivity when used under the photoresist. Low or no absorption at 633nm helps in identifying alignment marks. It is therefore possible to replace silicon based anti-reflective coatings used in trilayer process.

Table 1. Optical parameters of MHM samples. MHM n@193nm k@193nm k@633nm

TiOx-1 1.64 0.76 0.00 ZrOx-1 2.19 0.37 0.00 ZrOx-2 2.34 0.44 0.00 HfOx-1 1.78 0.18 0.00 HfOx-2 1.53 0.20 0.00 WOx-1 2.08 0.41 0.01 WOx-2 1.70 0.76 0.00 AlOx-1 1.27 0.13 0.00

3.3. Etch selectivity of Spin-on MHM samples The bulk etch rates of MHM films

including SiO2 as reference were measured on ICP etcher at IMEC for various MHM samples. Etch parameters used for different RIE plasmas are: 10 mT / 450W / 100V / 100 CF4 / 60° C, and 5 mT / 400W / 200V / 29 O2 / 160 Ar / 40 degC. The film baking temperature ranges between 250° C to 350° C. Table 2 listed the relative bulk etch rate of AZ® EXP MHM samples vs. SiOx at various baking temperatures in CF4 and O2 gases, respectively. As expected, the etch resistance of MHM materials is

improved by increasing baking temperature since the metal contents in the films are higher with decomposition/evaporation of organic components at high temperature. Comparing etch rates of ZrOx-1 and ZrOx-2, the etch resistances are correlated to the metal content of the materials. The higher Zr content formulation ZrOx-2 has better etch resistance in both CF4 and O2 gases than the ZrOx-1 formulation although the significant enhancement of etch resistance for ZrOx-2 may not be exclusively caused by higher metal content. Overall, the etch rate of ZrOx, HfOx and AlOx demonstrated much better etch resistance than that of silicon oxide material in CF4 gas under similar conditions. TiOx and WOx films show better etch resistance compared to SiOx against O2 gas and demonstrate excellent etch selectivity in CF4 against O2 plasma. Therefore, CF4 gas can be used to etch the TiOx and WOx films.

Different platforms were developed for each metal oxide to meet the specific material requirements. For example, TiOx-1 was developed as hard mask and antireflective coating used for resist patterning. TiOx-1 has higher metal content and higher etch selectivity than TiOx-2, which was designed to have good filling performance over topography.

Table 2. Relative bulk etch rate of AZ® EXP MHM samples vs. SiOx.

Materials Bake Conditions

Relative etch rate

(CF4)

Relative etch rate

(O2) TiOx-1 250°C/60s 1.50 0.46

300°C/60s 1.24 0.22 TiOx-2 250°C/60s 2.10 0.50

300°C/60s 1.60 0.30 ZrOx-1 250°C/120s 0.68 14.04

300°C/120s 0.39 7.32 350°C/120s 0.21 1.55

ZrOx-2 250°C/120s 0.34 4.46 300°C/120s 0.23 1.29 350°C/120s 0.10 0

ZrOx-3 250°C/60s 0.45 2.66 300°C/60s 0.37 1.00

HfOx-2 250°C/60s 0.30 0.83 300°C/60s 0.21 0.50

WOx-1 350°C/120s 2.85 0.51 AlOx-1 350°C/60s 0.61 11

SiOx 1 1

J. Photopolym. Sci. Technol., Vol. 29, No. 1, 2016

63

Page 6: Journal of Photopolymer Science and Technology Technical

3.4. Wet removal of spin-on MHM The MHM film or residue should be

removed by wet etching without damaging the stack materials such as carbon under layer (CUL), silicon oxide, silicon nitride or other substrates. Just like silicon hard mask, metal hard mask can be removed by dry etching gases during lithography process. However, after plasma etch, a final step involving wet removal of residuals is generally required. When rework is required in the process, wet stripping of the MHM films without affecting the surrounding materials can significantly reduce the production steps and cost. The EMD spin-on metal oxide films are designed for strippability in chemical solutions after curing as described in the experimental part. The chemical strippers can be acidic or alkaline aqueous solutions such as SC1 (H2O:H2O2:NH4OH), Piranha (H2SO4 : 30% H2O2 = 2 : 3), diluted HF, NH4F, phosphoric acid, 300 MIF developer, or simply a solvent or a solvent mixture. The cured MHM films can be removed or partially removed by these stripping solutions at ambient or elevated temperatures. The wet etch recipe and etch rate varies depending on the type of the metal and the composition of MHM formulation. TiOx, WOx and AlOx films are readily removed by the SC1 solution that is commonly used in semiconductor manufacturing process. SC1 solution thus is recommended for TiOx and WOx samples. On the contrary, ZrOx films show high wet etch resistance in SC1 solution under similar conditions. Diluted HF (dHF) solutions of 0.1-2% are suggested for ZrOx and HfOx sample as wet stripping option. For the same MHM material, the film baked at higher temperature contains more metal oxide and has lower etch rate under similar conditions. Table 3 shows wet etch rates of AZ® Spin-on MHM films either in SC1 (H2O:H2O2:NH4OH = 20:4:1), or dHF solution.

Table 3. Wet etch rates of AZ® Spin-on MHM films either in SC1 or dHF solution. Baking condition is 350º C/60s.

Materials Wet etch solution

Etch rate (nm/min)

TiOx-1 SC1, 65ºC 102 ZrOx-1 0.5% HF 378

SC1, 65ºC 0 HfOx-2 1.5% HF 1000

SC1, 65ºC 2.5 WOx-1 SC1, 65ºC 137 AlOx-1 SC1, rt 28

3.5. Via-fill performance of Spin-on MHM materials

The filling performance of spin-on metal oxide materials is important in many lithography processes such as image reversal [11] and dielectric patterns. As the device dimension gets smaller and become 3 dimensional, the limitation of filling performance of CVD/ALD hardmasks for high aspect ratio vias and trenches is manifested. Patterning of high aspect ratio storage capacitor dielectric for dynamic random access memories (DRAM) is challenging. In order to reduce leakage current without increasing film thickness of gate dielectrics, higher dielectric constants (К) materials are used to replace organic polymer dielectric materials and SiO2 (К = 3.9) [12]. Metal oxides are well known for their high К properties. By replacement of low К materials such as SiO2 and polymers with metal oxide films, the size of the transistor can thus be reduced significantly. Table 4 lists dielectric constants of TiOx, ZrOx and HfOx films baked at various temperatures. As expected, the dielectric constants of the metal oxide films are higher than those of organic polymer dielectrics (К = 2-4). Figure 3 shows the excellent filling and planarizing capability of the spin-on TiOx, ZrOx, and HfOx dielectrics using a 600nm deep tight-pitch small via at 250 °C baking. Therefore, AZ® spin-on MHM materials may be applied as thermally stable dielectric materials, which are capable of filling topography with low–cost spin-on processes.

Table 4. Dielectric constants of AZ® EXP MHM samples.

MaterialsBake

Conditions Dielectric Constants

TiOx-2 250°C/60s 11.2 ZrOx-3 250°C/60s 5.1

HfOx-2 250°C/60s 4.5 300°C/60s 5.2 350°C/60s 6.2

TiOx-2 ZrOx-3 HfOx-2 Figure 3. Cross-section SEM of MHM-filled topo wafer having a 600nm depth and aspect ratio of 8.0:1 at baking condition of 250°C/60s.

J. Photopolym. Sci. Technol., Vol. 29, No. 1, 2016

64

Page 7: Journal of Photopolymer Science and Technology Technical

3.6. Metal contamination study 3.6.1. Metal contamination study after metal oxide hard mask processing on a litho track

A metal contamination study on the litho track was carried out in order to evaluate the risk of metal contamination using spin-on MHM materials in a manufacturing environment for R&D purposes. Clean monitor wafers for advanced lithography were used in combination with IMEC TXRF instrument to study the metal traces concentration at tool level before and after the MHM coatings. A comparison of the metal concentration measured on the frontside and backside of the monitor wafers before and after coating is shown in Figure 4. Contamination of TiOx and ZrOx are below detection limit. For HfOx, detection limit is lower but the amount of Hf on the monitor wafers detected before and after MHM coatings are all similar. No added contamination comes from MHM coating process. We can conclude that all these MHM show no more than metal content of 2 E10 atom/cm2 on the monitor wafers and all are well under the incoming wafer specification for each metal.

Figure 4. Comparison of clean monitors before and after coating as measured by TXRF on both front side and backside of the wafer. The reference is an as-received monitor wafer.

3.6.2. Metal contamination study after metal oxide hard mask processing in a state-of-the-art ICP etcher

Metal contamination study was also conducted in etch chamber and pathway of transport. Figure 5 demonstrates that all of TiOx, ZrOx and HfOx materials have much lower metal content than IMEC specification limit and can be processed in etch tools.

Figure 5. Metal traces on monitor wafers measured by TXRF after wafer processing in etch chamber and pathway of transport.

3.7. Metal oxide hard mask evaluation for use as stop layer and hardmask in self aligned quadruple patterning cut last process

SAQP cut last method is a very promising approach for the next technology nodes (N7 and beyond). Contrary to the cut first approach that is currently used, it has the advantage of having a reduced topography and should thus enable to define the cuts precisely enough even once the overlay constraints will become tighter. In this approach, the two cuts will be defined in a memory layer (SOG) stopping on a well-chosen MHM layer. In the subsequent steps, the pattern defined in SOG would need to be transferred into the layer that was used as an etch stop and further transferred down to the substrate. Metal oxide hardmask has high etch selectivity against many substrate materials such as C, Si, SiO2, and SiN, which is an advantage over Si based hardmask. It can therefore act both as etch stop layer (for the memory layer opening) and a hardmask (for further pattern transfer) in the SAQP cut last process described above. Pattern transfer using the metal oxide hard mask is expected to be more robust while the metal oxide hardmask layer thickness can be much thinner compared to the amorphous carbon layer that would be needed for the same purpose, thanks to its very good etch selectivity toward underlying substrate. Moreover, the metal oxide hardmask is transparent, which is important for cut 2 definition and overlay considerations. At the end of the process, once the pattern has been transferred into the substrate, the metal oxide hard mask can be easily wet stripped.

ZrOx hard mask has excellent etch resistance in CF4 and O2 gases as demonstrated in the blank etch study section. It can be used as etch stopper for SOG opening in litho-etch-litho-etch (LELE) Cut process of an SAQP flow. It is important that the ZrOx etch stop layer is not

J. Photopolym. Sci. Technol., Vol. 29, No. 1, 2016

65

Page 8: Journal of Photopolymer Science and Technology Technical

affected by the cut 1 post-etch-residue clean, which can be either SC1 (NH4OH/H2O2/DIW 1:4:20 at 40°C) or SC2 (HCl 1M, H2O2 3M @ 25°C). MHM material was spin coated and baked at 350°C/60s on a Si wafer. The wet etch rate was studied using an initial film thickness of 24 nm. The coated wafers were immersed in the etchant solution for 60-120s. Both SC1 and SC2 show no significant effects on the integrity of the metal oxide film. No film loss was observed under the wet etch conditions.

A SARO test is used to characterize the standard ASML alignment markers that are printed on a wafer in order to align multiple layers during lithography exposures. The SARO test assesses the alignment signal strength and quality at all four of the laser wavelengths that can be utilized by the scanner when doing alignments of litho exposures. SARO test is required for ZrOx etch stop layer in order to enable the cut 2 definition. The alignment test shows that the Wafer Quality (WQ) value is >>10% for all wavelengths, which indicates excellent alignment quality with ZrOx hard mask.

The first cut lithography performance with ZrOx etch stop layer (stack: 30nm SOG/30nm BARC/100nm PR) is similar to the stack without the etch stop layer (stack: 85nm BARC/100nm PR). Figure 6 shows first cut lithography performance of Fin Cut approach using spin-on MHM stack vs IMEC standard stack.

24 nm ZrOx/30nm SOG/ 85nm BARC/100nm PR 30nm BARC/100nm PR.

Figure 6. Comparison of first cut lithography performance of FinCut approach, MHM stack vs. standard stack. CDx is about 62 nm and CDY is about 105 nm.

After optimization of the exposure conditions (focus and dose), the lithography performance of cut 2 is found to be very similar to that of cut 1. Roughness seems to be slightly more important in case of cut 2, which can possibly be explained by a change in stack reflectivity due to cut 1 etch step. Further study is ongoing. We believe that cut 2 litho pattern quality can still be improved by optimizing BARC thickness.

Figure 7. Comparison of cut 1 (left) and cut 2 (right) lithography performance using the MHM and a FinCut approach at best dose and focus.

CDSEM images collected after cut 2 pattern transfer to SOG and subsequent BARC and PR strip showed that the 2 cuts could successfully be defined into the SOG memory layer. CDSEM images showing the pattern after LELE are presented in Figure 8.

Figure 8. CDSEM images showing the successful demonstration of cut 1 + cut 2 LELE on the MHM stack.

In conclusion, cut 1 and cut 2 LELE using a FINCUT approach and a MHM have been successfully demonstrated. These first results show the viability of using spin-on metal oxide hard mask as a potential candidate for etch stop layer in SAQP cut last scheme. Such materials are expected to help overlay improvement that is one of the key challenges in SAQP.

Conclusions Novel Spin-on AZ® MHM materials have

been developed for use in a variety of lithography applications. The stable TiOx, ZrOx, HfOx, WOx and AlOx formulations have excellent etch selectivity in fluorinated gases or oxidant gases depending on the type of the metals, material composition and processing conditions. TiOx and WOx have shown high etch selectivity in CF4 plasma against O2 plasma. High metal content ZrOx has demonstrated the best etch resistance in CF4 and O2 plasmas. The good filling applications of spin-on MHM materials for deep via or deep trench can be applied in dielectric patterning in devices, image reversal process and many other integration lithographic processes. The high К properties of the

J. Photopolym. Sci. Technol., Vol. 29, No. 1, 2016

66

Page 9: Journal of Photopolymer Science and Technology Technical

AZ® MHM materials are particularly useful to minimize the leakage current in next generation devices. The advantage of spin-on MHM wet-etch capability over silicon hardmask may yield potential cost-reduction during rework in IC manufacturing. TXRF studies indicate no metal contamination in etch and lithography tools. Finally, AZ® spin-on MHM materials are potential candidates for being integrated in IC processes for future technology nodes, such as etch stop layer in SAQP cut last scheme. The use of MHM for this application has the benefit of overlay improvement.

Acknowledgements The authors acknowledge EMD metrology

group as well as Yi Cao and YoungJun Her for their support.

References 1. Q. X. Jia, T. M. McCleskey, A. K. Burrell, Y.

Lin, G. E. Collis, H. Wang, A. D. Q. Li, S. R.Foltyn, Nat. Mater., 3 (2004) 529.

2. Y. Wei, M. Glodde, H. Yusuff, M. Lawson, S-Y. Chang, K-S. Yoon, C-H. Wu and M. Kelling.,Proc. SPIE, 7972 (2011) 79722L-1.

3. R. Zhang, A. G. Timko, J. Zook, Y. Wei, L.Pylneva, Y. Yi, C. Li, H. Wu, D. Rahman, D. S.Mckenzie, C. Anyadiegwu, P. Lu, M. Neisser,R. Dammel, R. Bradbury, T. Lee, Proc. SPIE,7273 (2009) 72732O.

4. H. Yao, S. Mullen, E. Wolfer, D. Rahman, C.Anyadiegwu, D. McKenzie, A. Dioses, J. Cho,M. Padmanaban, Proc. SPIE, 8682 (2013)86820S1.

5. M. Padmanaban, J. Cho, H. Yao, D. McKenzie,A. Doise, S. Mullen, E. Wolfer, K. Kurosawa, J.Photopolym. Sci. Technol., 26 (2013) 231.

6. H. Yao, S. Mullen, Z. Bogusz, J. Cho, M.Padmanaban, J. Photopolym. Sci. Technol., 25(2012) 647.

7. A. D. Dioses, V. Chada, E. Wolfer, E. Ng, S.Mullen, H. Yao, J. Cho, M. Padmanaban, Proc.SPIE, 9051 (2014) 90512B.

8. M. Padmanaban, J. Cho, T. Kudo, D. Rahman,H. Yao, D. McKenzie, A. Dioses, S. Mullen, E.Wolfer, K. Yamamoto, Y. Cao, Y. Her, J.Photopolym. Sci. Technol., 27 (2014) 503.

9. H. Yao, A. D. Dioses, S. Mullen, E. Wolfer, D.McKenzie, D. Rahman, J. Cho, M. Padmanaban,C. Petermann, Y. Her, Y. Cao, Proc. SPIE, 9425(2015) 942518

10. H. Yao, S. Mullen, Z. Bogusz, J. Cho, M.Padmanaban, J. Photopolym. Sci. Technol., 25(2012) 647.

11.D. J. Abdallah, J. Sagan, K. Kurosawa, J. Li, Y.Takano, Y. Shimizu, N. Shinde, T. Nagahara, T.Ishikawa, R. R. Dammel, Proc. SPIE, 7273,(2009) 72732K.

12.Q. J. Cai, Y. Gan, M. B. Chan-Park, H. B. Yang,Z. S. Lu, Q. L. Song, C. M. Li, Z. L. Dong, Appl.Phys. Lett., 93 (2008) 113304.

J. Photopolym. Sci. Technol., Vol. 29, No. 1, 2016

67