lattice semiconductor overview - upcdigsys.upc.es/ed/sed/unitats/unitat_1_2/lattice...copyright ©...

98
Copyright © Lattice Semiconductor 2006 Page 1 Bringing the Best Together Bringing the Best Together Lattice Semiconductor Corporation Design Software Intellectual Property Development Hardware Includes ispLEVER (FPGA/CPLD Devices) ispLeverCORE IP PAC-Designer (Power Manager and ispCLOCK Devices) Development Hardware / Evaluation Boards

Upload: others

Post on 06-Feb-2020

3 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 1

Bringing the Best TogetherBringing the Best Together

Lattice Semiconductor Corporation Design Software

Intellectual PropertyDevelopment Hardware

IncludesispLEVER (FPGA/CPLD Devices)

ispLeverCORE IPPAC-Designer (Power Manager and ispCLOCK Devices)

Development Hardware / Evaluation Boards

Page 2: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 2

Bringing the Best TogetherBringing the Best Together

ispLEVER Overview

♦Comprehensive Design Solutions♦Easy to Learn♦Familiar Flows♦All the Tools Required♦The Most Choice♦Best Performance♦Best Value♦Best Programming Tools

“The Simple Machine for Complex Designs”

Page 3: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 3

Bringing the Best TogetherBringing the Best Together

Agenda

♦ ispLEVER Software Feature Overview♦ ispLEVER Versus the Competition♦ ispLeverCORE Overview♦PAC-Designer Software Feature Overview♦Development Hardware♦How to Learn More

Page 4: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 4

Bringing the Best TogetherBringing the Best Together

ispLEVER – Digital Design Tools

Design Entry andSimulation

Design Entry andDesign Entry andSimulationSimulation

Logic SynthesisLogic SynthesisLogic Synthesis Design PlanningDesign PlanningDesign Planning

IP Cores /Modules

IP Cores /IP Cores /ModulesModules

MATLAB/Simulink

MATLAB/MATLAB/SimulinkSimulink

On-Chip Logic Analysis

/ Verification

OnOn--Chip Chip Logic Analysis Logic Analysis

/ Verification/ Verification

• ispLeverDSP60+ blockset functionsModelSim integrationispTRACY LA core integration

• ispTRACY Logic AnalyzerEmbedded LA coreLA GUI

• Design PlannerTiming ConstraintsPackage/Pin Floorplan ViewPath Tracer

• ispVM SystemISPSPIEmbedded uP supportAdditional Design support:

- Project Manager- Revision Control- HTML Reporting- HTML Help- CSV pin export- IBIS model export

Additional Design support:Additional Design support:-- Project ManagerProject Manager-- Revision ControlRevision Control-- HTML ReportingHTML Reporting-- HTML HelpHTML Help-- CSV pin exportCSV pin export-- IBIS model exportIBIS model export

• TRACE• Performance Analyst

PreferencesPreferencesPreferencesTiming-DrivenMap, Place, and Route

TimingTiming--DrivenDrivenMap, Place, and RouteMap, Place, and Route

Static TimingAnalysis

Static TimingStatic TimingAnalysisAnalysis

• IPexpressIP cores and modules

Power EstimationPower EstimationPower Estimation

Device ProgrammingDevice ProgrammingDevice Programming

• Power CalculatorPower estimationFree-form utilization or

design/VCD import

• Text Editor• Schematic Editor• Waveform Editor• Mentor Graphics ModelSim• 3rd Party Simulators

• Mentor Graphics Precision RTL• Synplicity Synplify

Page 5: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 5

Bringing the Best TogetherBringing the Best Together

ispLEVER Overview

♦ Two simple software configurations– ispLEVER-Starter is a modular download from Lattice’s website

After 6 months software is non-operational– ispLEVER includes all devices and 3rd party tools (Windows)

♦ $695 (US) List Price– Contact your local Lattice Distributor or visit the Lattice online store

for offers

♦ ispLEVER includes Industry Leading 3rd Party Tools– Competitors do NOT– Synplify and Precision RTL synthesis– ModelSim simulation

♦ ispLEVER includes MATLAB interface for DSP design– Competitors do NOT

♦ ispLEVER includes logic analyzer for on-chip debug♦ ispLEVER Available for Windows, Linux, and UNIX

Page 6: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 6

Bringing the Best TogetherBringing the Best Together

ispLEVER – Design Tools Products

Precision RTL Synthesis

Synplify Synthesis

ModelSim Simulation Eval Board

Starter Downloadable All

LatticeECP2-50,LatticeECP2-12,

All LatticeECAll LatticeECP,LatticeXP3, XP6

Yes Yes Node Locked

LS-HDL-BASE-PC-N All All All Yes Yes Yes Node Locked

LS-EC6-BASE-PC-N All All All Yes Yes Yes EC6-std Node Locked

LS-XP10-BASE-PC-N All All All Yes Yes Yes XP10-std Node Locked

LS-X2280-BASE-PC-N All All All Yes Yes Yes XO2280-std Node Locked

ispLEVER for Unix LS-ADV-WS-F All All All Floating

ispLEVER for Linux LS-ADV-LX-F All All All Floating

Floating License Upgrade for Windows LS-FLOAT-PC Floating

Included

License Type

ispLEVER for Windows

FPSCFPGA

SPLD, GDX/2, CPLD,

MachXOPart Number

Page 7: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 7

Bringing the Best TogetherBringing the Best Together

ispLEVER: Easy and Quick to Learn

♦Highlights– FPGA Design Guide

» Migrating Xilinx and Altera Designs» Synthesis Guidelines» Timing Closure Advice» On-Chip Debug Overview

– Numerous Design Tutorials– HTML-Based Help Topics with Hyperlinks to Lattice Website

♦Online Help– Organized by Lattice Device Category (FPGA, CPLD, etc.) and

Design Tool (Power Calculator, Design Planner, etc.)– FAST Search

Page 8: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 8

Bringing the Best TogetherBringing the Best Together

Rich Educational Materials

– Tutorials– FPGA Design Guide– Migration Advice– Hardware Feature How To Guides

It’s Easy to Get Started!

Page 9: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 9

Bringing the Best TogetherBringing the Best Together

Help, Tutorials, and Design Advice

♦ HTML-based Help♦ FPGA Design Guide♦ Tutorials

Index & Search

Web site links

Web site x-refs

Page 10: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 10

Bringing the Best TogetherBringing the Best Together

Documentation

♦ “How To” Help Topics on FPGA functions

– Memories – sysIO Buffers– sysCLOCK PLL– sysCONFIG– sysDSP Blocks– DDR– More…

Page 11: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 11

Bringing the Best TogetherBringing the Best Together

Software Overview

HDL Synthesis

Design Entry

Simulation and Analysis

In-system Logic Analysis

Advanced Implementation Tools

Device Programming

ispLEVER Project Management Features and Tools

Page 12: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 12

Bringing the Best TogetherBringing the Best Together

Project Management

♦Project Navigator– Source management and process control

♦Revision Control– Save and restore process state and related files

♦HTML Reporting and Help– Hyperlinked navigation and search of reports and help

♦Tcl/Tk Tools– Record and playback process sequences

Organize Design Files and Processes!

Page 13: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 13

Bringing the Best TogetherBringing the Best Together

ispLEVER – Project Navigator

Toolbar

Source Window

Dockable Windows

Revision Control

What’s New?

Process List

Reports

Page 14: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 14

Bringing the Best TogetherBringing the Best Together

Project Navigator: Project Wizard

♦Design setup <60s♦Wizard Choices:

– Name the project– Select project type– Select device– Select source files

♦When Finished…User Is Designing

Page 15: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 15

Bringing the Best TogetherBringing the Best Together

Design Entry Tools♦ IPexpress

– Browse, configure, and generate IP cores

♦ HDL Text Editor– Keyword highlighting for HDL and other native files

♦ MATLAB/Simulink DSP Blocks– DSP-function blocks tailored for Lattice devices

♦ I/O Assistant method– Flow enables early PCB handoff– Comprehensive design rule checks

♦ Block Modular Design method– Parallel development of sub-modules– Non-invasive incremental design

♦ Schematic Editor– Hierarchical block diagram editor– Integrated FPGA libraries

♦ ABEL for CPLD and SPLD

Page 16: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 16

Bringing the Best TogetherBringing the Best Together

IPexpress

♦ Cockpit for Parameterization of Lattice IP Core and Modules– View IP cores available for download– Configure and manage IP cores– Only device-compatible cores made visible

♦ Module Generation– Memories– Common digital macro functions– sysCLOCK PLL and DLL– DDR interfaces

♦ Evaluate in Hardware– Includes hardware timeout circuitry

♦ Very Easy For Users– No intimate architectural knowledge required

Page 17: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 17

Bringing the Best TogetherBringing the Best Together

ispLeverDSP MATLAB/Simulink Interface♦ The MathWorks

– MATLAB – de-facto standard language for math functions– Simulink – graphical design editor for DSP design – MathWorks Partner

♦ Lattice Simulink Blockset:– Common DSP function blocks– Total of 60+ blocks

♦ ispLeverDSP– Auto-generates HDL from Simulink design– Created HDL testbench matches Simulink testbench

♦ Key Features– Subsystem parameterization– Propagation of synthesis properties– Datatype propagation without simulation– Generate special modules using IPexpress– Pass parameters through hierarchy in Simulink– Tutorials and design examples

Simulink Graphical Editor

HDL Capture andSimulation

ispLeverDSP

LatticeECP2/ECPDesign Flow

Design Entry andSimulation

Design Entry andDesign Entry andSimulationSimulation

Logic SynthesisLogic SynthesisLogic Synthesis

MATLAB/Simulink

MATLAB/MATLAB/SimulinkSimulink

Page 18: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 18

Bringing the Best TogetherBringing the Best Together

I/O Assistant Method

♦ ispLEVER Process Flow for I/O Planning♦Supports Early PCB Handoff

– Select / Check / Lock Pins Before Design is Complete – Output to CSV Formatted File– Comprehensive Design Rule Checks for I/O Compatibility

before Map, Place, and Route

♦ I/O Module Generation for Complex Interfaces– LatticeECP2/ECP/EC/XP

» DDR_GENERIC » DDR_MEM

– LatticeSC» DDR» SDR» DQS

Page 19: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 19

Bringing the Best TogetherBringing the Best Together

Block Modular Design Method

♦ ispLEVER Process Flow for Modular Design

Design

MPAR

Verify

Design

MPAR

Verify

T

A

B

Resource budgeting

and timing

System Engineer Team member A

Team member B

System Engineer

AssemblyMPAR

Verify

Program

New budget

requests orincremental

verification

A

B

A

B

C

D

Page 20: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 20

Bringing the Best TogetherBringing the Best Together

ispLEVER Schematic Editor♦ Block Diagrams♦ Gate-Level FPGA Library♦ Import HDL Modules from RTL or IPexpress♦ VHDL or Verilog HDL Export

Schematic-related processes

HDL

Page 21: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 21

Bringing the Best TogetherBringing the Best Together

HDL Synthesis

Precision RTL

Synplify OEM

Synplify Pro

VHDL

Verilog

SystemVerilog

ConstraintsEditorPARIntegration

RTL/Technology View

DSP/RAM/ROM Inferencing

Industry’s Best FPGA Synthesis!

Page 22: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 22

Bringing the Best TogetherBringing the Best Together

Precision RTL Synthesis for Lattice

RTL view

P&R Integration

Critical path / Technology view

Page 23: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 23

Bringing the Best TogetherBringing the Best Together

Precision RTL Synthesis

♦Mentor’s Latest Synthesis Tool– Much better fMAX and area utilization than LeoSpec– Performance very close to Synplicity’s Synplify– More user friendly than LeoSpec

♦No Differences vs. Mentor’s Full Up System♦Fully Integrated in ispLEVER♦ Includes:

– Schematic viewer– RAM inferencing– ROM inferencing– Register retiming

Page 24: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 24

Bringing the Best TogetherBringing the Best Together

Synplify for Lattice

♦Synplicity’s RTL Synthesis Tool♦OEM Version for Lattice Included With ispLEVER♦Synplify Pro support now

Page 25: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 25

Bringing the Best TogetherBringing the Best Together

Implementation Tools♦Design Planner

– Spreadsheet ViewExcel-like UI to define timing and location Preferences

– Package ViewIdeal for I/O planning and PCB documentation

– Floorplan ViewView placement, critical paths, congestion, and groups

– Path TracerHighlight critical paths

– Pin CSV Export

♦EPIC Device Editor– Powerful device database editor for ECOs

♦Place & Route– Timing-driven map, place, and route– Easy to configure, GUI or command-line driven

Easy to Control and Optimize!

Page 26: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 26

Bringing the Best TogetherBringing the Best Together

Timing Closure Design Flow

♦ Timing Closure Tools Aid In Tuning Design Performance1. Set Controls To Achieve Timing Goals

» HDL Source» ispLEVER Preferences» Floorplan» MPAR» Static timing analysis

2. Analyze Critical Paths3. Modify Constraints and Options4. Iterate As Necessary

Page 27: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 27

Bringing the Best TogetherBringing the Best Together

ispLEVER Preference Language

♦ The Nexus Between Design and Performance♦ Flexible ASCII Language for Timing-Driven MPAR and STA♦ Graphical Spreadsheet-Like UI Entry Easy

– Assign Globals, Period/Frequency, I/O Timing, Timing Exceptions

FREQUENCY NET "pll_nclk" 133.000000 MHz ;

FREQUENCY NET "pll_mclk" 133.000000 MHz ;

FREQUENCY NET "clk_c" 133.000000 MHz ;

FREQUENCY PORT "clk" 133.000000 MHz ;

DEFINE PORT GROUP "group_dq" "ddr_dq_0"

"ddr_dq_1"

"ddr_dq_2"

"ddr_dq_3"

"ddr_dq_4"

"ddr_dq_5"

"ddr_dq_6"

"ddr_dq_7" ;

CLOCK_TO_OUT GROUP "group_dq" 10.000000 ns CLKPORT "clk" ;

PROHIBIT SITE "EBR_R19C10" ;

PROHIBIT SITE "EBR_R19C8" ;

PROHIBIT SITE "EBR_R19C6"

Page 28: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 28

Bringing the Best TogetherBringing the Best Together

Design Planner: Spreadsheet View

♦Define– I/O Types– Pin Assignments– Power Options– Signal Groups– Timing Objectives– Multi-Cycle and False Paths

♦Excel-Like Features– Sorting and Pin Type Filters– Context Sensitive Menus– Fill Up/Down Editing

♦CSV export– ASCII Data Reports– 3rd Party Schematic Symbols

Page 29: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 29

Bringing the Best TogetherBringing the Best Together

Design Planner: Floorplan & Package Views♦Graphical Design Viewer and Editor

– Used for Timing, Location, and Buffer Constraints– Used for pin assignment, grouping signals, placement,

critical path analysis, routing analysis, … more– Useful in performance optimization and timing closure

LogicalFloorplan

PhysicalFloorplanPackage

Path Tracer

Page 30: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 30

Bringing the Best TogetherBringing the Best Together

Place & Route Setup

Placement effort

Routing passes

Page 31: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 31

Bringing the Best TogetherBringing the Best Together

Register Retiming

♦ Balances Combinational Logic Across Register-Pairs

– Maximizes fMAX according to the constraints: tSU, tCO or fMAX in preference file (.prf).

♦ Typically Improves fMAX by 5-10%♦ Controllable Option for Users♦ “NOMERGE” Attribute in

Verilog/VHDL – Added to each IO register where

retiming is not desired

Page 32: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 32

Bringing the Best TogetherBringing the Best Together

Nodal Control for CPLD

♦Constraints Added to Critical Nodes to Increase fMAX– Decreases Levels of Logic Improves Fmax– Limits PTERM usage– Limits Fanin– Takes advantage of XOR function

Page 33: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 33

Bringing the Best TogetherBringing the Best Together

EPIC – Device Editor

♦ Make Changes to NCD♦ Place and route critical

components before PAR♦ Place and route critical

signals after PAR♦ Manually complete

unrouted signals♦ Perform Physical DRC♦ Examine TRACE results♦ Route buried signals to

unused pins

Tools for Device and Board Level Design Analysis

Page 34: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 34

Bringing the Best TogetherBringing the Best Together

Simulation and Analysis♦ ModelSim for Lattice

– VHDL/Verilog/SystemVerilog language simulation– Tight script-based integration– Pre-compiled Lattice device library resources– Mentor Graphics Questa support available now

♦ TRACE– Timing Report and Circuit Evaluator– Constraints-driven Static Timing Analysis (STA)– Supports multi-cycle relationships and timing exceptions

♦ Performance Analyst– Easy to use tabular view for static timing analysis

♦ Power Calculator– Estimate AC and DC power consumption– Simulation data (VCD) import– Configure power supply requirements

Verify Function, Timing, and Power!

Page 35: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 35

Bringing the Best TogetherBringing the Best Together

ispLEVER Design Flow – Verification

♦ Static Timing Analysis (STA)– Preference-driven STA– fMAX, tCO, tH– Multi-cycle– Timing exceptions

♦ Timing Simulation– Functional verification based on switching vectors to check for

timing violations

♦ Logic Analyzer– Embedded logic analyzer with external probe points and triggers to

capture internal (otherwise unobservable) signals

♦ Power Estimation– Accurately models device power consumption so that board power

budgets may be checked

♦ IBIS Modeling– Signal integrity models to perform board level evaluation of noise

and crosstalk generated on board level traces

Tools for Device and Board Level Design Analysis

Page 36: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 36

Bringing the Best TogetherBringing the Best Together

TRACE Report – Static Timing Analysis

♦ TRACE = Timing Report and Circuit Evaluator♦ Check Physical Design Delays♦ Compares Against Timing Preferences♦ Issues Timing Errors if Delays are Exceeded♦ Used in Pre-Route and Post-Route Design Phases♦ TRACE Timing Checkpoints May be Set

– This is done in Project Navigator– Saves processing time by checking before long processing runs

♦ TRACE Report Provides:– Design statistics– Timing errors and warnings and their associated nets– Number of paths analyzed and percent coverage– Paths that cannot be analyzed

Page 37: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 37

Bringing the Best TogetherBringing the Best Together

Performance Analyst – Static Timing Analyzer

♦Static Timing Analysis– Looks at path delays without

regard for signal switching – Facility for identifying

critical paths

♦Search Facility– Easily find specific signals

♦Filtering Features– Unwanted nets, signals, etc.,

are not displayed

♦Supports All DevicesSpreadsheet GUI

of STA results

Analysis options

Page 38: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 38

Bringing the Best TogetherBringing the Best Together

Mentor Graphics ModelSim – Timing Simulation♦Critical for Checking Design Function as Signals

Switch During Operation– Tests dynamic input vectors and validates against expected output– Critical for finding setup and hold time violations

♦ModelSim is the De-facto Standard for FPGA Design

Page 39: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 39

Bringing the Best TogetherBringing the Best Together

Power Calculator for FPGA

♦ Allows User to Determine Power Supply Requirements

♦ Toggle Rate Estimate Input♦ VCD Import♦ Total Power Summary Table♦ Power and Icc Views♦ XML Summary♦ Parameters:

– Airflow– Heatsink– Ambient temperature– Operating condition– Frequency

Page 40: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 40

Bringing the Best TogetherBringing the Best Together

ModelSim LATTICE

♦RTL and Gate-Level Simulation– VHDL and Verilog Language Support

» However, mixed languages NOT supported» Customer must buy mixed language support

♦De-facto Standard for FPGA Design♦ NO RTL Line Limit in the Lattice OEM Version

– Very good performance

♦ Included With ispLEVER (Windows) Package♦ ispLEVER Automates “Do” File Creation

– Makes the simulation easier to set up and use

Page 41: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 41

Bringing the Best TogetherBringing the Best Together

ModelSim Integration

Precompiled Verilog/VHDL

device libraries

Debugging views

Simulation-related processes

.do.doTest bench

Page 42: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 42

Bringing the Best TogetherBringing the Best Together

Device Programming♦Supports All Lattice Devices

– IEEE 1149.1 in-system programming– SPI Flash programming support– TransFR support– Multiple programming format support

» ISC BSDL/Data, SVF, JEDEC, IEEE 1532, etc.– Format translation, Universal File Writer (UFW)

♦ ispVM Embedded– Device programming via embedded processors– VME source code provided

♦Serial Vector Format (SVF) Debugger♦ATE Programming Vector Generator♦USB Gang Programming (DLxConnect)

Industry’s Best Programming Solution!

Page 43: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 43

Bringing the Best TogetherBringing the Best Together

In-System Logic Analyzer

♦Embedded Logic Analyzer Core– Configure trigger logic and trace buffer via IPexpress– Easy LA-to-logic connection GUI– Non-invasive (HDL), post-synthesis core integration (EDIF)

♦ ispTRACY Logic Analyzer– User defined triggers and capture modes– List or wave format analyzer GUI– Integration with ispVM

ROADMAP ITEM

Real-Time Logic Analysis!

Page 44: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 44

Bringing the Best TogetherBringing the Best Together

ispTRACY – Logic Analyzer

♦ Embedded Logic Analyzer IP core

♦ Logic Analyzer UI♦ Set triggers♦ Select capture modes♦ Enable external trigger input

and output♦ Run or stop the triggers♦ Waveform and List Display♦ Post Synthesis (EDIF)

integration of IP cores♦ Multiple device analysis♦ Improved signal markers♦ New TRST settings Trigger setup

Trace view

LA core setup

Page 45: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 45

Bringing the Best TogetherBringing the Best Together

CAE Tool Support

♦OEM Tools– ModelSim for Lattice– Synplify for Lattice– Precision RTL Synthesis for Lattice

♦Key 3rd Party Interface Options– MathWorks MATLAB/Simulink– Mentor Graphics Questa (Sim)– Cadence NC-Verilog (Sim)– Synopsys VCS (Sim)

♦3rd Party Options– Aldec Active-HDL (Capture and Sim)– Aldec Riviera (Sim)– Altium Nexar (Capture and Sim)

Outstanding 3rd Party Support!

Page 46: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 46

Bringing the Best TogetherBringing the Best Together

PCB/CAD Tool Support♦Lattice Model Resources

– IBIS (I/O Buffer Information Specification)» EDA tools: Mentor Graphics HyperLynx, Zuken CADSTAR, etc.» Signal integrity (SI) simulation (<1GHz)

– SPICE» Signal integrity (SI) simulation (>1GHz)» Requires factory NDA and Synposys HSPICE

– BSDL (Boundary Scan Description Language) » Boundary scan chain models describe devices in a JTAG-compatible

scan chain

♦ ispLEVER File Exports– Comma Separated Value (CSV) pin reports

» EDA tools: OrCAD, Protel, etc.

– Design-specific IBIS export– OrCAD Capture CPLD pin reports

Outstanding 3rd Party Support!

Page 47: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 47

Bringing the Best TogetherBringing the Best Together

IBIS Model Generation

♦Design Specific Signal Integrity Model– Uses a base “template” IBIS model for each family– Generates specific model of user’s design based on

resources used

♦Useful For Board Level Signal Integrity Verification

– Crosstalk– Noise– Signal loss over long traces

Page 48: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 48

Bringing the Best TogetherBringing the Best Together

ispLEVER 6.0 (Plus SP1) - What’s New?♦ LatticeECP2-50

+ ECP2-12 in SP1(Preliminary Timing)

♦ LatticeSC15, 25, and 80 (Contact Lattice)

♦ IPexpress Web Interface♦ Design Planner

– Easier and faster I/O planning– Advanced floorplanning and

preference editing

♦ Schematic Library For FPGA♦ DSP Design Enhancements

– Device support for: ECP2, SC/SCM, EC, XP, and XO

– MATLAB/Simulink reference designs

– Floating to Fixed point conversion tutorial

♦ Aldec, Cadence, and Synopsys simulators qualified

♦ Expanded Precision RTL and Synplify Controls

– TCL Format project files– Easier report access– Expanded tool controls

♦ CPLD Pin Export for OrCAD Capture

♦ Project Navigator: Top-Level HDL/Schematic Module Selector

♦ Text Editor: HDL Template Preview

♦ Power Calculator: Command line interface

– Updated in SP1 to improve accuracy

♦ Precision RTL Synthesis 2005C Update 2

♦ ModelSim LATTICE 6.1D♦ Synplify for Lattice 8.6A

Page 49: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 49

Bringing the Best TogetherBringing the Best Together

Agenda

♦ ispLEVER Software Feature Overview♦ ispLEVER Versus the Competition♦ ispLeverCORE Overview♦PAC-Designer Software Feature Overview♦Development Hardware♦How to Learn More

Page 50: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 50

Bringing the Best TogetherBringing the Best Together

Software Orientation

Project NavigatorLogicLockText EditorBlock EditorMegaWizard Plug-In MgrAssignment EditorFloorplan Editor

Simulator or 3RD partysimulation toolsWaveform Editor

PowerPlay

ispLEVER ISE Quartus II

Project NavigatorBlock Modular Design Text EditorSchematic EditorIPexpressDesign PlannerDesign Planner

ModelSim or 3RD

party simulation toolsWaveform Editor

Power Calculator

DesignEntry

Functional Simulation

Project NavigatorModular DesignHDL EditorECSCORE GeneratorConstraints EditorFloorplanner

ModelSim or 3RD partysimulation tools

XPowerPower Estimation

Page 51: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 51

Bringing the Best TogetherBringing the Best Together

Software Orientation

QII IntegratedSynthesis or 3rd party

Fitter

Timing Analyzer

AssemblerProgrammer

SignalTap II

Precision RTL orSynplify

NGDBUILDMAPPAR

TRACE andPerformance Analyst

BITGENispVM

ispTRACY

DesignImplementation

TimingAnalysis

Programming Configuration

On-Chip Debugging

XST or 3rd party

NGDBUILDMAPPAR

TRACE andTiming Improve. Wiz.

BITGENiMPACT

ChipScope

ispLEVER ISE Quartus II

Page 52: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 52

Bringing the Best TogetherBringing the Best Together

Migrating a Xilinx ISE User to ispLEVER

Module/IP Manager

Build Database

Map Design

Place & Route Design

Map TRACE Report /Place & Route TRACE Report

*.v/.vhd

module.v/.vhd

project.ngd

project.ncd

project.prf

project.tw1project.twr

Synthesis andTranslation

Logical toPhysical Mapping

Static TimingAnalysis

♦ Includes industry standard synthesis tools♦ Includes ModelSim♦ Many similarities: MAP, PAR, TRACE, and EPIC

Page 53: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 53

Bringing the Best TogetherBringing the Best Together

Migrating FPGA Designs

♦FPGA Design Guide– Chapter 2: FPGA Design for Altera Users– Chapter 3: FPGA Design for Xilinx Users

♦Replace Library♦Replace Specific Primitives in Source with

Equivalent Primitives♦Replace Modules♦Replace Constraints with Preferences♦Optimize HDL-Inferred Modules

Page 54: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 54

Bringing the Best TogetherBringing the Best Together

LatticeECP/2 – Performance Advantage!

• Average of benchmark designs using high effort• Tools used: ispLEVER 6.0.00.24, Synplify 8.5d(OEM); Xilinx ISE 8.1SP1, SynplifyPro 8.2;

Altera Quartus 5.0SP1, SynplifyPro 8.2• Command line: Map, Place, Route, and Timing Report• Machine used: Pentium4 2.8 GHz, 2 GB, Windows 2000• ECP2–7; EC-5; Spartan3-5; Cyclone2–6

Fmax Index

97

70

100

76

0

20

40

60

80

100

EC-5(130nm)

ECP2-7(90nm)

Spartan3-5(90nm)

Cyclone2-6(90nm)

LUT Index

9891

10099

0

20

40

60

80

100

EC-5 (130nm)

ECP2-7(90nm)

Spartan3-5(90nm)

Cyclone2-6(90nm)

Page 55: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 55

Bringing the Best TogetherBringing the Best Together

LatticeSC Family – Performance Advantage!

• Average of benchmark designs using high effort• Tools used: ispLEVER 6.0.00.24, Synplify 8.5d(OEM); Xilinx ISE 8.1SP1, SynplifyPro 8.2;

Altera Quartus 5.0SP1, SynplifyPro 8.2• Command line: Map, Place, Route, and Timing Report• Machine used: Pentium4 2.8 GHz, 2 GB, Windows 2000• ECP2–7; EC-5; Spartan3-5; Cyclone2–6

Fmax Index

9391

100

0

20

40

60

80

100

SC-7(90nm)

Virtex4-12(90nm)

Stratix2-4(90nm)

LUT Index

9891

100

0

20

40

60

80

100

SC-7(90nm)

Virtex4-12(90nm)

Stratix2-4(90nm)

Page 56: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 56

Bringing the Best TogetherBringing the Best Together

Conclusion

♦Complete Solutions for All Your Needs♦ Industry Leading Synthesis and Simulation Tools

– From Mentor Graphics and Synplify

♦Easy to Use and Easy to Learn♦Familiar Flow and File Extensions♦Unsurpassed Performance and Productivity♦ Industry’s Best Value

“The Simple Machine for Complex Designs”

Page 57: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 57

Bringing the Best TogetherBringing the Best Together

Agenda

♦ ispLEVER Software Feature Overview♦ ispLEVER Versus the Competition♦ ispLeverCORE Overview♦PAC-Designer Software Feature Overview♦Development Hardware♦How to Learn More

Page 58: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 58

Bringing the Best TogetherBringing the Best Together

IP Core Portfolio

♦ Downloadable Reference Designs– www.latticesemi.com

♦ ispLeverCORE IP– Lattice Semiconductor Corp

♦ ispLeverCORE Connection Partners– CAST– Digital Core Design (DCD)– Elliptic– Eureka Technologies– Northwest Logic

Page 59: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 59

Bringing the Best TogetherBringing the Best Together

ispLeverCORE IP

♦Available for Purchase from Lattice– Evaluation version available for download from the Lattice website– Netlist or Source available– Board or Site licenses

♦ Includes:– Description– Features summary– Configurations available– User’s Guide– Evaluation capability – Tech Note / Brochure (selected functions)

♦Where to Find the List of IP Available– Search screen:

http://www.latticesemi.com/products/intellectualproperty/

43 Unique Functions

Page 60: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 60

Bringing the Best TogetherBringing the Best Together

Reference Designs

♦Available for Download from Lattice Website– Free of charge

♦ Includes:– Description– Features summary– Configurations Available– User’s Guide– Source Code– Tech Note / Brochure (selected functions)– Demonstration design (selected functions)

♦Where to Find the List of Reference Designs– Search screen:

http://www.latticesemi.com/products/intellectualproperty/

28 Unique Functions

Page 61: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 61

Bringing the Best TogetherBringing the Best Together

ispLeverCORE Connections Partners

♦ 3rd Party Developed, Sold, and Supported♦Augments The Lattice Portfolio

– Adds functions for applications for which we have little experience or expertise

♦Leverage 3rd Party Expertise– Experts create and support complex functions

♦Faster Time to Market– 3rd Parties act as resources to port and support

Page 62: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 62

Bringing the Best TogetherBringing the Best Together

LatticeMico8 – 8-Bit Microcontroller

♦ Optimized For Lattice Leading-Edge Families– LatticeECP/EC, LatticeXP, and MachXO

♦ Targeted Towards Wide Variety Of Applications– Consumer, Computation, Communications, Medical, Industrial, Auto

♦ Innovative Open IP Core License♦ Key Architectural Features

– 8-Bit Data Path– 18-Bit Wide Instructions– Two Cycles Per Instruction– 32 General Purpose Registers– 32 Bytes Of Internal Scratch Pad Memory– Input/Output Is Performed Using Ports (Up To 256 Port Numbers)– Optional 256 Bytes Of External Scratch Pad RAM– Lattice UART Reference Design Peripheral

♦ Source, Documentation, Everything Needed for Design

Gold Medal2005

Readers AwardEmbedded

ControlEurope

Page 63: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 63

Bringing the Best TogetherBringing the Best Together

Agenda

♦ ispLEVER Software Feature Overview♦ ispLEVER Versus the Competition♦ ispLeverCORE Overview♦PAC-Designer Software Feature Overview♦Development Hardware♦How to Learn More

Page 64: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 64

Bringing the Best TogetherBringing the Best Together

PAC-Designer – Mixed Signal Design Tool♦ Complete Mixed Signal Design Tool♦ Self Contained Support for All ispPAC Devices:♦ Fully Integrated Design and Simulation Environment♦ High Level Logic Design Mechanism

Frequency Synthesizer

PAC-Designer GUI for ispPAC Power Manager

LogiBuilder for CompactPCI

Page 65: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 65

Bringing the Best TogetherBringing the Best Together

PAC-Designer – Design Tool Features

♦ Easy-to-use GUI♦ SPICE model export ♦ Design Entry

– LogiBuilder, Hierarchical design entry, High level design entry power management, Standard circuit generation library

♦ Design Utilities– Clock frequency synthesis, Graphical skew editing, Frequency calculators

♦ Simulation– Create stimulus graphically, Digital Waveform simulation, View both gain

and phase plots graphically, Simultaneously view inputs and outputs, Cross-hair cursors to directly read any gain or phase magnitude

♦ Facilitates Manufacturing Automation– Library of automation functions, Circuit board for different, Example

program and documentation

Page 66: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 66

Bringing the Best TogetherBringing the Best Together

Complete Power Management Design in 5 Steps

Lattice PAC-Designer Software1. Set Power Supply Threshold For Each

Analog Input

4. Verify Using Waveform Simulator

3. Complete Sequencing and Monitoring Design Using LogiBuilder

2. Set Power Supply Ramp Rate for Each FET Driver

5. In-System Program the Design Through JTAG

Page 67: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 67

Bringing the Best TogetherBringing the Best Together

Complete Clock Net Design in 5 Steps

1. Specify I/O Interface Type and

Divider

2. Synthesize M,N,V Counter From

Output Frequencies

3. Graphically Adjust Skew For

Each Clock Output

4. Download Design Configuration to

CLK5520 for Verification

5. Print Summary Report

Lattice PAC-Designer Software

Page 68: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 68

Bringing the Best TogetherBringing the Best Together

Agenda

♦ ispLEVER Software Feature Overview♦ ispLEVER Versus the Competition♦ ispLeverCORE Overview♦PAC-Designer Software Feature Overview♦Development Hardware♦How to Learn More

Page 69: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 69

Bringing the Best TogetherBringing the Best Together

Evaluation Boards

♦Wide Range of Evaluation Boards– LatticeSC / ECP2 / ECP-DSP / EC / XP / XPGA FPGAs– Lattice MachXO Crossover PLD– Lattice ORCA FPSCs– ispMACH 4000 / ispXPLD CPLDs– ispPAC / ispCLOCK

LatticeEC Standard Evaluation Board

LatticeEC Advanced Evaluation Board

ispCLOCK Evaluation Board

Page 70: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 70

Bringing the Best TogetherBringing the Best Together

LatticeECP2 Standard Evaluation Board

♦ Features:– 64-bit PCI/PCI-x edge connector and form factor– RS-232 & RJ-45 Connectors– Compact Flash Connector– On-board Flash configuration memory– Prototype area– Various LEDs, switches, connectors, headers, and on-board power

supply– Includes: ispDOWNLOAD Cable for device programming– Includes: AC power supply (International wall plug-in)

♦ 484 fpBGA Device– ECP2-50: LFE2-50E-6F484C

♦ Availability– Available Now

♦ On the web– User guide (including schematics), sample program.

Page 71: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 71

Bringing the Best TogetherBringing the Best Together

LatticeECP2 Standard Evaluation Board

LatticeECP2 FPGA

RS-232

Compact Flash Connector

64-bit PCI edge

Prototype area

8-bit switch and

LEDs7-segment

LEDOn-board

power supply

33.33 MHz OscillatorRJ-45

SMA connectors (optional)

Page 72: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 72

Bringing the Best TogetherBringing the Best Together

LatticeECP2 Advanced Evaluation Board

♦ Features:– Dual DDR2 SO DIMM memory sockets– 10/100/1G Ethernet PHY with RJ-45 interface– SPI4.2 TX/Rx Connectors– RS232 Driver/Receiver– USB Transceiver– LCD panel interface– TI EMIF Interface Connector– On-board SPI-Flash configuration memory– Various LEDs, switches, connectors, headers, SMAs, on-board

power supply, Lattice PAC-POWR1220AT8 Power Manager

♦ 672 ball device– LFE2-50E-6F672C

♦ Availability– Available Now

♦ On the web– User guide (including schematics), sample program.

Page 73: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 73

Bringing the Best TogetherBringing the Best Together

LatticeECP2 Advanced Evaluation Board

LatticeECP2 FPGA

RS-232

Compact Flash Connector

Prototype area

8-bit switch and

LEDs

On-board power supply

33.33 MHz Oscillator

RJ-45

E-net PHY

DDR SO-DIMMs

USB 7-segment LED

SPI4 connectors

Page 74: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 74

Bringing the Best TogetherBringing the Best Together

LatticeSC Communications Board♦ Features:

– 300-pin MSA transponder for SFI-4.1/XSBI applications– Molex VHDM interconnection for SPI4.2– 200-pin DDR SODIMM socket– SMA test points for high-speed SERDES (4 channels, 4 SMA each)

and Clock I/O– On-board power control– On-board oscillator– On-board clock management– Various high-speed layout structures– On-board flash configuration memory– Various LEDs, switches, connectors, headers, etc.

♦ 900 ball device– LatticeSC: LFSC3GA25E-6F900C

♦ Availability– Contact your Lattice Representative

♦ On the web– User Guide and general info

Page 75: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 75

Bringing the Best TogetherBringing the Best Together

LatticeSC Communications Board

Oscillator

SMA for clock I/O

On-board power control

300-pin MSA

DDR DIMM

LatticeSC FPGA

SMA for SERDES I/O

ispCLOCK and Power Manager

Page 76: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 76

Bringing the Best TogetherBringing the Best Together

LatticeSC Standard Board

♦ Features:– X8 PCIexpress edge connector / form factor– On-board DDR2 Memory– SMA Connectors for SERDES I/O, LVDS evaluation, and external

clock I/O – BNC edge connectors for Digital Video Interface – On-board Flash configuration memory – Various LEDs, switches, connectors, headers, and on-board power

control

♦ 1152 ball device– LatticeSC: LFSC3GA80E-6FF1152C

♦ Availability– 3Q 2006

♦ On the web– Related info coming soon!

Page 77: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 77

Bringing the Best TogetherBringing the Best Together

LatticeEC Standard Evaluation Board

♦ Features:– PCI connector – oscillator socket / ext clock – 1.2V & 3.3V on-board power (banana jacks also available)– SPI flash on-board– Pads for high-speed SMA connectors (not populated)

♦ 484-ball device– EC6: LFEC6E-L-EV – List Price = $149– EC20: LFEC20E-L-EV – List Price = $175– ECP20: LFECP20E-L-EV – List Price = $175

♦ Availability:– Available Now

♦ On the web– PCI evaluation bitstream available– Note there are both Rev A and Rev B PCBs = each has unique user

manual/schematics

Page 78: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 78

Bringing the Best TogetherBringing the Best Together

LatticeEC Standard Evaluation Board

PCI interface SPI Flash

SMA PadsClocks, PLL

LatticeECLFEC20E-5F484C

Power Circuitry

Prototype area

On-board oscillator

Page 79: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 79

Bringing the Best TogetherBringing the Best Together

LatticeEC Advanced Evaluation Board♦ Features:

– FCRAM on-board – DDR socket– SPI4.2 interface– RJ-45 interface– PCI slot – Multiple voltage planes– SPI Flash on-board– SMA connectors for high-speed signals / clocks – On-board/ext clock, etc.

♦ 672-ball device– EC20: LFEC20E-H-EV – List Price = $1295– ECP20: LFECP20E-H-EV – List Price = $1295

♦ Availability– Contact your Lattice Representative

♦ On the web– PCI evaluation bitstream available– FCRAM evaluation bitstream available– DDR evaluation bitstream available– User manual w/ schematics

Page 80: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 80

Bringing the Best TogetherBringing the Best Together

LatticeEC Advanced Evaluation Board

PCI interface

SPI 4.2Voltage plane selection

DDR Memory slotSPI FlashFCRAM

On-board oscillator

RJ-45 connector(CAT-5 cable)

Ext. Clock-in

PLL

LatticeECLFEC20E-5F672C

Power Circuitry

Prototype area

Page 81: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 81

Bringing the Best TogetherBringing the Best Together

LatticeXP Standard Evaluation Board

♦ Features:– Multiple power planes (external sources available)– On board oscillator– SMA pads for high-speed signaling (SMA pads not populated)– LEDs & switches for feedback & configuration– Generous prototype area– On-board power supply (Note: Initial Rev. A boards did not include

this)

♦ 256-ball device– XP10: LFXP10C-L-EV

♦ Availability– Rev B boards now including AC adapter and download cable.

♦ On the web– User manual, sample program.

Page 82: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 82

Bringing the Best TogetherBringing the Best Together

LatticeXP Standard Evaluation Board

Prototype area

Programming Interface

LEDs

SMA pads

On-board oscillator

LatticeXPLFXP10C-5F256C

Power Circuitry

Prototype area

Page 83: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 83

Bringing the Best TogetherBringing the Best Together

LatticeXP Advanced Evaluation Board

♦ Features:– LFXP10C-5F388C FPGA Device – DDR memory interface socket – 10/100/1G E-net MAC interface– PCI interface – On-board FCRAM – On-board oscillator – Various LEDs, SMA connections for external clocking, and on-board

power control

♦ 388-ball device– XP10: LFXP10C-H-EV – List Price = $1295

♦ Availability– Contact your Lattice Representative

♦ On the web– Evaluation bitstreams when available– User manual w/ schematics when available

Page 84: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 84

Bringing the Best TogetherBringing the Best Together

LatticeXP Advanced Evaluation Board

PCI interfaceVoltage plane selection

DDR Memory slot

FCRAM

On-board oscillator

E-net connector

Ext. Clock-in

E-net PHY

LatticeXPLFXP10C-5F388C Power Circuitry

Page 85: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 85

Bringing the Best TogetherBringing the Best Together

MachXO Starter Evaluation Board♦ Features

– MachXO device– Power input jack– 33MHz oscillator– Status LEDs, and 9 I/O LEDs– 8-bit input switch– Access to all device I/O– Prototyping area– Landing pads for off-board expansion connectors– AC adapter Included (International plugs!)– Download Cable Included: HW-DL-3C

♦ 100-TQFP device– MachXO-256: LCMXO256C-4T100C

♦ Availability– Shipping today, order via on-line store, or through your Distributor– Board + AC Adapter + Download Cable = $99, An incredible value!

♦ On the web– User Manual available for download, includes schematics.

Page 86: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 86

Bringing the Best TogetherBringing the Best Together

MachXO Starter Evaluation Board

Push-button Switches

Power input jack

MachXOLCMXO256C-4T100C

8-bit input switch

Device I/O access

On-board oscillator JTAG programming

9 I/O LEDs

Proto area

Off-board expansion

Page 87: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 87

Bringing the Best TogetherBringing the Best Together

MachXO Standard Evaluation Board♦ Features

– MachXO device– Power input jack– 3.3V, 1.2V and adjustable power planes– Adjustable oscillator– Lattice ispClock5610 Clock Manager: ispPAC-CLK5610V-01T48C – Status LEDs, and 8 I/O LEDs– 8-bit input switch– Access to all device I/O– Prototyping area – Landing pads for LCD display – AC Adapter included

♦ 256-BGA device– MachXO-640: LCMXO640C-4F256C– MachXO-2280: LCMXO2280C-4F256C

♦ Availability– MachXO-640 version: Available Now– MachXO-2280 version: Available Now

♦ On the web– User Manual and sample program

Page 88: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 88

Bringing the Best TogetherBringing the Best Together

MachXO Standard Evaluation Board

Push-button Switches

Power supply circuitry

MachXOLCMXO640C-4F256C

8-bit input switch

Device I/O access

On-board oscillator

JTAG programming

8 I/O LEDs

LCD Panel landing

ispClock 5610

Prototype area

Page 89: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 89

Bringing the Best TogetherBringing the Best Together

PAC – CLK5620 Evaluation Board

♦ Features:– General purpose header for user I/O– SMA connectors to selected high-speed I/O signals – LEDs for status indication, – Switches for added flexibility– JTAG interface

♦ 100-TQFP device– ispPAC-CLK5620V-01T100C (20 outputs, 100-pin TQFP package) – Board Only: PACCLK5620-EV – List Price = $225– Development Kit: PAC-SYSTEMCLK5620 – List Price = $295

» Kit Includes PAC-Designer software & Download cable.

♦ Availability– Available now

♦ On the web– Applications note including schematics– PAC-Designer Software

Page 90: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 90

Bringing the Best TogetherBringing the Best Together

PAC – CLK5620 Evaluation Board

ispClock 5620 Oscillator

JTAG interfacePower input

SMA connectors

Configuration DIP switches

SMA connectors

Page 91: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 91

Bringing the Best TogetherBringing the Best Together

PAC – POWR1208/P1 Evaluation boards♦ Features:

– Large prototype area– Access to all device I/O – LEDs for status indication – JTAG interface

♦ 100-TQFP device– ispPAC-POWR1208 (44-pin TQFP package) (also available with 1208P1)– Board Only: PACPOWR1208-EV – List Price = $99– Development Kit: PAC-SYSTEMPOWR1208 – List Price = $125

» Kit Includes PAC-Designer software & Download cable.

♦ Availability– 1208 and 1208P1 boards Available now

♦ On the web– Applications note including schematics– PAC-Designer Software

Page 92: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 92

Bringing the Best TogetherBringing the Best Together

PAC-POWR1208/P1 Evaluation Board

PAC-POWR1208P1 Programming interface

Prototype area

Power input

I/O access

Page 93: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 93

Bringing the Best TogetherBringing the Best Together

Programming Hardware / Cables

♦Parallel and USB Compatible Programming Cables♦Model 300 Desktop Programmers♦Programming Adapters

Page 94: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 94

Bringing the Best TogetherBringing the Best Together

Agenda

♦ ispLEVER Software Feature Overview♦ ispLEVER Versus the Competition♦ ispLeverCORE Overview♦PAC-Designer Software Feature Overview♦Development Hardware♦How to Learn More

Page 95: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 95

Bringing the Best TogetherBringing the Best Together

Web Site Content

Link to…

Link t

o…

Page 96: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 96

Bringing the Best TogetherBringing the Best Together

ispLEVER Flash Demo

♦Self-Running Narrative and Demo Movies– Updated each release– Getting Started, Best-of-Class Tools, and a Familiar Design Flow

Movie clips

Audio

Page 97: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 97

Bringing the Best TogetherBringing the Best Together

On-Demand Webcasts♦ Software and IP Design Methods and Techniques♦ Attend Live Broadcasts and Interact with Presenter♦ Hear Archives Anytime

Lecture

Audio

Page 98: Lattice Semiconductor Overview - UPCdigsys.upc.es/ed/SED/unitats/unitat_1_2/Lattice...Copyright © Lattice Semiconductor 2006 Page 4 Bringing the Best Together ispLEVER – Digital

Copyright © Lattice Semiconductor 2006Page 98

Bringing the Best TogetherBringing the Best Together

Conclusion

♦ Complete Solutions for All Your Needs♦ Powerful / Full Featured Solutions♦ Easy to Learn and Use♦ Only Lattice’s ispLEVER Design Tools Offer:

– Leading 3rd party tools– Performance leadership– The best software prices and value

♦ PAC-Designer Mixed Signal Design Solutions♦ A Complete Library of ispLeverCORE IP♦ Complete Programming Hardware & Software♦ Evaluation Boards For

– FPGA / FPSC– CPLD– Mixed Signal Devices