microscopy of semiconducting materials 2007

496
SPRINGER PROCEEDINGS IN PHYSICS 120

Upload: others

Post on 11-Sep-2021

10 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Microscopy of Semiconducting Materials 2007

SPRINGER PROCEEDINGS IN PHYSICS 120

SPRINGER PROCEEDINGS IN PHYSICS

96 Electromagnetics in a Complex World Editors IM Pinto V Galdi and LB Felsen

97 Fields Networks Computational Methods and Systems in Modern Electrodynamics

A Tribute to Leopold B Felsen Editors P Russer and M Mongiardo

98 Particle Physics and the Universe Proceedings of the 9th Adriatic Meeting Sept 2003 Dubrovnik Editors J Trampetic and JWess

99 Cosmic Explosions On the 10th Anniversary of SN1993J (IAU Colloquium 192) Editors J M Marcaide and KWWeiler

100 Lasers in the Conservation of Artworks LACONA V Proceedings Osnabruumlck Germany Sept 15ndash18 2003 Editors K Dickmann C Fotakis

and JF Asmus

101 Progress in Turbulence Editors J Peinke A Kittel S Barth

and M Oberlack

102 Adaptive Optics for Industry and Medicine Proceedings of the 4th International Workshop Editor U Wittrock

103 Computer Simulation Studies in Condensed-Matter Physics XVII

Editors DP Landau SP Lewis and H-B Schuumlttler

104 Complex Computing-Networks Brain-like and Wave-oriented Electrodynamic Algorithms Editors IC Goumlknar and L Sevgi

105 Computer Simulation Studiesin Condensed-Matter Physics XVIII

Editors DP Landau SP Lewis and H-B Schuumlttler

106 Modern Trends in Geomechanics Editors W Wu and HS Yu

107 Microscopy of Semiconducting Materials Proceedings of the 14th Conference April 11ndash14 2005 Oxford UK Editors AG Cullis and JL Hutchison

108 Hadron Collider Physics 2005 Proceedings of the 1st Hadron Collider Physics Symposium Les Diablerets Switzerland July 4ndash9 2005 Editors M Campanelli A Clark and X Wu

109 Progress in Turbulence 2 Proceedings of the iTi Conference in Turbulence 2005 Editors M Oberlack et al

110 Nonequilibrium Carrier Dynamics in Semiconductors

Proceedings of the 14th International Conference July 25ndash29 2005 Chicago USA Editors M Saraniti U Ravaioli

111 Vibration Problems ICOVP 2005 Editors E Inan A Kiris

112 Experimental Unsaturated Soil Mechanics Editor T Schanz

113 Theoretical and Numerical Unsaturated Soil Mechanics

Editor T chanz

114 Advances in Medical Engineering Editor Thorsten M Burzug

115 X-Ray Lasers 2006 Proceedings of the 10th International

Conference August 20ndash25 2006 Berlin Germany

Editors PV Nickles KA Januelewicz

116 Lasers in the Conservation of Artworks LACONA VI Proceedings Vienna Austria

September 21ndash25 2005Editors J Nimmrichter W Kautek M Schreiner

117 Advances in Turbulence XI Proceedings of the 11th EUROMECH

European Turbulence Conference June 25-28 2007 Porto Portugal

Editors J M L M Palma A Silva Lopes

118 The Standard Model and Beyond Proceedings of the 2nd Int Summer School

in High Energy Physics Mugla 25ndash30 September 2006

Editors T Aliev NK Pak M Serin

119 Narrow Gap Semiconductors 2007 Proceedings of the 13th International

Conference 8-12 July 2007 Guildford UKEditors BN Murdin SK Clowes

120 Microscopy of Semiconducting Materials 2007

Proceedings of the 15th Conference 2-5 April 2007 Cambridge UKEditors AG Cullis PA Midgley

Volumes 69ndash95 are listed at the end of the book

AG Cullis PA Midgley(Eds)

Microscopy of Semiconducting Materials 2007Proceedings of the15th Conference 2ndash5 April 2007 Cambridge UK

Prof AG CullisDepartment of Electronic and Electrical EngineeringUniversity of Sheffi eldMappin StreetSheffi eld S1 3JDUK

Prof PA MidgleyDepartment of Materials Science and MetallurgyUniversity of CambridgePembroke StreetCambridge CB2 3QZUK

Library of Congress Control Number 2008929346

ISSN 0930-8989ISBN-13 978-1-4020-8614-4 (HB)ISBN-13 978-1-4020-8615-1 (e-book)

Published by SpringerPO Box 17 3300 AA Dordrecht The NetherlandsIn association withCanopus Publishing Limited27 Queen Square Bristol BS1 4ND UK

wwwspringercom and wwwcanopusbookscom

All Rights Reservedcopy 2008 Springer Science+Business Media BVNo part of this work may be reproduced stored in a retrieval system or transmitted in any form or by any means electronic mechanical photocopying microfi lming recording or otherwise without written permission from the Publisher with the exception of any material supplied specifi cally for the purpose of being entered and executed on a computer system for exclusive use by the purchaser of the work

SPRINGER PROCEEDINGS IN PHYSICS

69 Evolution of Dynamical Structuresin Complex Systems

Editors R Friedrich and AWunderlin

70 Computational Approaches in Condensed-Matter Physics

Editors S Miyashita M Imada and H Takayama

71 Amorphous and Crystalline Silicon Carbide IV Editors CY Yang MM Rahman

and GL Harris

72 Computer Simulation Studiesin Condensed-Matter Physics IV

Editors DP Landau KK Mon and H-B Schuumlttler

73 Surface Science Principles and Applications Editors RF Howe RN Lamb

and K Wandelt

74 Time-Resolved Vibrational Spectroscopy VI Editors A Lau F Siebert and WWerncke

75 Computer Simulation Studiesin Condensed-Matter Physics V

Editors DP Landau KK Monand H-B Schuumlttler

76 Computer Simulation Studiesin Condensed-Matter Physics VI

Editors DP Landau KK Monand H-B Schuumlttler

77 Quantum Optics VI Editors DFWalls and JD Harvey

78 Computer Simulation Studiesin Condensed-Matter Physics VII

Editors DP Landau KK Monand H-B Schuumlttler

79 Nonlinear Dynamics and Pattern Formationin Semiconductors and Devices

Editor F-J Niedernostheide

80 Computer Simulation Studiesin Condensed-Matter Physics VIII

Editors DP Landau KK Monand H-B Schuumlttler

81 Materials andMeasurements in Molecular Electronics

Editors K Kajimura and S Kuroda

82 Computer Simulation Studies in Condensed-Matter Physics IX

Editors DP Landau KK Monand H-B Schuumlttler

83 Computer Simulation Studiesin Condensed-Matter Physics X

Editors DP Landau KK Monand H-B Schuumlttler

84 Computer Simulation Studiesin Condensed-Matter Physics XI

Editors DP Landau and H-B Schuumlttler

85 Computer Simulation Studiesin Condensed-Matter Physics XII

Editors DP Landau SP Lewisand H-B Schuumlttler

86 Computer Simulation Studiesin Condensed-Matter Physics XIII

Editors DP Landau SP Lewisand H-B Schuumlttler

87 Proceedings of the 25th International Conference on the Physics of Semiconductors

Editors N Miura and T Ando

88 Starburst Galaxies Near and Far Editors L Tacconi and D Lutz

89 Computer Simulation Studiesin Condensed-Matter Physics XIV

Editors DP Landau SP Lewisand H-B Schuumlttler

90 Computer Simulation Studiesin Condensed-Matter Physics XV

Editors DP Landau SP Lewisand H-B Schuumlttler

91 The Dense Interstellar Medium in Galaxies Editors S Pfalzner C Kramer C Straubmeier and A Heithausen

92 Beyond the Standard Model 2003 Editor HV Klapdor-Kleingrothaus

93 ISSMGE Experimental Studies Editor T Schanz

94 ISSMGE Numerical and Theoretical Approaches Editor T Schanz

95 Computer Simulation Studies in Condensed-Matter Physics XVI

Editors DP Landau SP Lewis and H-B Schuumlttler

Preface This volume contains invited and contributed papers presented at the conference on lsquoMicroscopy of Semiconducting Materialsrsquo held at the University of Cambridge on 2-5 April 2007 The event was organised under the auspices of the Electron Microscopy and Analysis Group of the Institute of Physics the Royal Microscopical Society and the Materials Research Society This international conference was the fifteenth in the series that focuses on the most recent world-wide advances in semiconductor studies carried out by all forms of microscopy and it attracted delegates from more than 20 countries With the relentless evolution of advanced electronic devices into ever smaller nanoscale structures the problem relating to the means by which device features can be visualised on this scale becomes more acute This applies not only to the imaging of the general form of layers that may be present but also to the determination of composition and doping variations that are employed In view of this scenario the vital importance of transmission and scanning electron microscopy together with X-ray and scanning probe approaches can immediately be seen The conference featured developments in high resolution microscopy and nanoanalysis including the exploitation of recently introduced aberration-corrected electron microscopes All associated imaging and analytical techniques were demonstrated in studies including those of self-organised and quantum domain structures Many analytical techniques based upon scanning probe microscopies were also much in evidence together with more general applications of X-ray diffraction methods The materials subjected to investigation covered the complete range of elemental and compound semiconductors often in epitaxial form with some emphasis on both device-processed materials and finished devices at the state-of-the-art This Proceedings volume presents work described in all study areas Every manuscript submitted for publication in this Proceedings volume has been reviewed by at least two referees and modified accordingly The editors are very grateful to the following colleagues for their rapid and meticulous reviewing work

A Andreev J Arbiol I Arslan J S Barnard H Bender N Browning T J Bullough A Cavallini M Dahne C Dieker M Fay M Galtrey M Gass V Grillo A Harrison C J Humphreys Z Liliental-Weber H Kirmse M MacKenzie J Mardinly G Moldovan R T Murray R Oliver B Pecz F Priolo A Rocher A Rosenauer F M Ross J-L Rouviere O Schmidt M Schowalter B Sieber J Sloan E SpieckerV Stolojan P Sutter K Tillmann C Trager-Cowan T Walther E Yakimov N Zakharov

It is a pleasure to thank Claire Garland and Jodie Cartwright of the Institute of Physics for their expert assistance in organising the present conference Also we are very grateful to Erica Bithell Jo Sharp and Edmund Ward of Cambridge University for editorial assistance in preparing papers for printing in this Proceedings volume

A G Cullis P A Midgley

December 2007

Contents Preface v Part I Wide Band-Gap Nitrides The Puzzle of Exciton Localisation in GaN-Based Structures TEM AFM and 3D APFIM Hold the Key C J Humphreys M J Galtrey N van der Laak R A Oliver M J Kappers J S Barnard D M Graham and P Dawson 3 Elastic Strain Distribution in GaNAlN Quantum Dot Structures Theory and Experiment A Andreev E Sarigiannidou E Monroy B Daudin and J Rouviegravere 13 Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands Using Transmission Electron Microscopy A Pretorius K Muumlller T Yamaguchi R Kroumlger D Hommel and A Rosenauer 17 Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer Q Wang T Wang P J Parbrook J Bai and A G Cullis 21 Strain Relaxation in an AlGaNGaN Quantum Well System P D Cherns C McAleese M J Kappers and C J Humphreys 25 Characterisation of InxAl1-xN Epilayers Grown on GaN T C Sadler M J Kappers M E Vickers and R A Oliver 29 Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers J Bai T Wang P J Parbrook K B Lee Q Wang and A G Cullis 33 InN Nanorods and Epilayers Similarities and Differences Z Liliental-Weber O Kryliouk H J Park J Mangum T Anderson and W Schaff 37 Residual Strain Variations in MBE-Grown InN Thin Films A Delimitis Ph Komninou J Arvanitidis M Katsikini S-L Sahonta E Dimakis S Ves E C Paloura F Pinakidou G Nouet A Georgakilas and Th Karakostas 41 Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy A Mogilatenko W Neumann E Richter M Weyers B Velickov and R Uecker 45 Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire R Kroumlger T Paskova and A Rosenauer 49 Composite Substrates for GaN Growth B Peacutecz L Toacuteth L Dobos P Bove H Lahregraveche and R Langer 53 GaN Layers Grown by MOCVD on Composite SiC Substrate L Toacuteth L Dobos B Peacutecz M A di Forte Poisson and R Langer 57

An Initial Exploration of GaN Grown on a Ge-(111) Substrate Y Zhang C McAleese H Xiu C J Humphreys R R Lieten S Degroote and G Borghs 61 Electron Microscopy Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE G P Dimitrakopulos Ph Komninou Th Kehagias A Delimitis J Kioseoglou S-L Sahonta E Iliopoulos A Georgakilas and Th Karakostas 65 The Effect of Silane Treatment of AlxGa1-xN Surfaces N Ketteniss M J Kappers C McAleese and R A Oliver 69 Quantitative Analysis of Deformation Around a Nanoindentation in GaN by STEM Diffraction K K McLaughlin and W J Clegg 73 Microstructure of (GaFe)N Films Grown by Metal-Organic Chemical Vapour Deposition T Li C Simbrunner A Navarro-Quezada M Wegscheider M Quast and A Bonanni 77 Nanostructures on GaN by Microsphere Lithography W N Ng K N Hui X H Wang C H Leung P T Lai and H W Choi 81 On the Nature of Eu in Eu-Doped GaN J S Barnard and Y S Beyer 85 Part II General Heteroepitaxial Layers Recent Studies of Heteroepitaxial Systems David J Smith 91 Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple Quantum Wells E Luna A Trampert E-M Pavelescu and M Pessa 99 Nanoscale Characterisation of MBE-Grown GaMnN(001) GaAs M W Fay Y Han S V Novikov K W Edmonds B L Gallagher R P Campion C R Staddon T Foxon and P D Brown 103 Antiphase Boundaries in GaAsGe and GaPSi I Neacutemeth B Kunert W Stolz and K Volz 107 Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures by CBED Analysis and FEM Calculations E Ruh G Mussler E Muumlller and D Gruumltzmacher 111 Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er N D Zakharov P Werner V I Vdovin D V Denisov N A Sobolev and U Goumlsele 115 Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si Ch Dieker J W Seo A Guiller M Sousa J-P Locquet J Fompeyrine Y Panayiotatos A Sotiropoulos K Argyropoulos and A Dimoulas 119

Analysis of GeMn Magnetic Semiconductor Layers by XPS and Auger Electron SpectroscopyMicroscopy Yu A Danilov E S Demidov S Yu Zubkov V P Lesnikov G A Maximov D E Nikolitchev and V V Podolskii 123 Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) Y K Sun D Cherns P Heard R P Doherty Y Sun and M N R Ashfold 127 Part III High Resolution Microscopy and Nanoanalysis Progress in Aberration-Corrected High-Resolution Transmission Electron Microscopy of Crystalline Solids K Tillmann J Barthel L Houben C L Jia M Lentzen A Thust and K Urban 133 Strain Measurements in SiGe Devices by Aberration-Corrected High Resolution Electron Microscopy F Huumle M J Hyumltch J-M Hartmann Y Bogumilowicz and A Claverie 149 (S)TEM Characterisation of InAsMgOCo Multilayers D A Eustace D W McComb L Buckle P Buckle T Ashley L J Singh Z H Barber A M Gilbertson W R Branford S K Clowes and L F Cohen 153 Core Composition of Partial Dislocations in N-Doped 4H-SiC Determined by TEM Techniques Dislocation Core Reconstruction and Image Contrast Analysis Michaeumll Texier Maryse Lancin Gabrielle Regula and Bernard Pichaud 157 Three-Dimensional Atom Probe Characterisation of III-Nitride Quantum Well Structures Mark J Galtrey Rachel A Oliver Menno J Kappers Colin J Humphreys Debbie J Stokes Peter H Clifton and Alfred Cerezo 161 Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging V Grillo and E Carlino 165 STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging A Rosenauer and M Schowalter 169 On the Role of Specimen Thickness in Chemistry Quantification by HAADF V Grillo E Carlino G Ciasca M De Seta and C Ferrari 173 Accurate and Fast Multislice Simulations of HAADF Image Contrast by Parallel Computing E Carlino V Grillo and P Palazzari 177 Z-contrast STEM 3D Information by Abel transform in Systems with Rotational Symmetry V Grillo E Carlino L Felisari L Manna and L Carbone 181 Quantifying the Top-Bottom Effect in Energy-Dispersive X-Ray Spectroscopy of Nanostructures Embedded in Thin Films T Walther 185

Effect of Temperature on the 002 Electron Structure Factor and its Consequence for the Quantification of Ternary and Quaternary III-V Crystals T J Titantah D Lamoen M Schowalter and A Rosenauer 189 Calculation of Debye-Waller Temperature Factors for GaAs M Schowalter A Rosenauer J T Titantah and D Lamoen 195 The Use of the Geometrical Phase Analysis to Measure Strain in Nearly Periodic Images J-L Rouviere 199 Cross Section High Resolution Imaging of Polymer-Based Materials D Delaportas P Aden C Muckle S Yeates R Treutlein S Haq and I Alexandrou 203 Part IV Self-Organised and Quantum Domain Structures Direct Observation of Carbon Nanotube Growth by Environmental Transmission Electron Microscopy H Yoshida T Uchiyama and S Takeda 209 Band-Gap Modification Induced in HgTe by Dimensional Constraint in Carbon Nanotubes Effect of Nanotube Diameter on Microstructure J Sloan R Carter A Vlandas R R Meyer Z Liu K Suenaga P J D Lindan G Lin J Harding E Flahaut C Giusca S R P Silva J L Hutchison and A I Kirkland 213 Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wires M I den Hertog J L Rouviere F Dhalluin P Gentile P Ferret C Ternon and T Baron 217 Electron Microscopy Analysis of AlGaNGaN Nanowires Grown by Catalyst-Assisted Molecular Beam Epitaxy L Lari R T Murray M Gass T J Bullough P R Chalker C Chegraveze L Geelhaar and H Riechert 221 Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 Th Kehagias Ph Komninou G P Dimitrakopulos S-L Sahonta C Chegraveze L Geelhaar H Riechert and Th Karakostas 225 Structural Characterisation of GaP lt111gtB Nanowires by HRTEM L S Karlsson J Johansson C P T Svensson T Maringrtensson B A Wacaser J-O Malm K Deppert W Seifert L Samuelson and L R Wallenberg 229 Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs H Kirmse W Neumann S Kret P Dłużewski E Janik G Karczewski and T Wojtowicz 233 TEM Characterization of ZnO Nanorods R Divakar J Basu and C B Carter 237 Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy L Lazzarini G Salviati M Zha and D Calestani 241 Determining Buried Wetting Layer Thicknesses to Sub-Monolayer Precision by Linear Regression Analysis of Series of Spectra T Walther 247

Transmission Electron Microscopy Study of Sb-Based Quantum Dots B Satpati V Tasco N Deguffroy A N Baranov E Tournieacute and A Trampert 251 TEM Characterization of Self-Organized (InGa)N Quantum Dots H Kirmse I Haumlusler W Neumann A Strittmatter L Reiszligmann and D Bimberg 255 Investigating the Capping of InAs Quantum Dots by InGaAs S L Liew T Walther S Irsen M Hopkinson M S Skolnick and A G Cullis 259 Comparing InGaAs and GaAsSb Metamorphic Buffer Layers on GaAs Substrates for InAs Quantum Dots Emitting at 155microm Y Qiu T Walther H Y Liu C Y Jin M Hopkinson and A G Cullis 263 Structural and Compositional Properties of Strain-Symmetrized SiGeSi Heterostructures I M Ross M Gass T Walther A Bleloch A G Cullis L Lever Z Ikonic M Califano R W Kelsall J Zhang and D J Paul 269 EELS and STEM Assessment of Composition Modulation in InAlAs Tensile Buffer Layers of InGaAsInAlAs(100)InP Structures S Estradeacute J Arbiol and F Peiroacute 273 In situ Observation of the Growth of Tungsten Oxide Nanostructures D C Cox V Stolojan G Chen and S R Silva 277 Gas Sensing Properties of Vapour-Deposited Tungsten Oxide Nanostructures Y Tison V Stolojan P C P Watts D C Cox G Y Chen and S R P Silva 281 Morphology of Semiconductor Nanoparticles J Deneen Nowak and C Barry Carter 285 Part V Processed Silicon and Other Device Materials Light Emission from Si Nanostructures F Priolo G Franzograve A Irrera F Iacona S Boninelli M Miritello A Canino C Bongiorno C Spinella D Sanfilippo G Di Stefano A Piana and G Fallica 291 Hydrogenated Nanocrystalline Silicon Investigated by Conductive Atomic Force Microscopy A Cavallini D Cavalcoli M Rossi A Tomasi B Pichaud M Texier A Le Donne S Pizzini D Chrastina and G Isella 301 Structural Characterization of Nanocrystalline Silicon Layers Grown by LEPECVD for Optoelectronic Applications M Texier M Acciarri S Binetti D Cavalcoli A Cavallini D Chrastina G Isella M Lancin A Le Donne A Tomasi B Pichaud S Pizzini and M Rossi 305 Electron Tomography of Mesoporous Silica for Gas Sensor Applications E Rossinyol F Bohils F Cardoso H Montoacuten M Roldaacuten M Rosado A Saacutenchez-Chardi O Castell and M D Baroacute 309 Electron Energy-Loss Spectrum Imaging of an HfSiO High-k Dielectric Stack with a TaN Metal Gate M MacKenzie A J Craven D W McComb C M McGilvery S McFadzean and S De Gendt 313

Elemental Profiling of III-V MOSFET High-k Dielectric Gate Stacks Using EELS Spectrum Imaging P Longo A J Craven J Scott M Holland and I Thayne 317 Low-Energy Ion-Beam-Synthesis of Semiconductor Nanocrystals in Very Thin High-k Layers for Memory Applications C Bonafos S Schamm A Mouti P Dimitrakis V Ioannou-Sougleridis G Ben Assayag B Schmidt J Becker and P Normand 321 Nucleation Crystallisation and Phase Segregation in HfO2 and HfSiO C M McGilvery S McFadzean M MacKenzie F T Docherty A J Craven D W McComb and S De Gendt 325 High Accuracy and Resolution for the Separation of Nickel Silicide Polymorphs by Improved Analyses of EELS Spectra K Asayama N Hashikawa M Kawakami and H Mori 329 TEM Study of Ytterbium Silicide Thin Films J Deneen Nowak S H Song S A Campbell and C B Carter 333 TEM Study of the Silicidation Process in PtSi and IrSi Structures A Łaszcz J Ratajczak A Czerwinski J Kątcki N Breil G Larrieu and E Dubois 337 The Dielectric Properties of Co-Implanted SiO2 Investigated Using Spatially-Resolved EELS V Stolojan W Tsang and S R P Silva 341 Removing Relativistic Effects in EELS for the Determination of Optical Properties M Stoumlger-Pollach A Laister P Schattschneider P Potapov and H J Engelmann 345 Analytical STEM Comparative Study of the Incorporation of Covalent (Ge) or Heterovalent (As) Atoms in Silicon Crystal R Pantel L Clement L Rubaldo G Borot and D Dutartre 349 Lattice Location Determination of Ge in SiC by ALCHEMI T Kups M Voelskow W Skorupa M Soueidan G Ferro and J Pezoldt 353 Part VI Device and Doping Studies Moores Law and its Effect on Microscopy in the Semiconductor Industry John Mardinly 361 Tomographic Analysis of a FinFET Structure O Richard A Kalio H Bender and E Sourty 375 3-D Characterisation of the Electrostatic Potential in an Electrically Biased Silicon Device A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley 379 Three-Dimensional Field Models for Reverse Biased P-N Junctions F Ubaldi G Pozzi P F Fazzini and M Beleggia 383

Automated Quantification of Dimensions on Tomographic Reconstructions of Semiconductor Devices A Kalio O Richard E Sourty and H Bender 387 Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography D Cooper A C Twitchett P A Midgley and R E Dunin-Borkowski 391 Observation of Dopant Distribution in Compound Semiconductors Using Off-axis Electron Holography H Sasaki S Ootomo T Matsuda K Yamamoto and T Hirayama 395 Dopant Profiling of Silicon Calibration Specimens by Off-Axis Electron Holography D Cooper R Truche F Laugier F Bertin and A Chabli 399 Novel Approach for Visualizing Implants in Deep Submicron Microelectronic Devices Using Dopant Selective Etching and Low keV SEM Y Chakk I Vidoshinsky and R Razilov 403 Quantitative Dopant Profiling in the SEM Including Surface States K W A Chee C Rodenburg and C J Humphreys 407 On the Asymmetric Splitting of CBED HOLZ Lines under the Gate of Recessed SiGe SourceDrain Transistors A Benedetti and H Bender 411 CBED and FE Study of Thin Foil Relaxation in Cross-Section Samples of SiSi1-xGex and SiSi1-xGexSi Heterostructures L Alexandre G Jurczak C Alfonso W Saikaly C Grosjean A Charaiuml and J Thibault 415 Stress and Strain Measurement in Stressed Silicon Lines A Beacutecheacute J L Rouviegravere J C Barbeacute F Andrieu D Rouchon J Eymery and M Mermoux 419 Measuring Strain in Semiconductor Nanostructures by Convergent Beam Electron Diffraction L Cleacutement J-L Rouviere F Cacho and R Pantel 423 Part VII FIB SEM and SPM Advances Nano-FIB from Research to Applications - a European Scalpel for Nanosciences J Gierak A Madouri A L Biance E Bourhis G Patriarche C Ulysse X Lafosse L Auvray L Bruchhaus R Jede and Peter Hawkes 431 Advanced Focused Ion Beam Specimen Preparation for Examination by Off-Axis Electron Holography D Cooper R Truche P A Midgley and R E Dunin-Borkowski 441 Critical Thickness for Semiconductor Specimens Prepared using Focused Ion Beam Milling A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley 445 Organic-Based Micropillar Structure Fabrication by Advanced Focused Ion Beam Milling Techniques Wen-Chang Hung Ali M Adawi Ashley Cadby Liam G Connolly Richard Deanl Abbes Tahraoui A M Fox David G Lidzey and A G Cullis 449

Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-Cathodoluminescence G Salviati L Lazzarini N Armani M Felici A Polimeni M Capizzi F Martelli S Rubini and A Franciosi 453 Interdiffusion as the First Step of GaN Quantum Dot Degradation Demonstrated by Cathodoluminescence Experiments B Sieber 459 Calibration and Applications of Scanning Capacitance Microscopy n-Type GaN J Sumner R A Oliver M J Kappers and C J Humphreys 463 The Factors Influencing the Stability of Scanning Capacitance Spectroscopy Mao-Nan Chang Tung-Huan Chou Che-Yu Yang and Jeng-Hung Liang 467 Growth and in vivo STM of III-V Compound Semiconductors F Bastiman A G Cullis M Hopkinson and M Green 471 Mapping Defects in Dielectrics with Dynamic Secondary Electron Contrast in the low Vacuum SEM Brad Thiel 477 EBIC Characterization of Light Emitting Structures Containing InGaNGaN MQW E B Yakimov 481 EBIC Characterisation of Diffusion and Recombination of Minority Carriers in GaN-Based LEDs G Moldovan V K S Ong O Kurniawan P Kazemian P R Edwards and C J Humphreys 485 A Parametric Study of a Diode-Resistor Contrast Model for SEM-REBIC of Electroceramics A G Wojcik and L E Wojcik 489 Author Index 491

The Puzzle of Exciton Localisation in GaN-Based Structures TEM AFM and 3D APFIM Hold the Key C J Humphreys M J Galtrey N van der Laak R A Oliver M J Kappers J S Barnard D M Graham1 and P Dawson1 Department of Materials Science and MetallurgyUniversity of Cambridge Pembroke Street Cambridge CB2 3QZ UK 1School of Physics and Astronomy University of Manchester Manchester M60 1QD UK Summary The InGaNGaN quantum well system emits intense light even though the dislocation density is high This is a puzzle since dislocations should quench the light emission Photoluminescence (PL) experiments show that the excitons in the InGaN quantum well are localised on a nanometre scale thus separating the carriers from most of the dislocations Many papers report transmission electron microscopy (TEM) results showing that this localisation is caused by gross indium clustering in the InGaN quantum wells but our TEM reveals no gross indium clustering Three-dimensional atom probe field ion microscopy confirms that InGaN is a random alloy Mechanisms are given for localisation on a nm scale Confinement on a broader length scale (50 ndash 100 nm) can also occur in some InGaN quantum wells 1 Introduction A remarkable feature of InGaNGaN quantum well LEDs is that they emit intense light even though the dislocation density is typically 109cm-2 In all other light-emitting semiconductors the light emission is quenched if the dislocation density exceeds about 103cm-2 Yet InGaN quantum wells emit strong blue and green light (depending on the In concentration) when the dislocation density is one million times higher than that in other light-emitting semiconductors even though it is known that dislocations in InGaN are non-radiative recombination centres

The widely-believed solution to the above problem up to a few years ago was that InGaN was an unstable alloy and the indium in the InGaN quantum wells formed In-rich clusters Since the band-gap of InN is less than that of GaN the bandgap of these In-rich clusters is reduced and hence the electrons and holes are spatially localised in these clusters At room temperature (and below) in InGaN an electron and hole form a bound exciton hence the In-rich clusters localise the excitons The clusters were believed to be small on a nanometre scale Statistically most threading dislocations would not pass through these nanometre-scale In-rich clusters even for a dislocation density of 109cm-2 at which the average dislocation spacing is about 300 nm Hence it was almost universally believed that the In-rich clusters localised the excitons away from most of the dislocations so that they did not quench the light emission Thus it was believed that the intense light emission observed from InGaN quantum wells with a high dislocation density was due to In-rich clusters

In this paper we first present evidence to support this argument We then show that In-rich clusters are produced in InGaN in the electron microscope due to electron beam damage However careful low-dose electron microscopy reveals no gross In clustering but it cannot rule out small In fluctuations We then report that three-dimensional atom probe analysis of InGaN quantum wells yields that InGaN is a random alloy with no In fluctuations other than would be expected of any random alloy This is consistent with our electron microscopy results Finally we return to the question of why InGaN emits intense light despite having a high dislocation density

4 C J Humphreys et al

2 The Evidence for Exciton Localisation in InGaN There is clear evidence that at low temperature the dominant emission from InGaNGaN quantum-well structures involves the recombination of strongly localised excitons (see for example [1 2]) Graham et al [2] studied the low temperature (T = 6 K) optical properties of a series of InxGa1-xNGaN single-quantum-well structures where the indium fraction x varied from sample to sample over the range 005 ndash 025 The structures were grown by Metal Organic Vapour Phase Epitaxy (MOVPE) and the InGaN quantum well was 25 nm thick By comparing the strengths of the phonon-accompanied recombination with those obtained from a theoretical model the spatial extent of the carrier wavefunctions in the plane of the quantum well was estimated This localisation length was found to range from 1 nm for the InGaN quantum well containing 25 indium to 3 nm for the 5 indium alloy Thus the exciton localisation length in the plane of the quantum well is typically about 2 nm The key question is what causes this localisation 3 The Evidence from Electron Microscopy for Indium-Rich Clusters Bright-field transmission electron microscopy (TEM) images of InGaNGaN quantum well structures were reported to show dark dot-like features with a size of about 3 nm in the InGaN quantum wells [3 4] Since an indium atom is much larger than a gallium atom fluctuations in InGaN compositions will cause variations in lattice strain and hence strain contrast in TEM images The dot-like features were therefore attributed to strain contrast Energy-dispersive X-ray analysis in the TEM suggested a correlation between the dark spots and higher indium content [4] This was confirmed by Cho et al [5] who used energy-filtered transmission electron microscopy (EFTEM) to analyse the regions of strain contrast observed in InGaN quantum wells EFTEM images clearly revealed these strained regions to be indium-rich clusters with a size of 2-3 nm

A popular method for studying these indium-rich clusters has been lattice parameter mapping In this technique high-resolution TEM lattice images are taken of InGaNGaN quantum well structures The indium-rich clusters give rise to localised strain and by measuring the local lattice fringe spacings a two-dimensional lattice parameter map can be plotted which shows the size of the indium-rich clusters to be typically a few nm Strains of the order of 10 are found in these clusters By using Vegardrsquos law the lattice parameter map can be converted to a composition map For InGaN quantum wells grown with 10-20 indium the indium-rich clusters are typically found to contain at least 80 indium [6 7] although the projection problem in TEM makes it difficult to quantify the indium content We will call such clusters ldquogross indium-rich clustersrdquo It was reported that such gross indium-rich clusters may in fact be pure InN [7] and pure InN regions with a 1-3 nm size were reported in InGaN quantum wells grown by both MOVPE and MBE as measured using high resolution TEM lattice parameter mapping of samples with mean composition of 16 In in the InGaN quantum wells [8]

The argument for gross indium clustering in InGaN quantum wells appears to be strong We know from optical measurements that the excitons in InGaN are localised on a 1-3 nm scale Thermodynamic calculations show that InGaN is unstable and should decompose into In-rich and In-poor regions [9] TEM shows gross In-rich clusters in InGaN quantum wells on a nanometre scale similar to the scale on which the excitons are localised

Because of the apparently strong and convincing arguments given above many hundreds of papers have been published stating that InGaN quantum wells contain gross In-rich clusters and that these clusters are responsible for the exciton localisation The Cambridge GaN research group has observed such clusters in the TEM many times and indeed they are among the authors of a paper demonstrating that those clusters are indium-rich [5] However this work necessarily used high electron doses for the EFTEM images which revealed the In-rich clusters We will now demonstrate that in the wide range of InGaN materials we have examined such gross indium-rich clusters do not exist and they are produced by electron beam damage in the TEM

The Puzzle of Exciton Localisation in GaN-Based Structures 5

4 The Effect of Electron Beam Damage on InGaN in the TEM We have found that InGaN quantum wells damage extremely rapidly in the electron beam of a TEM at the beam currents normally used for imaging The damage causes indium-rich clusters to form Figure 1 shows (0002) lattice fringe images of an In022Ga078N quantum well using high-resolution TEM (HRTEM) The lattice fringe images were obtained with the specimen tilted about 6-7 deg away from a lt11 2 0gt axis towards the adjacent lt10 1 0gt pole At this orientation a systematic row of reflections are excited with (0002) and (000 2 ) under equal excitation The images in Fig 1 were recorded using 400 keV incident electrons in a JEOL 4000EX Figure 1a was recorded within 20 seconds of first exposing this part of the quantum well to the electron beam Figure 1b is the same area after a few minutes of exposure We have analysed these images to produce lattice parameter maps [10 11] using a process similar to the DALI technique [7] After only a few minutes exposure to the electron beam we found nanometre-size indium clusters formed which caused local strains of up to 10 corresponding to an indium fraction x of 60 These cluster sizes strains and compositions are typical of those found by others using TEM (for example [6 7]) However we have found no evidence at all of gross indium clustering if low electron beam currents are used At low electron dose the lattice fringe image of the quantum well and the lattice parameter map are both reasonably uniform (Fig 1a 1c) [10 11] We have studied the effect of 200 300 and 400 keV incident electrons For the 200 keV electrons we used a FEI Tecnai F20-G2 We reduced the electron beam current substantially below the maximum available so that the current density incident on the sample was 35Acm-2 Electron beam damage of the InGaN QWs was already strong after less than 30 seconds of exposure to 200 keV electrons at this current density

Fig 1 A pair of HRTEM lattice fringe images demonstrating the electron-beam induced damage to an In022Ga078N quantum well The (0002) lattice fringe images were obtained using a JEOL 4000 EX operating at 400kV (a) shows the image after minimal exposure to the beam and (b) the same region after only a few minutes of exposure (c) is a lattice parameter map of (a) and (d) is a lattice parameter map of (b)

6 C J Humphreys et al

Since publishing the Smeeton et al [10 11] papers it has been suggested to us that our results may apply only to InGaN grown on MOVPE equipment at Cambridge or may be related to our TEM specimen preparation procedures rather than being a general effect We have therefore purchased a very bright blue commercial LED and examined the InGaN quantum wells it contains by TEM Again we found no evidence of gross indium clustering at low electron beam currents and short exposure times in the TEM However as the electron dose increased indium-rich clusters formed just as in the Cambridge grown samples [12] OrsquoNeill et al [13] also reported that In-rich clusters formed as a result of electron beam damage in their specimens We also prepared TEM specimens using only mechanical polishing instead of using a combination of mechanical polishing followed by ion beam thinning We observed no differences in the behaviour of both specimens in the TEM suggesting that the susceptibility of InGaN to electron beam damage is intrinsic to the InGaNGaN system and not a consequence of our ion milling procedures [12] We have also studied MBE grown InGaNGaN structures In all the samples we have studied we observe no gross indium clustering in the TEM at low beam currents and short exposure times Indium-rich clusters only appear at higher electron doses 5 Does TEM Give Any Evidence for Genuine In Clustering Slight fluctuations in the TEM image contrast of InGaN quantum wells can be observed in low-dose images It should be noted that if InGaN is a random alloy the composition will not be uniform and some statistical fluctuations will be observed Hence the small fluctuations that are observed in TEM image contrast could be due to random alloy fluctuations or to genuine low-level In-clustering In addition the initial stages of damage may already have occurred in low-dose images since significant radiation damage can occur in orienting the specimen in the electron microscope before recording the image Hence even the lowest dose images should not be treated as a faithful representation of the original specimen

In the light of the Smeeton et al [10 11] papers which suggested that the gross indium-rich clusters in InGaN quantum wells reported by many researchers might be due to electron beam damage the Gerthsen group revised their earlier conclusions [7] They observed that the indium concentration in the clusters increased with increasing irradiation time in the electron microscope However because they found In-rich clusters already in their first HRTEM images taken after only 20s of exposure to the electron beam they concluded that In-rich clusters genuinely existed in their InGaN quantum wells but that the In concentration was significantly lower than they had previously stated [14]

The Kisielowski group has recently made detailed studies of indium clustering in InGaN following their earlier work [6] They claim that InGaN quantum wells can be imaged in HRTEM with negligible electron beam damage and that indium-rich clusters genuinely exist [15] They have found that no measurable alteration of the initial element distribution occurs for electron irradiation times of up to 2 minutes and current densities of 20-40 Acm-2 [16] They report that green InxGa1-xN quantum wells (with average indium fraction x about 02) have genuine indium-rich clusters 1-3 nm wide with In content up to 040 [17] This disagrees with our findings reported above (see Fig 1)

A key question is whether the electron micrographs carefully recorded and reported in the above papers [14-17] are in fact damage free Electron-beam damage of inorganic materials in an electron microscope can be a complex process and the damage mechanism for strained thin layers of InGaN is not yet known In some inorganic materials there appears to be a threshold electron beam current density for damage to occur below which there appears to be little or no damage [18 19] If InGaN behaves in this way then Gerthsen and Kisielowski may be correct that damage-free electron micrographs of this material can be recorded However for other inorganic materials there appears to be no lower threshold electron beam current density for damage which can also occur for incident electron energies as low as 40 keV [20] If InGaN behaves in this way then damage-free microscopy is impossible Until more is

The Puzzle of Exciton Localisation in GaN-Based Structures 7

known about the mechanism(s) by which strained thin layers of InGaN damage we cannot be sure that it is possible to record high resolution electron micrographs in which the damage is negligible 6 3-D Atom Probe Studies of Indium Clustering Our low-dose TEM studies have revealed that gross indium clustering does not exist in the many InGaN quantum wells we have studied However we cannot rule out lower level indium clustering for the reasons given above namely the fact that such genuine clustering if it exists may be masked by the noise in low-dose images and genuine clusters cannot be distinguished from indium-rich clusters already created by the electron beam in even low-dose images In addition since the electron-beam damage mechanism in strained layers of InGaN is not yet known we do not know if it is possible to record damage-free electron micrographs of this material In order to assess whether low-level indium clustering genuinely exists we therefore need a different technique from electron microscopy The method should not involve exposure to high-energy electrons and it should preferably provide direct information at the atomic level of the distribution of indium in InGaN quantum wells In addition the technique should preferably avoid the projection problem in TEM

It is well known that the three-dimensional atom probe (3DAP) can provide nanometre-scale information about composition variations in a variety of materials [21] We have recently applied this technique to InGaN quantum wells Needle-shaped 3DAP specimens were prepared in a FEI Dualbeam Quanta FIBSEM All SEM imaging was performed at 5 kV and exposure times and currents were minimised in order to limit the risk of damage to the InGaN quantum wells The 3DAP images were obtained using an Oxford nanoScience instrument fitted with a prototype laser module

Figure 2 shows reconstructions of the InGaNGaN structure with the indium and gallium atoms displayed Four indium-containing quantum wells are clearly visible and we have analysed in detail the indium distribution in the bottom three of these since the top well may have been damaged by sample preparation We have compared the indium distribution with the expected distribution from a random alloy No significant deviations were found from that expected in a random alloy for all three of the quantum wells (for further details see [22 23] We therefore conclude that there is no evidence of indium clustering in this sample

Fig 2 Three-dimensional Atom Probe Field Ion Microscope (3DAP) image of InGaNGaN multi-quantum wells Each dot represents a single atom light blue is gallium and orange is indium Statistical analysis shows that the indium distribution is as expected in a random alloy

Two independent direct imaging techniques TEM and 3DAP have therefore found no

evidence for indium clustering in InGaN quantum wells The 3DAP results indicate that the distribution of indium in the InGaN sample studied is that of a random alloy Local compositional fluctuations statistically exist of course in a random alloy but there is no atomic clustering

8 C J Humphreys et al

7 Localisation Mechanisms The evidence for exciton localisation on a nanometre scale in InGaN quantum wells is strong (see Section 2) This is consistent with InGaN quantum well structures emitting intense light with high quantum efficiency despite having a high dislocation density In this section we discuss possible mechanisms for the carrier localisation having ruled out gross indium clustering 71 Quantum Well Thickness Fluctuations At low temperature excitons are known to be localised in GaAsAlGaAs quantum wells by well-width fluctuations The localisation energy is typically only a few meV and so localisation by this mechanism only occurs at low temperature in GaAsAlGaAs [24] However the localising effects of well-width fluctuations are much greater in the InGaNGaN quantum-well system both because the InGaN is more highly strained and because the piezoelectric effect is much stronger than in GaAsAlGaAs

High-resolution electron micrographs show that in the InGaNGaN quantum well system the lower quantum well interface appears to be atomically abrupt whereas the upper interface is atomically rough [2] The in-plane extent of these well-width fluctuations is small typically a few nm The thickness variation is typically one monolayer (= 0259 nm) Calculations show that for an InGaNGaN quantum well system with an indium fraction of 025 and well widths of 33 nm and 33 nm + 1 monolayer the quantum well bandgap for the n = 1 electron and hole confined states decreases by 58 meV Since kT at room temperature is 25 meV a monolayer change in quantum well thickness consistent with electron micrographs is sufficient to localise the carriers [2] 72 Indium-Localised Hole Wave Functions Bellaiche et al [25] have suggested from theoretical calculations of cubic InGaN that even for a perfectly homogeneous InGaN material the carriers could be localised The calculations predict localisation of the hole wavefunctions around indium in InGaN along randomly formed In-N-In chains Hole localisation leads to exciton localisation because of the small effective Bohr radius of excitons in GaN (= 34 nm) Chichibu et al [26] have recently explained their positron annihilation results in InGaN in terms of such In-N-In chains Unfortunately there is no theoretical calculation of the carrier localisation energy due to In-N-In chains in a random hexagonal InGaN alloy 8 Thermodynamics of Strained InGaN The thermodynamic calculations reported earlier [9] which predicted the decomposition of InGaN were for bulk material However Karpov [27] has calculated the phase diagram for an InGaN layer epitaxially matched to a GaN layer which puts the InGaN into biaxial compression The effect of the strain is to stabilise the InGaN and no decomposition is predicted for normal growth conditions

Electron microscopy of the InGaN quantum wells we have studied in this paper reveals no misfit dislocations We are aware that the measured critical thickness for the introduction of misfit dislocations depends on the resolution of the experimental technique used to detect the dislocations [28] and that electron microscopy because of the limited volume of specimen sampled may over-estimate the critical thickness However electron microscopy indicates that at least locally our InGaN quantum wells are fully strained and this is confirmed by our X-ray diffraction measurements

The Puzzle of Exciton Localisation in GaN-Based Structures 9

Hence we would not expect indium-rich clusters to form in strained InGaN quantum wells and

this is precisely what our TEM and 3DAP results reveal 9 Confinement in InGaN Quantum Wells with Gross Fluctuations in Width We have shown above that dislocations do not quench the light emission from InGaN quantum wells because the excitons are localised on a nanometre scale The localisation is due to quantum well thickness fluctuations on an atomic scale the interface steps being a few nm in lateral extent and may also be due to localisation of the hole wavefunction at randomly formed In-N-In chains in the homogeneous InGaN alloy We will now consider an additional confinement mechanism on a different length scale in InGaN quantum wells with gross length scale fluctuations

It has been known for some time that under certain conditions epilayers of various materials

50 nm

Fig 3 A cross-sectional STEM-HAADF image of a commercial green-emitting LED showing gross thickness variations (some of which are arrowed) in all four InGaN quantum wells

600nm 600nm

(a) (b)

Fig 4 AFM images of InGaN epilayers with gross thickness fluctuations showing the InGaN network structure of interlinking strips (a) shows the network structure after the InGaN has been subjected to a temperature ramp and (b) after it has been subjected to an anneal at the growth temperature

10 C J Humphreys et al

can exhibit gross fluctuations in thickness [29 30] Figure 3 shows a cross-sectional transmission electron micrograph of a bright commercial LED showing gross thickness fluctuations in all four InGaN quantum wells We have also grown InGaN quantum wells with similar gross thickness fluctuations [31 32] These fluctuations occur if the barrier material is grown at a higher temperature than the quantum well layer (two-temperature growth) or if the quantum well is annealed at its (lower) growth temperature prior to growth of the barrier at the same temperature

The two-dimensional nature of the thickness fluctuations in the plane of the quantum wells is not very apparent in the electron micrograph of Fig 3 Atomic force microscopy (AFM) has therefore been performed on an InGaN epilayer subject to a temperature ramp (Fig 4a) or an anneal (Fig 4b) In each case the layer-thickness fluctuations can be seen to take the form of interlinking strips of InGaN EDX analysis in the TEM shows that indium is depleted at the edges of the strips and between the strips there is no indium at all only GaN We have recorded bright field images of an annealed InGaN epilayer down three different 1213 zone axes (Fig 5 a b and c) since all threading dislocations are visible using this zone axis orientation [33] The electron microscopy reveals that 90 of the threading dislocations terminate in the GaN regions between the InGaN strips [31] (Fig 5) This physical separation of 90 of the dislocations from the light emitting InGaN strips prevents exciton recombination at these dislocations and hence may enhance light emission Since the InGaN strips are typically about 50-100 nm across this separation of the dislocations from the light-emitting InGaN strips is on a totally different length scale from the few nanometre-scale localisation of the excitons described earlier in this paper

The quantum wells that exhibit this network structure emit very bright light in some cases brighter than equivalent quantum wells of more uniform thickness Hence the network structure provides an additional mechanism for confining the light emission away from the dislocations 10 Conclusions Low-dose TEM shows no evidence of gross indium clusters in InGaN quantum wells that emit bright light 3DAP shows that InGaN is a homogeneous random alloy consistent with TEM results and with thermodynamic calculations that take strain into account Hence indium-rich clusters in InGaN are not necessary for bright light emission Optical studies of InGaN show that the excitons are localised on a nanometre scale which prevents them diffusing to dislocations which would quench the light emission Excitons can be localised by atomic scale well-width fluctuations and by In atoms in In-N-In chains forming statistically in a homogeneous InGaN alloy Calculated localisation energies at In atoms in In-N-In chains in hexagonal InGaN are not yet available However the localisation energy provided by a monolayer well-width fluctuation of an InGaN quantum well is about 60 meV sufficient to localise excitons at room temperature We therefore have a consistent story that in the InGaNGaN quantum-well system the InGaN is a

a b c

Fig 5 Bright field plan-view TEM images of an annealed InGaN epilayer taken down different 1213 zone axes (Fig 5 a b and c) All threading dislocations (edge mixed and screw) are revealed in this orientation From the different projections it can be determined that 90plusmn8 of the threading dislocations terminate between the interlinking InGaN strips

The Puzzle of Exciton Localisation in GaN-Based Structures 11

random alloy Localisation of the excitons may be due to monolayer thickness variations of the quantum wells which TEM suggests occur on a few nm in-plane length scale consistent with the PL evidence of the in-plane localisation length of the excitons of a few nm The 60 meV localisation energy strongly localises the excitons at room temperature Additionally excitons may be localised around randomly formed In-N-In chains in InGaN but the localisation energy for this in hexagonal InGaN is not yet known

Confinement on a different length scale about 50-100 nm has recently been observed in InGaN quantum wells that have gross well-width fluctuations produced by annealing or two-temperature growth Such quantum wells consist of network structures in which the dislocations are separated from the InGaN light-emitting regions These structures emit very bright light consistent with them containing an additional confinement mechanism Hence in these network structures there may be exciton confinement on both a few nm and a 50 nm scale Acknowledgements The authors are grateful to Dr T M Smeeton for stimulating discussions and to the EPSRC the EU PARSEM grant and Thomas Swan Scientific Equipment Limited for financial support References 1 Chichibu S Wada K and Nakamura S 1997 Appl Phys Lett 71 2346 2 Graham D M Soltani-Vala A Dawson P Godfrey M J Smeeton T M Barnard J S Kappers M

J Humphreys C J and Thrush E J 2005 J Appl Phys 97 103508 3 Chichibu S Azuhata T Sota T and Nakamura S 1996 Appl Phys Lett 69 4188 4 Narukawa Y Kawakami Y Funato M Fujita S and Nakamura S 1997 App Phys Lett 70 981 5 Cho H K Lee J Y Sharma N Humphreys C J Yang G M Kim C S Song J H and Yu P W

2001 Appl Phys Lett 79 2594 6 Kisielowski C Liliental-Weber Z and Nakamura S 1997 Japan J Appl Phys 36 6932 7 Gerthsen D Hahn E Neubauer B Rosenauer A Schoumln O Heuken M and Rizzi A 2000 Phys

Stat Sol(a) 177 145 8 Ruterana P Kret S Vivet A Maciejewski G and Dluzewski P J 2002 Appl Phys 91 8979 9 Ho I and Stringfellow G B 1996 Appl Phys Lett 69 2701 10 Smeeton T M Kappers M J Barnard J S Vickers M E and Humphreys C J 2003 Appl Phys

Lett 83 5419 11 Smeeton T M Kappers M J Barnard J S Vickers M E and Humphreys C J 2003 Phys Stat

Sol(b) 240 297 12 Smeeton T M Humphreys C J Barnard J S and Kappers M J 2006 J Mater Sci 41 2729 13 OrsquoNeill J P Ross I M Cullis A G Wang T and Parbrook P J 2003 Appl Phys Lett 83 1965 14 Li T Hahn E Gerthsen D Rosenauer R Strittmatter A Reissmann L and Bimberg D 2005

Appl Phys Lett 86 241911 15 Jinschek J R and Kisielowski C 2006 Physica B 376 536 16 Bartel T Jinschek J R Freitag B Specht P and Kisielowski C 2006 Phys Stat Sol(a) 203

167 17 Jinschek J R Erni R Gardner N F Kim A Y and Kisielowski C 2006 Solid State

Communications 137 230 18 Mochel M E Humphreys C J Eades J A Mochel J M and Petford A M 1983 Appl Phys Lett

42 392 19 Salisbury I G Timsit R S Berger S D and Humphreys C J 1984 Appl Phys Lett 45 1289 20 Turner P S Bullough T J Devenish R W Maher D M and Humphreys C J 1990 Phil Mag

Lett 61 181 21 Cerezo A Godfrey T J and Smith G D W 1988 Rev Sci Inst 59 862

12 C J Humphreys et al

22 Galtrey M J Oliver R A Kappers M J Humphreys C J Stokes D J Clifton P H and Cerezo A 2007 Appl Phys Lett 90 061903

23 Galtrey M J Oliver R A Kappers M J Humphreys C J Stokes D J Clifton P H and Cerezo A 2007 (These proceedings)

24 Orton J W Fewster P F Gowers J P Dawson P Moore K J Dobson P J Curling C J Foxon C T Woodbridge K Duggan G and Ralph H I 1987 Semicond Sci Technol 2 597

25 Bellaiche L Mattila T Wang L-W Wei S-H and Zunger A 1999 Appl Phys Lett 74 1842 26 Chichibu S F Uedono A Onuma T Haskell B A Chakraborty A Koyama T Fini P T Keller

S Denbarrs S P Speck J S Mishra U K Nakamura S Yamaguchi S Kamiyama S Amano H Akasaki I Han J and Sota T 2006 Nature Materials 5 810

27 Karpov S Y 1998 MRS Internet J Nitride Semicond Res 3 16 28 Eaglesham D J Kvam E P Maher D M Humphreys C J Green G S Tanner B K and Bean J C

1988 Appl Phys Lett 53 2083 29 Walther T Humphreys C J and Cullis A G 1997 Appl Phys Lett 71 809 30 Walther T and Humphreys C J 1999 J Crystal Growth 197 113 31 van der Laak N K Oliver R A Kappers M J and Humphreys C J 2007 Appl Phys Lett 90

121911 32 van der Laak N K Oliver R A Kappers M J and Humphreys C J 2007 J Appl Phys

(Submitted) 33 Datta R Kappers M J Barnard J S and Humphreys C J 2004 Appl Phys Lett 85 3411

Elastic Strain Distribution in GaNAlN Quantum Dot Structures Theory and Experiment A Andreev E Sarigiannidou1 E Monroy2 B Daudin2 and J Rouviegravere2 Advanced Technology Institute University of Surrey Guildford GU2 7XH UK 1 INP Grenoble ndash MINATEC 3 Parvis Louis Neacuteel 38016 Grenoble Cedex 1- France 2 CEA-Grenoble DRFMCSP2MLEMMA GEM-minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary We present a theory of strain distribution in GaNAlN quantum dot (QD) structures and compare the results of calculations with experimentally measured strain maps from HRTEM images using geometrical phase analysis We find that the AlN spacers situated between the wetting layers are almost fully relaxed On the contrary the AlN spacers located between the vertically correlated GaN QDs are found to be in a tensile strain state This result demonstrates that the biaxial strain approximation is not valid for the case of a three-dimensional system like a QD 1 Introduction Nitride quantum dots (QDs) are of great interest because of their potential applications in optoelectronic devices from the ultraviolet to the infrared energy range [1] The piezoelectric polarization whose magnitude is comparable to the spontaneous polarization induces a significant blue-shift of the luminescence spectra of GaNAlN QDs Therefore knowledge of the strain distribution in QDs is essential to understand their electronic structure and tune their emission wavelength for the desired application

The aim of this paper is to study the strain tensor spatial variation in GaNAlN quantum dots both theoretically and experimentally We demonstrate a good qualitative and quantitative agreement between simulated and measured strain maps Surprisingly we found that thin foil effects can be neglected for strain calculations in our samples 2 Theory The calculation of the spatial strain distribution in a QD structure requires the solution of a 3D problem in elasticity theory In this paper we employ a method we previously developed to calculate the strain tensor in a semiconductor structure containing QDs of arbitrary shape [23] This method is based on a Greenrsquos function approach and allows nearly analytical solution of the problem In particular a simple analytical formula can be derived for the Fourier transform of the strain tensor in a hexagonal QD structure [2] in case when the elastic constants of the QD and matrix materials are assumed to be the same Simple iterative procedure can be used to take account of the differences in elastic constants [2] However it should be noted that the differences in reported values of elastic constants in GaN and AlN are greater that the average values of the constants for each of the material [24] Therefore taking account of the difference of the elastic constants would not improve the model in our case and also does not change the results noticeably

To calculate the spatial strain tensor εij we summed up the Fourier series

)exp()(~)2()(321

3

rr nn

n ξξεπε iddd

sijij sum= (1)

14 A Andreev et al

where d1 d2 d3 ndash are the periods of the QD array in x y z directions respectively )(~nξε s

ij is the Fourier transform of the strain tensor for a single QD [2] The summation is taken over all possible values of the components of the vector iii dn 2πξ = with the cut-off of n1max=n2max=n3max=150 Fourier components for each direction The periods of the QD array are taken from experiment and are equal to 546 nm in the lateral (x-y) direction and 10 nm in the vertical (z axis) direction The results of the calculations are presented in Fig 2 3 Experiment and Results The wurtzite GaNAlN QD superlattice sample that is studied in this paper was grown by plasma-assisted molecular beam epitaxy (PAMBE) in a MECA2000 molecular beam epitaxy chamber equipped with standard effusion cells for Al and Ga Active nitrogen was produced in a radio-

Fig 1 Schematic top and side views of the GaN QDs studied in this paper showing dot shape and geometrical parameters

-20 -10 0 10 20

-4

-2

0

2

4exx -33-27-21-16-10-04401206913

z n

m

x nm-20 -10 0 10 20

-4

-2

0

2

4

x nm

z n

m

-25-19-12-055010075142025

ezz

Fig 2 Calculated spatial variation of the material strain tensor components εxx εzz εxz (measured in percent) and the elastic energy per unit volume (in au) for the GaN QD structure with the parameters shown in Fig 1

[2-1-10][01-10]

Diameter

[2-1-10][01-10]

Diameter

Diameter =~30nm

Height= ~4nm

lt01-13gt

a=~30deg

~12-13nm

Diameter =~30nm

Height= ~4nm

lt01-13gt

a=~30deg

~12-13nm

-20 -10 0 10 20

-4

-2

0

2

4 densityenergy

0003000450087013017021026030034

z n

m

x nm

au

-20 -10 0 10 20

-4

-2

0

2

4 exz -11-084-056-028002805608411

z n

m

x nm

Elastic Strain Distribution in GaNAIN Quantum Dot Structures 15

frequency plasma cell by dissociation of N2 The superlattice consists of 80 GaN QD layers embedded in an AlN matrix The growth of GaN on AlN was performed under N-rich conditions by depositing an amount of about 6 monolayers (ML) of GaN on ~10nm of AlN matrix Under these conditions the growth starts layer-by-layer leading to the formation of a 2 MLs GaN wetting layer (WL) followed rapidly by the formation of 3D islands by elastic relaxation (Stranski-Krastanow growth mode) [5] A JEOL4000EX electron microscope was used for the HRTEM observations TEM cross-section samples were prepared using the standard techniques of mechanical polishing and Ar+ ion milling All HRTEM images were directly recorded by an on-line charge-coupled device (CCD) camera of (2048 x 2048) pixels In order to measure and map the strain fields that are present in the sample high-resolution images were analyzed by using the geometrical phase analysis method (GPA) [6] This method has proven to be a powerful tool for extracting quantitative strain information from HRTEM images [67]

Before presenting the experimental and theoretical strain results it is important to recall the definitions of strain used by the various methods Strain results are expressed using two different strain tensors the GPA strain and material strain the latter is defined by Eq(1) The GPA measures strain with respect to a reference area in the HRTEM image following the expressions

ref

refGPAxxref

refGPAzz a

ararec

crcre minus=

minus=

)()()()( (2)

where c(r) and a(r) are the lattice parameters at the pixel r of the HRTEM image and cref

and aref are the values averaged on the reference region of the image This definition of strain called here ldquoGPA strainrdquo is also known as GPA Lagrange strain [7] In this work we have chosen the AlN matrix situated between two WLs as the reference region The material strain εij can be easily obtained from GPA strain [4] We summarise the results for measured and calculated strain values in Table I We find that the AlN spacers situated between wetting layers are almost fully relaxed On the contrary the AlN spacers located between the vertically correlated GaN QDs (Figs 3 and 4) are found to be in a tensile strain state The GaN QDs are almost completely strained on the barriers in the a-direction while they are under a slight tensile strain in the c-direction This result demonstrates that the biaxial strain approximation is not valid for the case of a three-dimensional system like a QD Finally all these results demonstrate that the mechanism responsible for the vertical correlation of GaNAlN QDs is neither the presence of threading dislocations nor Ga-Al interdiffusion but the local strain induced in the AlN matrix by the presence of the buried GaN QD layers

Fig 3 Off-axis HRTEM image of two vertically aligned GaN QDs embedded inside an AlN matrix and corresponding experimental ezz GPA strain map

5 nm

GaNQD

AlN

[0001]

5 nm

GaNQD

AlN

[0001]

-19

~0

25

50

e zz

GPA

Str

ain

( )

-19

~0

25

50

e zz

GPA

Str

ain

( )

-19

~0

25

50

-19

~0

25

50

e zz

GPA

Str

ain

( )

16 A Andreev et al

Table I Experimental and calculated values of the GPA strain components in various region of the sample

ezz GPA strain exx GPA strain exper (plusmn04) theory exper (plusmn06) theory AlN (WL) asymp0 asymp0 (0 (0 AlN (between QDs)

-19 -192 09 100

GaN (WL) 51 554 (0 -003 GaN (QDs) 27 331 09 118

4 Conclusions In conclusion using HRTEM measurements in combination with theoretical calculations the strain distribution in a GaNAlN QD SL has been investigated The GaN WL roughly follows a biaxial deformation which is not the case for the GaN in the QDs due to the compressive hydrostatic stress imposed by the AlN matrix We have experimentally identified the mechanism responsible for the vertical correlation of GaNAlN QDs which is the local strain induced in the AlN matrix by the presence of dots References 1 Nakamura S Pearton S and Fosol G 2000 The Blue Laser Diode The Complete Story

(Springer Heidelberg) 2 Andreev A D and OrsquoReilly E P 2000 Phys Rev B 62 15851 3 Sarigiannidou E Monroy E Daudin B Rouviegravere J L and Andreev A D 2005 Appl Phys Lett

87 203112 4 Christmas U M E Andreev A D and Faux D A 2005 J Appl Phys 98 073522 5 Daudin B Widmann F Feuillet G Samson Y Arlery M and Rouviegravere J L 1997 Phys Rev B

56 R7069 6 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 7 Rouviere J L and Sarigiannidou E 2005 Ultramicroscopy 106 1

Fig 4 High-resolution image of two GaN QDs of the superlattice taken along the [2minus1minus10] direction and corresponding GPA strain map for the exx

5 nm

GaN

AlN5 nm

GaN

AlN

22

-15

05

-08

~0

11

22

-15

05

-08

~0

11

22

-15

05

-08

~0

11

Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands Using Transmission Electron Microscopy A Pretorius K Muumlller T Yamaguchi R Kroumlger D Hommel and A Rosenauer Institute of Solid State Physics University of Bremen 28334 Bremen Germany Summary In this work the indium concentration of uncapped InGaN samples is measured by three different transmission electron microscopy approaches which are based on measurement of local lattice plane distances In the case of three dimensional nanometre-sized uncapped InGaN islands an increase of the indium concentration from the base of the islands toward their tip is observed Additionally an indication is presented that the local indium concentration in the islands is influenced by the vicinity of other islands 1 Introduction Semiconductor quantum structures with dimensions of only a few nanometres in one or more dimensions can be used in high quality optoelectronic devices Especially quantum dots (QDs) in the active region of these devices can improve the optical properties and lead to a reduced and temperature independent threshold current density [1] InGaN QDs are very promising for achievement of eg a QD laser emitting in the blue spectral range due to the direct band gap which can be adjusted between 3508 eV (GaN [2]) and ~07 eV (InN [3ndash5]) Within this scope the indium concentration x of InxGa1-xN is a crucial parameter influencing the wavelength of the emitted light In this work the indium concentration of free-standing nanometre-sized InGaN islands is measured by different transmission electron microscopy (TEM) approaches 2 Experimental 21 Sample Growth All structures analysed for this work were grown by metal organic vapour phase epitaxy (MOVPE) The substrates consist of approximately 2 microm thick MOVPE grown GaN deposited on (0001) sapphire On top of the GaN a 200 nm to 300 nm thick GaN buffer layer was grown at 1030degC A subsequent growth interruption of approximately five minutes was used to adjust to the lower growth temperature of InGaN of only 600degC The indium to group three flux ratio was 0736 for sample C2 and 0582 for the samples C3a and C3b The InGaN deposition time tInGaN was varied between 22 s (C2) 17 s (C3a) and 52 s (C3b) With these growth conditions smooth two dimensional InGaN layers or free-standing InGaN islands with dimensions of a few nanometres were obtained depending on the InGaN growth duration 22 TEM Analyses For derivation of the local indium concentration x in the InxGa1-xN structures three different methods were employed which are based on measurement of lattice plane distances by TEM

An easy to use and established method to derive the local concentration in InxGa1-xNGaN heterostructures is the evaluation of 0002 fringe images using strain state analysis Using Vegards rule [6] and linear elasticity theory x can be derived from measured fringe distances of high resolution transmission electron microscopy (HRTEM) images Nevertheless the measured hkil

18 A Pretorius et al

fringe distance dhkilm in a HRTEM image of a heterostructure differs from the actual hkil lattice plane

distance dhkil inside the crystal by a factor which contains the gradients of the phases phkil of the complex beam amplitudes Fhkil of the used beams [7] These differences have to be minimised to obtain reliable values of the indium concentration This can be done in the case of two beam imaging Corresponding imaging conditions for 0002 fringe imaging of InGaNGaN are given in [7]

In case of free-standing InGaN islands with [0001] growth direction elastic relaxation of the islands in the (0001) plane has to be taken into account This was done by evaluation of object exit wave functions of islands which were derived by focus variation object wave function reconstruction (FVOWR) using the True Image program (FEI) [8]

In case of the FVOWR which was performed along a low index zone axis (ZA) orientation the imaging conditions are not optimised which may lead to an imprecise measurement of the concentration as mentioned before To derive a more accurate value of x 0002 fringe images were recorded with the optimised imaging conditions reported in [7] Additionally optimised imaging conditions for 1-100 fringe images were calculated to obtain the strain state of the free-standing islands in the (0001) plane For the lt11-20gt ZA orientation presented in this work minimal errors are obtained by using a centre of Laue circle (COLC) of 11 -11 0 -22 By recording 0002 as well as 1-100 fringe images from the same sample area both resulting images were superposed and the final image was evaluated using the DALI software package [9] This method will be referred to as ldquosuperposition methodrdquo in the following

All fringe images for this work were recorded with a CM20 UT microscope equipped with a LaB6 filament and operated at 200 kV The defocus series for the FVOWR were done using a CS-corrected TITAN 80300 (FEI NanoPort Eindhoven) with field emission gun which was operated at 300 kV 3 Results and Discussion For the lower indium to group three flux ratio of 0582 a comparably smooth two dimensional InGaN layer is obtained for tInGaN = 17 s (sample C3a) as shown in Fig 1a Strain state analysis of 0002 fringe images of this sample showed an InGaN layer thickness of (19 27) nm and indium concentration of 022 plusmn 004 0002 fringe analysis is in this case sufficient as no lateral relaxation in the (0001) plane is present except due to a possible relaxation in about electron beam direction due to a thin TEM foil The uncertainty due to the unknown amount of foil relaxation is included in the error of the given indium concentration

Using unchanged growth conditions but a longer InGaN growth time of 52 s (sample C3b) InGaN islands are formed with average height of 38 nm and diameter at the island base of 78 nm (Fig 1b) The total thickness of the InGaN region up to the top of the islands varies between 60 nm and 70 nm as derived by strain state analyses of 0002 fringe images No misfit dislocations were observed inside the islands ie they relax only elastically In agreement with finite element (FE) calculations it is assumed in the following that the InGaN at the base of an island is completely strained to the a lattice parameter of GaN and completely relaxed at the tip of the island 0002 fringe analyses then result in an indium concentration at the islands bases which varies between 019 and

Fig 1 HRTEM images taken along the lt11-20gt ZA of InGaN layers grown on GaN a) Two dimensional InGaN layer with small surface roughness of sample C3a (tInGaN = 17 s) b) InGaN islands of sample C3b grown with identical growth parameters as C3a but with tInGaN = 52 s Markings are valid for both images

Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands 19

032 for different islands At the islands tips x varies between 030 and 051 It has to be mentioned that the measured values of the indium concentration are influenced by a thickness gradient of the TEM specimen which is inevitably present in case of the free-standing InGaN islands Nevertheless Bloch wave calculations showed that this thickness gradient is not responsible for the observed increase of x toward the tip of the islands

To derive more precise values of x also in between an islands base and tip defocus series were taken from islands of sample C3b along the [11-20] ZA and the object exit wave functions were reconstructed and analysed Fig 2a shows the amplitude of the reconstructed object exit wave of one island The measured distances d0002

m and d1-100m in [0002] and [1-100] were fitted and from the fit

functions the indium concentration x was calculated under the assumption that the strain within each (0001) plane of the island is laterally homogeneous The result is shown in Fig 2b From focus series reconstructions it is obtained that at the GaNInGaN interface x increases in growth direction in the first few monolayers (MLs) corresponding to about 12 nm This is followed by an approximately 65 ML thick plateau of indium concentration 022 plusmn 007 Subsequently x increases with approximately constant slope towards the top of the islands where it reaches about 04 The first increase of x and the plateau is interpreted as wetting layer (WL) The concentration of the WL is in good agreement with the concentration of the two dimensional InGaN film of sample C3a

For sample C2 which was grown with a higher indium to group three flux ratio of 0736 for tInGaN of 22 s 0002 fringe analyses were performed Assuming again that the InGaN at the bases of the islands is completely strained to the a lattice parameter of the underlaying GaN the indium concentration at the base varies between 029 and 042 for different analysed islands The assumption of completely relaxed material at the tips of the islands results in x varying between 047 and 069 For this sample also the ldquosuperposition methodrdquo was employed The superposed image and the map of the indium concentration derived from this image is displayed in Fig 3 Again x was calculated assuming that the strain within each (0001) plane of the island is homogeneous It can be seen from

a) b)

Fig 3 Superposition of 0002 and 1-100 fringe images The indium concentration x is displayed for the island on the right hand side

Fig 2 a) Amplitude of the reconstructed object exit wave function of one island of sample C3b The indium concentration along the centre of the island in growth direction as marked by the rectangle is displayed in b) The error bars are determined by the accuracy of the fit functions of d0002

m and d1-100m

20 A Pretorius et al

Fig 3 that x increases in approximately growth direction Nevertheless a misalignment along the [0002] direction between the 0002 and the 1-100 fringe images cannot be excluded especially as the image contrast is different for both imaging conditions As the 0002 fringe distance in the InGaN area is constant this will only affect the apparent onset of the increase of x but not the increase itself Thus also here an increase of the indium concentration in growth direction in the islands is obtained as was observed for sample C3b From Fig 3 it can also be seen that the increase of x is more pronounced towards the upper right side of the image As the island on the left hand side shows a more pronounced increase of x towards the left side (not shown here) the inclined increase of x cannot be due to a misalignment of the 1-100 and the 0002 images along the [1-100] direction Nevertheless the inclined gradient could be due to the vicinity of the second island seen on the left hand side of Fig 3 which changes the strain at the position of the island on the right hand side

The increase of the indium concentration in approximately the growth direction observed for the analysed free-standing InGaN islands of samples C2 and C3b is probably due to the composition pulling effect [1011] due to strain energy the incorporation of indium atoms at sites with lateral lattice parameter closer to InN is energetically favourable As the elastic relaxation of an island increases in the growth direction these positions represent a preferential location for indium in comparison to incorporation eg on top of the WL 4 Conclusions Three different TEM approaches based on the derivation of local lattice parameters were used to evaluate the indium concentration of uncapped InGaN nanostructures These are 0002 fringe analysis evaluation of object exit wave functions and a new ldquosuperposition methodrdquo All three approaches show in the case of free-standing three dimensional InGaN islands an increase of the indium concentration toward the tips of the islands A possible explanation is the composition pulling effect [1011] which may lead to a minimisation of strain energy Additionally an indication is presented that the gradient of x in the islands is influenced by the strain field arising from the vicinity of other islands Acknowledgements Many thanks to Dr Bert Freitag (FEI) who operated the TITAN 80300 to the FEI company for supplying the True Image program and to Dr Marco Schowalter for the FE calculations Financial support by the Deutsche Forschungsgemeinschaft (DFG grant no 506) is gratefully acknowledged References 1 Arakawa Y and Sakaki H 1982 Appl Phys Lett 40 939 2 Vurgaftman I Meyer J R and Ram-Mohan L R 2001 J Appl Phys 89 5815 3 Davydov V Y Klochikhin A A Emtsev V V Ivanov S V Vekshin V V Bechstedt F

Furthmuumlller J Harima H Mudryi A V Hashimoto A Yamamoto A Aderhold J Graul J and Haller E E 2002 phys stat sol b 230 R4

4 Wu J Walukiewicz W Yu K M Ager III J W Haller E E Lu H and Schaff W J 2002 Appl Phys Lett 80 4741

5 Matsuoka T Okamoto H Nakao M Harima H and Kurimoto E 2002 Appl Phys Lett 81 1246 6 Vegard L 1921 Z Phys 5 17 7 Rosenauer A Gerthsen D and Potin V 2006 phys stat sol a 203 176 8 Coene W M J Thust A op de Beeck M and van Dyck D 1996 Ultramicroscopy 64 109 9 Rosenauer A Kaiser S Reisinger T Zweck J and Gebhardt W 1996 Optik 102 63 10 Shimizu M Kawaguchi Y Hiramatsu K and Sawaki N 1997 Sol-Stat Elektron 41 145 11 Zhang J Hao M Li P and Chua S J 2002 Appl Phys Lett 80 485

Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer Q Wang T Wang P J Parbrook J Bai and A G Cullis Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK Summary Optical investigations have been carried out on InGaN quantum dots (QDs) with and without a GaN capping layer showing a massive difference in terms of photoluminescence (PL) emission energy and intensity A large difference has also been observed in excitation power dependent PL spectra All these differences can be attributed to the existence of the strong quantum confined Stark effect (QCSE) in the QDs with the capping layer A numerical calculation based on the QCSE model has been made showing a good agreement with the PL data 1 Introduction

Although InGaN-based violet laser diodes (LDs) are commercially available they suffer from a high threshold current density much higher than that for GaAs-based LDs in the few kAcm2

range [1-2] Generally quantum dot (QD) structures have been predicted to significantly decrease the threshold of LDs In particular the improvement in threshold current due to QDs theoretically should be more enhanced in GaN-based wide-band gap semiconductors than for other III-V semiconductor lasers Arwakawa et al pointed out that the expected threshold current for GaN-based LDs should be in the same range as for GaAs-based LDs if the size of GaN-based QDs is small enough [3] So far there are a large number of reports studying optical properties of InGaN-based QDs grown by metal-organic chemical vapor deposition (MOCVD) or molecular beam epitaxy (MBE) [3-5] although there is no report on InGaN QD-based devices with good performance Generally the characterization of surface morphology is carried out on a single layer of quantum dots without any capping layer while the investigation of optical properties is performed on QDs with a capping layer However so far there is no report on comparing the optical properties of the InGaN QDs with and without a capping layer to our best knowledge We are successful in growing InGaN QDs with a high density up to 9times1010cm-2 on a GaN surface using the so-called growth interruption approach by MOCVD Then the influence of a capping layer on the optical properties of the InGaN QDs has been investigated In contrast to our expectation the InGaN QDs without a GaN capping layer show stronger photoluminescence (PL) emission and much higher emission energy than the InGaN QDs grown under identical conditions but with a GaN capping layer Both an excitation power dependent PL measurement and a numerical calculation within the framework of effective-mass approximation and variational approach confirm the existence of a strong quantum-confined Stark effect (QCSE) in the InGaN QDs with the capping GaN layer while there is no QCSE in the InGaN QDs without the capping layer 2 Sample Growth All the samples investigated were grown on (0001) sapphire substrates using high temperature AlN buffer technology by low pressure MOCVD as we have previously reported [6] In this case the high temperature AlN layer can massively improve the crystal quality of the overlying GaN layer subsequently grown [6] An AlN layer of thickness ~05 microm was directly grown on a

22 Q Wang et al

sapphire substrate at 12000C and then the temperature was lowered to a normal temperature for growth of ~1 microm GaN Afterwards the temperature was reduced to 755oC an optimal temperature for growth of our InGaN QDs At this temperature a 10 nm GaN layer was first deposited prior to growth of any InGaN QDs An interrupted growth approach was employed to grow InGaN QDs similar to the method used in ref [4] In our approach the InGaN was initially deposited for 20 seconds followed by a 20 second growth interruption and the deposition of another InGaN layer was then carried out under identical conditions for another 20 seconds Once the growth was finished the temperature was immediately reduced to room temperature in the case of the QD structures without a capping layer labeled as surface quantum dots (SQDs) For the QD structures with a capping layer a 20 nm GaN capping layer was continuously grown at 7750C and then the temperature was immediately reduced to room temperature giving capped QDs (CQDs) 3 Results and Discussion Figure 1a shows a typical AFM result of InGaN QDs dots with an approximate density of 9x1010cm-2 on a GaN surface Further numerical analysis indicates that the QDs have a diameter of 20 nm and a height of 15 nm on average For comparison Fig 1b shows a typical AFM image of the InGaN QDs grown under identical conditions but with a 20 nm GaN capping layer ie CQDs where a smooth surface except a few dark points can be observed The dark points have been generally observed in InGaNGaN quantum well structures in particular in high indium composition samples which are related to the so-called ldquoVrdquo defects [7]

To perform the PL

measurements the samples were held in a helium closed-circuit refrigerator The PL was measured using a 325 nm He-Cd laser to excite the investigated samples Figure 2 shows the PL spectra of both SQDs and CQDs measured at 10K Although the InGaN QDs themselves were grown under identical conditions a major difference has been observed between SQDs and

CQDs The SQDs show a strong emission at 257eV while in contrast to this the PL intensity of the CQDs is ~20 times weaker at 222 eV and the emission energy shows ~350 meV red shift compared with the SQDs In addition the PL spectrum of another five-stacked QD sample grown under identical conditions also showed almost identical emission energy to the CQDs except a more intense PL intensity confirming that the emission at 222 eV is indeed from the InGaN QDs

Figure 3 shows the excitation power dependent PL spectra of both samples recorded at excitation powers from 02 to 25 mW measured at 10K Figure 3a shows a blue-shift of ~35 meV for the CQDs when the excitation power is increased from 02 to 25 mW while there is a negligible shift in emission energy to be observed in the SQDs as shown in Fig 3b The excitation

Fig 1 a) A typical AFM image of InGaN quantum dots without a GaN cap showing an approximate density of 9times1010cm-2 b) A typical AFM image of InGaN quantum dots with a 20nm GaN cap layer showing a smooth surface except for a few V-defects

16 18 20 22 24 26 28 30 32

SQDs

PL

Inst

ensi

ty(a

u)

Emission Energy(eV)

BQDs

Fig 2 PL spectra of SQDs and CQDs at 10 K

SQDs

CQDs

Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer 23

power induced blue shift suggests that there exists a strong quantum-confined Stark effect (QCSE) due to the strain-induced electric field exerted in the CQDs as such blue shift is a finger print of the QCSE generally observed in InGaNGaN quantum structures [8]

Generally the InGaN QDs formed on a GaN surface are due to a large lattice-mismatch giving rise to the well-known Stranski-Krastanow (S-K) growth mode [9] In the S-K mode a so-called wetting layer is initially formed and 3D islanding then follows [10] Recent x-ray diffraction (XRD) and transmission electron microscopy (TEM) studies (not optical investigation) indicate that the GaN QDs on an AlN surface or InN QDs on a GaN surface are almost completely relaxed if a capping layer is not deposited while those with the capping layer can remain compressively strained [11-12] Similarly in our case our InGaN QDs with the capping layer should remain compressively strained As a result a strong built-in electric field is produced in the InGaN QDs with the capping layer ie CQDs leading to the QCSE which causes a large red shift and a weak PL intensity compared with the SQDs

In order to support the above conclusion a numerical simulation based on a QCSE model has been carried out within the framework of the effective-mass approximation and the variational approach [13] To simplify the calculation the height of QDs is assumed to remain unchanged after the capping layer is deposited The indium composition was estimated to be 25 based on high resolution XRD data of the calibration sample grown under identical conditions If the wetting layer thickness is not taken into account a QD diameter (20nm) and a QD height (15nm) determined by AFM were used Figure 4(a) shows an electric field induced red shift of the emission energy as a function of indium composition for the cases with and without considering a wetting layer effect respectively If the wetting layer

effect is not considered as shown by the curve of Fig 4a with triangular points there is a redshift of only ~70 meV in the case of indium composition of 25 for example This value is smaller compared with that of our experimental result Since the wetting layer has been proved to significantly affect the electronic structure of QDs the wetting layer effect should be considered in our calculation as shown by the curve of Fig 4a with square points In our case the thickness of the wetting layer has been estimated as ~5ML Figure 4a also clearly

indicates that the red shift in emission energy of the fully strained InGaN QD with 25 indium (ie the sample used) is ~346 meV compared with the fully relaxed InGaN QDs The calculation is

24 27 30 33

(a)

Norm

aliz

ed In

sten

sity

(au

)

(b)

Nor

mal

ized

Inst

ensi

ty(a

u)

02mW

CQDT=10K

SQDT=10K

18 mW

25 mW

12 mW

85mW

32mW05mW

18 21 24 27 30

Emission Energy(eV)

25 mW

18 mW

12 mW

85mW

32mW

05mW

02mW

Fig 3 Excitation power dependent PL spectra of a) CQDs and b) SQDs Thedashed lines are guides for eyes

010 015 020 025 0300

100

200

300

400

500

Indium mole fraction

Shift

of t

rans

ition

ene

rgy(

meV

)

Indium mole fraction

Recom

bination rate(au)

(a)

010 015 020 025 0300

2

4

6

8

(b)

with build-in electric field without build-in electric field

010 015 020 025 0300

100

200

300

400

500 With wetting layer Without wetting layer

Fig 4 a) Electric field induced red shift of the emission energy as a function of indium composition with and without the effect of wetting layer and b) electron-hole recombination rate of QDs with and without considering build-in electric field when the effect of wetting layer is taken into account

24 Q Wang et al

in an excellent agreement with our experimental results which strongly supports the above conclusion namely that there exists a strong QCSE in the InGaN QDs with a GaN capping layer while there is no QCSE in the InGaN QDs without the GaN capping layer

In addition to demonstrate the effect of the built-in electric field on the recombination process of InGaN QDs the electron-hole recombination rates of QDs with and without the effect of a built-in electric field are calculated when the wetting layer is taken into account As is shown in Fig 4b the rate ratio of electron-hole recombination of QDs without and with built-in electric field is estimated to ~301 when the indium composition is ~ 25 which is close to the ratio (501) of the integrated PL intensity of SQDs and CQDs This indicates that the QCSE plays an important role in significant reduction of PL intensity of CQDs compared with SQDs

4 Summary

Optical investigations have been carried out on the InGaN QDs with and without a GaN capping layer A significant difference in terms of their PL emission energy and intensity has been observed which can be attributed to the existence of the strong QCSE in the QDs with the capping layer This conclusion is supported by an excitation power dependent PL measurement and a numerical calculation within the framework of the effective-mass approximation and the variational approach Acknowledgements This work is supported by the EPSRC (UK) through grant numbers EPC5435211 and EPC5435131 The authors also thank J J Shi and Y M Chi of Peking University for their contribution to the calculations References 1 Nakamura S Senoh M Nagahama S Iwasa N Yamada T Matsushita T Kiyoku H and

Sugimoto Y 1996 Jpn J Appl Phys 35 L74 2 Asano T Tojyo T Mizuno T Takeya M Ikeda S Shibuya K Hino T Uchida S and Ikeda M

2003 IEEE J Quant Electr 39 135 3 Arakawa Y Someya T and Tachibana K 2001 Phys Stat Sol (b) 224 1 4 Ji L W Su Y K Chang S J Wu L W Fang T H Chen J F Tsai T Y Xue Q K and Chen S C

2003 J Cryst Growth 249 144 5 Damilano B Grandjean N Dalmasso S and Massies J 1999 Appl Phys Lett 75 3751 6 Wang T Bai J Parbrook P J and Cullis A G 2005 Appl Phys Lett 87 151906 7 Sharma N Thomas P Tricker D and Humphreys C 2000 Appl Phys Lett 77 1274 8 Takeuchi T Sota S Katsuragawa M Komori M Takeuchi H Amano H and Akasaki I 1997

Jpn J Appl Phys 36 382 9 Stranski I N and Krastanow V L 1939 Akad Wiss Lit Mainz Abh Math Naturwiss KI146

797 10 Cullis A G Norris D J Walther T Migliorato M A and Hopkinson M 2002 Phys Rev B

081305 11 Chamard V Schuumllli T Sztucki M Metzger T H Sarigiannidou E Rouviegravere J-L Tolan M

Adelmann C and Daudin B 2004 Phys Rev B 69 125327 12 Lozano J G Saacutenchez A M Garciacutea R Gonzalez D Briot O and Ruffenach S 2006 Appl Phys

Lett 88 151913 13 Shi J J and Gan Z Z 2003 J Appl Phys 94 407

Strain Relaxation in an AlGaNGaN Quantum Well System P D Cherns C McAleese M J Kappers and C J Humphreys Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary AlGaNGaN quantum well stacks have been grown in a series with 105nm Al05Ga05N barriers and 15nm 25nm and 35nm GaN wells These samples have been studied by weak beam dark field (WBDF) TEM Threading dislocations form lsquostaircasesrsquo in the stack generating a short misfit segment at the lower interface of each well By imaging dislocations at different tilts and opposite values of the deviation parameter s it is established that the misfit segments are pure edge type and relieve strain in the GaN layers Two mechanisms are proposed for the formation of these lsquostaircasersquo structures by climb 1 Introduction The work presented in this paper is part of an ongoing effort to understand the development of AlGaN based devices that emit in the ultraviolet part of the electromagnetic spectrum There has been particular interest in emission at 380nm for generating white light by pumping a suitable phosphor The focus of the work presented here has been to look at the use of narrow wells with relatively high (50) Al content barriers to minimise the effects of polarisation fields and thermionic emission These samples have been grown using AlN interlayers to avoid problems with cracking 2 Experimental The three samples studied all have the basic structure shown in Fig 1 Each sample consists of 10 GaN quantum wells of 15nm 25nm or 35nm thickness separated by 105nm Al05Ga05N barriers This structure is grown on a 05microm buffer layer of Al045Ga055N itself grown on a 5microm low threading dislocation density GaN template with the use of a 30nm AlN interlayer All samples were grown in a Thomas Swan 6 x 2rsquorsquo reactor by metalorganic vapour phase epitaxy (MOVPE) using c-plane sapphire substrates The organometallic precursors were trimethylgallium and trimethyl-aluminium and ammonia was the nitrogen source All layers were grown at 1020 degC and 50 Torr reactor pressure The only change made between the samples was the growth time for the GaN wells hence varying the well thickness since the growth rate was kept constant

Cross-sectional transmission electron microscopy (TEM) samples were prepared by mechanical polishing dimpling and ion milling in a Gatan Precision Ion Polishing System TEM images were taken on a JEOL 2000FX microscope operating at 200kV The weak beam dark field (WBDF) images in this work are acquired using a g-4g condition

Fig 1 A schematic drawing of sample structure

26 P D Cherns et al

3 Results Initial dark-field TEM shows as expected following previously presented work [1] a large increase in edge-type threading dislocations (TDs) at the AlN interlayer which propagate to the sample surface However as the TDs reach the quantum well stack they can be observed to tilt and move diagonally through the layers This perturbation of the dislocations was studied by WBDF TEM Images were taken of the 15nm 25nm and 35nm well samples as shown in Fig 2 The images are taken with the sample tilted close to the [1-100] orientation and with g=[11-20] Edge and mixed-type TDs are visible in this condition and it has been ascertained from images not presented here with g=[0002] that the vast majority of the dislocations are pure edge-type Figure 2 reveals that the diagonal movement observed in strong-beam images is in fact due to staircase-like movement of the dislocations through the stack with a misfit segment at each well It is also seen that the angle of inclination from the vertical (averaged over the dislocation as a whole) related to misfit segment length increases with increasing well thickness The dislocations appear to split into 3 groups a) those that appear to not deviate at all b) those that deviate left at a characteristic angle and c) those that deviate right at the same characteristic angle The characteristic angles of tilt are summarised in the table below Well Width Average angle of dislocations to the vertical 15nm 22o 25nm 93o 35nm 162o

It is known at this stage that all the dislocations studied have b = plusmn13[11-20] plusmn13[-2110] or

plusmn13[1-210] It is also assumed that all behave in equivalent ways in the well stack depending on their Burgers vectors It is therefore reasonable to expect that the dislocations that appear vertical in Fig 2 are in fact tilted either directly towards or away from the electron beam with the in-plane components moving along the [1-100] viewing direction The vertical dislocations also appear brighter than the surrounding defects indicating gb=2 and b=plusmn13[11-20] The in-plane components would therefore be pure edge-type as the Burgers vector is perpendicular to the line direction It is reasoned that the other dislocations also have edge-type in-plane components extending along appropriate lt1-100gt directions depending on their Burgers vectors In this system where the wells have been grown on a

Fig 2 WBDF TEM images of samples with a) 15nm wells b) 25nm wells and c) 35nm wells The samples

are oriented close to [1-100] with g=[11-20]

(a)

(b)

(c)

Strain Relaxation in an AIGaNGaN Quantum Well System 27

relaxed Al045Ga055N template it is expected that misfit segments are generated at the lower interface of each compressively strained GaN well and act to remove half planes of atoms This would be consistent with the relief of strain arising from the lattice mismatch

To establish whether staircase structures are acting to relieve mismatch in the quantum well stack firstly the line directions are identified Two vertical dislocations A and B as labelled in Fig 3 were selected They were first imaged with g=[11-20] close to the [1-100] zone axis The sample was then rotated 30o out of the plane of the paper close to the [1-210] zone axis and a second image of the dislocations was acquired with g=[10-10] It is seen that A now tilts left and B tilts right From this information it is deduced that when imaging along the [1-100] direction A steps towards the viewer and B steps away

The line directions of the in-plane segments alone are not sufficient to establish the effect of the staircase structure It is also necessary to know the sign of the dislocation Burgers vector ie where the half plane is located relative to the dislocation core Dislocations A and B were again imaged with g = [11-20] but with the deviation parameter s varied from positive to negative When s is non-zero the lattice has been rotated away from the Bragg position If this rotation is sufficiently small then local rotation of the lattice at one side of a dislocation is in the correct direction and can be sufficiently large to bring that area of the sample back to the Bragg condition This is the basis for dislocation imaging in the TEM The location of the half plane can be determined because the lattice rotations on each side of the dislocation are of opposite sign if the half plane is on the near or far side of the defect When s is varied from positive to negative the dislocation image switches from one side of the dislocation core to the other The image of dislocation A moves left to right and the image of B in the opposite direction It is found that the half plane associated with dislocation A lies on the near side of the core and B on the far side This means that both dislocations behave in the same way the misfit segments act to reduce the extent of the half plane and therefore relieve compressive strain in the quantum well 4 Discussion The generation of misfit dislocations (MDs) in semiconductors most commonly takes place by the glide of TDs at interfaces [2] The Matthews mechanism relates to the glide of a TD at the interface between a substrate and a subsequently grown epilayer of different lattice parameter The relief of elastic strain energy on creation of an MD is compared with the energy cost to the system of the presence of the dislocation at the interface At a critical layer thickness hc MD generation becomes energetically favourable and glide occurs However this relies on the existence of a suitable slip system Srinivasan et al [3] identified possible slip systems in the wurtzite structure but none are appropriate in this case for dislocations with b=13[11-20] The movement of dislocations at well interfaces that is seen in this work must be due to dislocation climb This process is driven by the same energetic arguments as glide but is not limited by slip systems The rate of climb is significantly slower than glide due to the diffusion of atoms that is necessary and is therefore not observed if glide is possible The rate of climb is expected to be strongly dependent on the distance from the growth surface given that diffusion tofrom this point is necessary Figures 4 and 5 are schematics of two possible mechanisms by which staircase

(b) (a) Fig 3 DF TEM images of the 35nm well sample a) Sample close to [1-100] g=[11-20] b) Sample tilted 30o

anticlockwise close to [1-210] with g=[10-10]

28 P D Cherns et al

structures would result from climb of dislocations in this system Figure 4 shows a case where the well thickness hw exceeds hc As the first well is grown relaxation occurs and a misfit segment is generated As the second well is grown the 1st misfit segment is still extending but is now further from the growth surface so is moving more slowly Relaxation occurs in the second well and the process continues Figure 5 shows a case where hw = hc3 No relaxation occurs in the 1st well until 2 additional wells or more have been grown A misfit segment is generated in each well once there are 2 additional wells above but the climb slows to a stop once the growth surface is too far from the misfit segment for significant diffusion to occur In reality the critical thickness is thought to be around 2-5nm so it is likely that both these processes will be observed The longer misfit segments observed for thicker wells could be explained by either increased diffusion or by a transition between the two relaxation mechanisms Finally it is noted that no additional MDs are generated in contrast to the findings of Costa et al [4] in InGaN wells The strain relief is achieved through staircase-like movement of existing TDs possibly due to their high density 5 Conclusions High Al content AlGaNGaN quantum well structures on AlGaN templates have been studied by weak beam dark field TEM It is found that the compressive strain in the GaN wells is relieved by the generation of pure edge-type misfit segments along lt1-100gt directions This relaxation occurs at each well by the diffusion limited climb of a-type TDs

References 1 Cherns P D McAleese C Kappers M J and Humphreys C J 2005 Springer Proc in Phys 107 55 2 Matthews J W 1979 Misfit Dislocations in Dislocations in Solids Vol 2 ed Nabarro F R N

pp 461-545 3 Srinivasan S Geng L Liu R Ponce F A Narukawa Y and Tanaka S 2003 Appl Phys Lett 83

5187 4 Costa P M F J Datta R Kappers M J Vickers M E Humphreys C J Graham D M Dawson P

Godfrey M J Thrush E J and Mullins J T 2006 Phys Stat Sol a 203 1729

Fig 4 Schematic of relaxation when hwgthc

(b) (a)

Fig 5 Schematic of relaxation mech-anism when hw=hc3a) After 3 wells are grown b) After 4 wells

Characterisation of InxAl1-xN Epilayers Grown on GaN T C Sadler M J Kappers M E Vickers and R A Oliver Department of Material Science and Metallurgy University of Cambridge Pembroke St Cambridge CB2 3QZ UK Summary InxAl1-xN epilayers were grown on GaN pseudo-substrates at a range of temperatures between 900 degC and 750 degC Indium incorporation decreased as the growth temperature was increased and surface roughness at the 1 microm scale was observed to decrease simultaneously However due to macroscopic cracking of the samples grown at higher temperature broader scale surface roughness reached a minimum at 800 degC which corresponded to the layer most closely lattice-matched to the GaN pseudo-substrate 1 Introduction InxAl1-xN can be grown to have the same in-plane lattice parameter as c-plane GaN by adjusting x to 018 allowing the fabrication of structures free of misfit strain [1] Such layers have many potential applications they have sufficient refractive index contrast with GaN to allow them to be used in distributed Bragg reflectors (for use in devices such as resonant cavity LEDs and vertical cavity surface emitting lasers) [2] and as marker layers for reflectivity measurements during epitaxial growth on bulk GaN [3] Additionally their etching characteristics make them suitable as sacrificial layers for use in nitride device fabrication [4] Here we explore the effect of growth temperature on the composition and surface morphology of InxAl1-xN 2 Experimental The InxAl1-xN layers were grown on GaN pseudo-substrates by metal-organic vapour phase epitaxy (MOPVE) using a Thomas Swan 6 times 2rdquo close-coupled showerhead reactor The pseudo-substrates consisted of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 560 degC InxAl1-xN layers were grown using the precursors trimethylaluminium (TMA) trimethylindium (TMI) and ammonia with nitrogen as the carrier gas The growth rate of the InxAl1-xN was estimated from in situ reflectivity measurements performed during growth of ca 220 nm thick layers For microscopy and X-ray diffraction (XRD) studies thinner (ca 100 nm) layers were then grown

Surface morphology was assessed by Nomarski light microscopy (also known as differential interference contrast microscopy) using an Olympus BX60FS11 and Atomic Force Microscopy (AFM) using a Veeco Dimension 3100 with analysis using WSxM freeware[5] The average root-mean-square (rms) roughness for each sample was found from four scans at each scan size studied (1 microm and 10 microm)

Scanning electron microscopy (SEM) was performed using a JEOL JSM 5500LV with an Oxford Instruments INCAx-sight Energy Dispersive Spectrometer (EDS) XRD was carried out using a PhilipsPanalytical PW305065 XPert PRO HR horizontal diffractometer with an asymmetric Ge (220) 4-bounce primary monochromator Analysis was performed using Xrsquopert Epitaxy and Smoothfit 40 Philips Analytical BV

The epilayer lattice parameters were calculated from peak positions using the (002) symmetric and (105) antisymmetric reflections These were then used to calculate the epilayer compositions and equivalent relaxed lattice parameters by assuming Vegardrsquos law holds for InAlN and isotropic strain

30 T C Sadler et al

in the c-plane of the samples [6] We used calculated literature values for the Poissonrsquos ratios of AlN and InN [7]

3 Results and Discussion 31 Compositional Information from XRD Table 1 shows that increasing the growth temperature reduces the indium incorporation in InxAl1-xN Growth Temperature degC 750 800 850 900 InN fraction 203 109 31 13 Error 29 12 10 13 Table 1 Variation of InN fraction in InxAl1-xN with growth temperature

The 800 degC sample had three InxAl1-xN-related peaks present in the X-ray diffraction scans for both the (002) and the (105) reflections When analyzed separately each peak corresponded to InxAl1-xN of the same composition within the experimental errors but with different strain states The material varied from being fully relaxed to being fully strained to the GaN pseudo-substrate The strain state could vary through the InAlN epilayer thickness or it could vary across the plane of the sample We hope to investigate this issue in the future by transmission electron microscopy

The wafer grown at 750 degC showed an unexpected excess of in-plane tension The in-plane lattice parameter a was greater than the calculated relaxed lattice parameter which was greater than the in-plane lattice parameter of GaN One would usually expect a for such an epilayer to vary between the a of GaN and the value it takes for a relaxed epilayer

This datum could represent a real excess of in-plane tension but it is currently unclear how this might arise Other possible explanations include a breakdown of the assumptions used in the analysis or that the measurement was some kind of artifact although tilt and wafer bowing have been eliminated as possibilities 32 Surface Topography Nomarski light microscopy revealed that the epilayers grown at 900 degC and 850 degC were both highly cracked the former with a slightly higher crack density (Figs 1a b) This cracking would reduce the tensile strain in the epilayer which is present due to the low InN fraction of these samples The epilayers grown at 800 degC and 750 degC were not cracked but the latter had large clusters of round shiny features distributed across its surface (Figs 1c d) Analysis by EDS in SEM (Fig 2) revealed these features to be indium droplets This surface accumulation of indium may be related to the sample having the largest indium content of the series

Fig 1 Nomarski interference contrast images of the surfaces of AlInN epilayers grown at a) 900 degC b) 850 degC c) 800 degC d) 750 degC

25 microm

(a) (b)

25 microm 100 microm 100 microm

(c) (d)

Characterisation of InxAl1-xN Epilayers Grown on GaN 31

AFM data at a 10 microm scale (Figs 3a-d) showed similar features of the coarse morphology to the Nomarski images but also revealed additional nanoscale roughness These nanoscale features may be seen more clearly in Figs 3e-h The epilayer grown at 900 degC (Fig 3e) has a surface made up of stacks of single-monolayer two-dimensional islands some of which are centred on pits on which the islands may have nucleated The pit size is consistent with that

observed for the termination of threading dislocations in the GaN-pseudo-substrates which may also be the origin of the pits in this case

At 850 degC the surface consists of nanoscale three-dimensional (3D) islands ~25 nm in diameter and rising 2-3 nm above the surface (Fig 3f) These islands are grouped into clusters ~250 nm in diameter approximately the same size as the larger islands seen at 900 degC

At 800ordmC (Fig 3g) we see similar but slightly larger 3D islands ~35 nm in diameter and 3-4 nm high but they are not clustered Nanoscale 3D islands can again be seen between the indium droplets on the surface of the epilayer grown at 750 degC (Fig 3h) and these are slightly larger again at ~50 nm in diameter and 4-6 nm high Overall the nanoscale islands

coarsen as the growth temperature is decreased The small scale roughness over a 1 microm field decreased as the epilayer growth temperature

increased perhaps because of increased surface diffusion at the higher temperatures reducing stochastic roughening The roughness over a 10 microm field is at a minimum for growth at 800 degC (Fig 4) because cracking of the epilayers grown at 850 degC and 900 degC increased their broad scale roughness

Fig 2 SEM micrograph of the In droplets on the epilayer grown at 750 degC

10 microm

Fig 3 Atomic force microscopy images of epilayer surfaces 10 microm wide scans of epilayers grown at a) 900 degC b) 850 degC c) 800 degC d) 750 degC 1 microm wide scans of epilayers grown at e) 900 degC f) 850 degC g) 800 degC h) 750 degC The scans of the 750 degC sample were taken from areas between the indium droplets seen in the Nomarski micrograph Fig 1d

(b)

(h) (g)(f)

(c) (d)

(e)

(a)

32 T C Sadler et al

4 Summary InxAl1-xN epilayers have been grown on GaN at various temperatures and examined by XRD which reveals that indium incorporation increases as the growth temperature decreases The epilayer grown at 800 degC was the closest to being lattice-matched to the GaN pseudo-substrate This epilayer also had the lowest broad scale roughness over a 10 microm field However more development of growth processes is required both to produce high quality lattice matched InAlN and to understand its growth mechanisms Previous studies on InxGa1-xN [8] suggest that altering the NH3 flow or the reactor pressure may be possible routes to engineering the InN fraction 5 Acknowledgements The authors gratefully acknowledge funding from the EPSRC and the Royal Society We also thank Clifford McAleese for helpful discussion References 1 Carlin J F Zellweger C Dorsaz J Nicolay S Christmann G Feltin E Butte R and Grandjean N

2005 phys stat sol b 242 2326 2 Butte R Feltin E Dorsaz J Christmann G Carlin J F Grandjean N and Ilegems M 2005 Japan

J Appl Phys 44 Part 1 7207 3 Bejtka K Rizzi F Edwards P R Martin R W Gu E Dawson M D Watson I M Sellers I R and

Semond F 2005 phys stat sol a 202 2648 4 Watson I M Liu C Gu E Dawson M D Edwards P R and Martin R W 2005 Appl Phys Lett

87 151901 5 Horcas I Fernandez R Gomez-Rodriguez J M Colchero J Gomez-Herrero J and Baro A M

2007 Rev Sci Instrum 78 013705 6 Schuster M Gervais P O Jobst B Hosler W Averbeck R Riechert H Iberl A and Stommer R

1999 J Phys D-Appl Phys 32 A56 7 Wright A F 1997 J Appl Phys 82 2833

8 Oliver R A Kappers M J Humphreys C J and Briggs G A D 2005 J Appl Phys 97 013707

05

15

25

35

750 800 850 900temperature degC

rms

roug

hnes

s n

mFig 4 The variation of surf-ace roughness at different length scales Diamond-shaped symbols relate to rms rough-nesses measured over 10 microm by 10 microm areas and averaged over 4 scans Crosses relate to rms roughnesses measured over 1 microm by 1 microm areas and averaged over 4 scans

Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers J Bai T Wang P J Parbrook K B Lee Q Wang and A G Cullis Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK Summary A grid of regularly-distributed misfit dislocation (MD) arrays is observed and analyzed by plan-view and cross-sectional transmission electron microscopy in the GaN films grown on AlN buffer layers A good agreement between experiment and theoretical prediction indicates that the MDs are introduced first by interfacial migration of pre-existing threading dislocations (TDs) in AlN and then gradually form into a grid by direct nucleation around the misfit segments of TDs 1 Introduction The growth of high-quality (AlGa)N films using a thick AlN buffer layer has been attracting interest recently because AlN buffer layers have optical transparency for sub-280nm ultraviolet light-emitting diodes (UV-LEDs) and also prevent cracking simultaneously [1] Due to the large lattice mismatch between AlN and sapphire substrates a very high density of threading dislocations (TDs) exists in AlN films grown on sapphire These dislocations are observed to extend into the overgrown AlGaN layers and are deleterious for device performance Recently we have shown [2] that inserting a very thin layer (20nm) of GaN can successfully improve the device operation of 340nm UV-LEDs This is attributed to a reduction in the dislocation density in the overgrown AlGaN layers Lateral movement and subsequent annihilation of pre-existing TDs can be experimentally [3] and theoretically [4] explained for that dislocation elimination in strained layers It is well known that when a strained layer exceeds a critical thickness hc misfit dislocations (MDs) are generated by interfacial migration of pre-existing TDs or by the nucleation and subsequent glide of dislocation loops or by direct nucleation of new dislocations in nearly perfect crystal materials Strain induced TD movement and MD generation in GaNAlN are therefore important issues The misfit strain produced by the large lattice mismatch (~24) between GaN and AlN is expected to lead to generation of MDs even for very thin GaN films The exact nature and mechanism of introduction of MDs in GaN have still to be clarified The purpose of the present paper is to discuss the generation of MDs in GaN thin films grown on AlN buffers

2 Experiments All epitaxial films used in this study were directly grown on (0001)-faced sapphire substrates using vertical low pressure metalorganic chemical vapour deposition (MOCVD) A ~500nm AlN layer was directly grown on a sapphire substrate at 1150 degC Afterwards the temperature was lowered to grow GaN layers with different thicknesses For plan-view samples a ~60nm GaN layer was grown on the AlN layer Microstructural observations of thinned samples were carried by using Philips EM430 and high resolution JEOL 2010F transmission electron microscopes (TEMs)

3 Results and Discussion Figure 1a shows the sample surface of 60nm GaN grown on an AlN layer GaN platelets with an average size of around 200nm are connected to each other showing that GaN grew by a form of coarse islanding and coalescence mechanism Figure 1b is a plan-view TEM image of the GaN on AlN sample taken using the weak-beam dark field technique The TD density in the AlN layer is

34 J Bai et al

~7x1010 cm-2 as determined from regions without GaN coverage A triangular grid of equally spaced MDs is observed in the GaN platelets We did not observe the interfacial MD lines with cross-sectional TEM using g=lt0002gt even if tilting the sample to a large angle indicating that the MDs are pure-edge dislocations with Burgers vector b=13lt1120gt The line direction of MDs in area A is ~30deg rotated compared to the line direction in area B By detailed analysis the MDs are along lt1100gt and lt1120gt in each case respectively lt1210gt MDs always have a line direction of 60deg with the Burgers vector In the case of lt1100gt MDs the line direction is either 30deg or 90deg to the Burgers vector The accommodated strain εm by a triangular MD grid is given by εm = 3bsinβ2Dm b is the magnitude of the Burgers vector b Dm is the MD spacing and β is the angle between the MD line and b [10] It is thus energetically favourable for the lt1100gt MDs to adopt a direction normal to Burgers vector since the 90deg case provides maximum strain relief We deduce from the MD spacing in area A that about 90 of misfit strain has been relaxed by MDs in the 60nm GaN grown on AlN

The question therefore arises as to how the MDs are generated In our case the density of TDs in AlN buffers is quite high (~1010 cm-2) which should have a major effect on the introduction of MDs Figure 2a is a cross-sectional TEM image of 200nm GaN grown on an AlN buffer Most

of the TDs in AlN are observed to stop at the interface consistent with our observation of dislocation reduction through the insertion of a 20nm GaN layer between AlGaN and AlN layers [2] Interfacial dislocations are also observed in the image by tilting the sample These are regularly spaced with a spacing of ~19nm This spacing agrees with the plan-view image in Fig1b As can be observed an interfacial dislocation marked with ldquoMDrdquo in the image is connected with its threading arm in AlN marked with ldquoTDrdquo which suggests that the TD in AlN migrated into the interface plane to become a misfit segment The relation between the in-plane MD in the GaNAlN interface and the TD in AlN is further revealed in a high resolution TEM (HREM) image (Fig2b) The inset shows a Fourier filtered image of the circled area where only the in-plane frequencies are used It should be noted that there is one less lattice fringe in the GaN than in the AlN due to the edge component of in-plane MD Considering that almost all of TDs in AlN extend along lt0001gt line direction and have pure-edge Burgers vectors in the basal plane [5] the glide planes of these dislocations should be normal to the basal plane The in-plane MD lines are thus out of the glide planes whether that is along lt1100gt or along lt2110gt Otherwise the Burgers vector b would be parallel to the dislocation line direction in the interface and such a pure screw dislocation can not relieve misfit strain Therefore the TD migration can not be completed

Fig 2 a) Cross-section TEM imag of GaNAlN taken around [2110] zone-axis with g=lt0110gt b) HREM image of a TD in AlN migrating into the interface to become a misfit dislocation

Fig 1 a) AFM image of 60nmGaN grown on an AlN layerb) Plan-view dark-field TEM imagetaken with g=lt1010gt

Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers 35

by glide but must occur by climb Though the energy required for the climb is usually larger than that for glide as the dislocation climb needs diffusion and condensation of point defects both the high growth temperature and super-saturation of point defects during the MOCVD growth of GaN exist to support climb formation

However it is impossible that all of the MDs observed in Fig 1b were formed by the migration of pre-existing TDs since the TD spacing is about three times larger than that of the MDs Further MDs need to be generated by direct nucleation to develop the observed grid Theoretical analysis shows that the energy required for in-plane migration of a TD to form a MD is lower than that for direct nucleation of a new MD because in the case of TD migration the TD self-energy for the line direction normal to the surface is released [6] The interfacial migration of TDs is expected to occur first followed by the direct nucleation of MDs Experimental observation also suggests that the MD grid did not form suddenly but developed gradually As shown in Fig 3 there is a well-developed MD grid in area A while the dislocations in area B are not distributed regularly and uniformly The larger MD spacing in area B indicates a larger local residual strain in the area B than that of area A which may be related to the local morphology pre-existing defects or film thickness Actually our cross-section TEM observation presented that the MD spacing depends strongly on the film thickness The MD spacings of 213nm 193nm and 180nm were obtained for film thicknesses of 20nm 60nm and 400nm respectively Additionally it is interesting to note that there is a long straight dislocation in the middle of area B bordered by some roughly equally-spaced short lines at one of its sides It can be observed that the short lines in area B make a 60deg angle with the long one and the two line directions are two of the six symmetrical lt1100gt directions which suggests that the short dislocations probably originate around the long one and are forming in order to achieve an isotropic strain relaxation This is very similar to the report of the nucleation of bowed pure-edge MDs at crack edges in AlGaNGaN heterostructures [6] All these observations indicate that the MD grid formed by a progressive introduction of MDs with the growth of GaN We thus propose that the MDs were introduced first by interfacial migration of pre-existing TDs in AlN and then gradually formed into a MD grid by direct nucleation around the TD misfit segments

In order to identify the feasibility of this mechanism we plot the in-plane strain of the GaN films as a function of film thickness in Figure 4 comparing our experimental results with theoretical predictions The in-plane strain ε (filled square symbols) was obtained for each film thickness by TEM measurements of MD spacings using ε=ε0-3bsinβ2Dm where ε0 is 0024 the original misfit strain of GaN on AlN The values obtained are very close to those from our Raman measurements (not shown here) and also close to those reported from in-situ optical curvature measurements [7] Two classic theoretical predictions are plotted as well in Fig4 One is based on the energy balance model by People amp Bean [8] and the other is based on force balance approach by Matthews [9] and [10] People amp Beanrsquos model follows an energy static approach and gives good fits for InGaAsGaAs or SiGeSi systems where the dislocation density is low However the fit is much poorer for high TD systems as shown in our case because it does not predict the turnover of pre-existing TDs well The model based on Matthewsrsquo equilibrium approach which supposes that MDs are formed by movement of pre-existing TDs tends to give lower strain values than are experimentally observed in semiconductor films The discrepancy can be attributed to the existence of a kinetic barrier to the generation of MDs in semiconductors In our case a relatively large energy barrier for MD generation is indeed formed due to the climb process of the pre-existing TDs Here we followed the recent treatment based on the energy balance model [7] assuming simultaneously that MDs in the GaNAlN interface are introduced by the migration of pre-existing TDs The energy release induced by the in-plane TD migration in a layer of the thickness h is

Fig 3 Plan-view dark-field TEM image of 60nm GaN grown on an AlN buffer layer showing different MD distributions in different areas

36 J Bai et al

αεννα

ανπα tan

)1()1(]1sin)1ln[(

cos)1(4)( 2

2

mbhGbhhGbhE

minus+

minus+minusminus

=∆ (1)

where G is shear modulus ν is Poissonrsquos ratio and εm is misfit strain α is the inclination angle with the pre-existing TD For αrarrπ2 the equation presents the case for MD formation in strained layers By applying the requirement )( αhE∆ =0 we can determine the largest in-plane strain εa remained in the film for each film thickness h

bhbha ln

)1(4 νπε

+=sdot (2)

As can be seen the plot of our model based on the TD migration gives the best agreement with experiment The good agreement at small thicknesses proves the assumption that MDs are introduced first by TD migration at the early growth stage However there is still a discrepancy between the prediction and experiments at large thicknesses with larger experimental strain values than theoretical ones This supports our suggestion that the MDs are generated by direct nucleation at the later growth stage because the required energy of direct nucleation is larger than for TD migration 4 Conclusions

In conclusion in highly-mismatched GaNAlN layers MDs are introduced first by interfacial migration of TDs in AlN at the early stage of GaN growth which reduces the dislocation density in the overgrown layer and relieves part of the strain simultaneously Then probably by nucleating around the misfit segments of TDs more MDs are formed gradually to develop into a grid of equally-spaced MDs leading to nearly complete relaxation of misfit strain References 1 Chitnis A Zhang J P Adivarahan V Shatalov M Wu S Pachipulusu R Mandavilli V and

Khan M A 2003 Appl Phys Lett 82 2565 2 Wang T Lee K B Bai J Parbrook P J Airey R J Wang Q Hill G Ranalli F and Cullis A G

2006 Appl Phys Lett 89 081126 3 Kuwano N Tsuruda T Kida Y Miyake H Hiramatsu K and Shibata T 2003 Phys Stat Sol

(c) 0 2444 4 Romanov A E Pompe W Mathis S Beltz G E and Speck J S 1999 J Appl Phys 85 182 5 Bai J Wang T Parbrook P J Lee K B and Cullis A G 2005 J Cryst Growth 282 290 6 Romanov A E and Speck J S 2003 Appl Phys Lett 83 2569 7 Bethoux J-M and Venneacuteguegraves P 2005 J Appl Phys 97 123504 8 Wang J F Yao D Z Chen J Zhu J J Zhao D G Jiang D S Yang H and Liang J W 2006 Appl

Phys Lett 89 152105 9 People P and Bean J C 1985 Appl Phys Lett 47 322 10 Matthews J W 1975 J Vac Sci Technol 12 126 11 Matthews J W 1975 in Epitaxial Growth (Academic Press New York) Pt B Ch 6

01 1 10 100 1000

001

01

1

GaNAlN

People amp Beans direct nucleation

Matthews equilibrium TD migration Experiment (TEM) Experiment (Raman)

Film

Stra

in ε

()

Film Thickness h (nm)Fig 4 Plots of in-plane strain εa as a function of film thickness h Theoretical calculations and experimental data are given by open and filled symbols respectively

InN Nanorods and Epilayers Similarities and Differences Z Liliental-Weber O Kryliouk 1 H J Park1 J Mangum1 T Anderson1 and W Schaff2

Lawrence Berkeley National Laboratory Berkeley ms 62203 CA 94720 USA 1 Dept of Chemical Engineering University of Florida Gainesville FL 32611 USA 2 Dept of Electrical and Computer Engineering Cornell University Ithaca NY USA Summary Transmission electron microscopy was applied to study InN nanorods grown on the a- c- and r-plane of Al2O3 and (111) Si substrates by non-catalytic template-free hydride metal-organic vapor phase epitaxy (H-MOVPE) Single crystal nanorod growth was obtained on all substrates However the shape of the nanorods varied depending on the substrate used For example nanorods grown on r-plane sapphire and (111) Si have sharp tips In contrast growth on a- and c- planes of Al2O3 results in flat tips with clear facets on their sides The structural quality of these nanorods and their growth polarity are compared to crystalline quality surface roughness defects and growth polarity of InN layers grown by MBE on the same planes of Al2O3 1 Introduction InN has some promising transport and electronic properties It has the smallest effective electron mass of all the group-III nitrides which leads to a potentially high mobility saturation velocity and a large drift velocity at room temperature As a result of these properties there has been rapidly increasing interest in InN for use in optoelectronic devices such as laser diodes and high efficiency solar cells as well as high frequencyhigh power electronic devices The growth of high quality epitaxial layers of InN has been established by molecular beam epitaxy and metal-organic chemical vapor deposition However the fabrication of potential one-dimensional structures such as nanowires and nanorods has proven even more difficult since in order to synthesize high quality InN a very low decomposition temperature and a large pressure of N is required

InN nanorods grown on a- c- and r-planes of Al2O3 and (111) Si substrates by non-catalytic template-free hydride metal-organic vapor phase epitaxy (H-MOVPE) and InN layers grown on c- and r-plane of Al2O3 substrates by molecular-beam epitaxy were characterized using transmission electron microscopy (TEM) methods Structural quality and growth polarity of the nanorods and epilayers were compared 2 Experimental The InN films were grown by molecular beam epitaxy on c-and r-planes of substrates with a GaN buffer layer The details of this growth procedure are described elsewhere [1] The InN nanorods were grown using a horizontal hot wall H-MOVPE reactor Trimethyl indium (TMIn) and NH3 were used as the In and N sources respectively [2] The growth was performed at atmospheric pressure in an N2 ambient The growth temperature varied from 400 to 700 degC HClTMIn inlet mole ratio was varied from 0 to 6 VIII ratio ranged from 100 to 10000 N2 carrier gas flow rate = 16 slm Growth temperature substrate selection and HClTMI and VIII ratios controlled the diameter density and orientation of the nanorods A JEOL 3010 with an accelerating voltage of 300 keV and a sub-Angstrom CM 300 were used in these studies Convergent beam electron diffraction (CBED) along the [1-100] zone axis together with computer simulation were used to determine the growth polarity of the layers and some nanorods Electron energy loss spectroscopy (EELS) in addition to X-ray diffraction (XRD) patterns was used to determine the purity of the nanorods

38 Z Liliental-Weber et al

3 Results and Discussion 31 Faceting of Nanorods and Roughness of the Layers InN nanorods grown on the different planes (a- c- and r-) of Al2O3 and also (111) Si are of a high structural quality but their shapes and faceting differ from each other The majority of them are elongated along the wurtzite c-axis but not all crystals are distributed vertically to the substrate and thus form flower-like or random arrangements XRD studies showed that the nanorods have a wurtzite structure and the majority of them grow along the [0001] axis This was also confirmed by selective area diffraction patterns EELS studies show that only some nanorods might contain a minute concentration of oxygen

The nanorods grown on the a- and c-planes of Al2O3 have a hexagonal cross-section and their diameter is in the range of 70-220 nm They have similar lengths in the range of 700-2000 nm Usually nanorods grown on the c-plane have slightly smaller diameters (70-220 nm) compared to those grown on the a-plane (150-220 nm) Since these nanorods were removed from the substrate for TEM observation they appear rectangular at one end and have small facets at the other end It is therefore understood that these rectangular shaped ends whose surface is not atomically flat were earlier attached to the substrate and the ends with facets indicate the growth direction (Fig 1a)

The nanorods grown on r-plane Al2O3 are round in cross-section tapering to pencil-shape at the growth front with a small plateau on the c-plane at the tip Their diameter and length are in the range of 300-600 nm and 1000-2500 nm respectively A similar shape of tip was also observed for the nanorods grown on (111) Si (Fig 1b)

Fig 1 a) InN nanorod grown on the a-plane and b) on the r-plane of Al2O3 Note difference in faceting of their tips and different length of facets on two sides of each crystal The arrows indicate a growth direction

Fig 2 a) InN epilayers grown along [11-20] direction on the r-plane (1-102) Al2O3 Note columnar growth and strong faceting of all columns b) InN layer grown along polar [0002] direction on the c-plane (0002) Al2O3 Much smaller surface roughness and large columnar grains are observed in these layers

For the differences in shape of faceting of the nanorod tips for growth on the differing substrates one can find similarities in the surface roughness of InN layers grown on the same surfaces of sapphire Independently from the substrate surface on which epilayers were grown columnar growth was

(a) (b)

200 nmQuickTimetrade and a

TIFF (Uncompressed) decompressorare needed to see this picture

50 nm

(b) (a) (b)

InN Nanorods and Epilayers Similarities and Differences 39

observed These columns are more pronounced in the layers grown in non-polar orientation (grown on r-plane of Al2O3) where occasionally separation between the columns is visible (Fig 2a) Much stronger faceting (on the same planes as for the nanorods) is observed at the sample surface grown on the r-plane of Al2O3 In addition the surface roughness can be as large as 130 nm while for the layers grown on the c-plane of Al2O3 the roughness does not exceed 10-20 nm (Fig 2b)

32 Growth Polarity of the InN Epilayers and Nanorods

Convergent Beam Electron Diffraction (CBED) was applied to study the growth polarity of InN layers grown on the c-plane of sapphire and InN nanorods grown also on the c-plane of the same substrate using a JEOL 3010 TEM These patterns were taken for different sample thicknesses CBED patterns for the same zone axis and sample thickness were simulated for the accelerating voltage (300keV) as used in the experiment A good agreement between experimental and calculated patterns was obtained Based on these experiments and taking into account the rotation angle between the image and a diffraction pattern in our microscope it was determined that the layers were grown with In polarity but the studied nanorods grown on c-plane of Al2O3 were grown with N-polarity Since the statistics for polarity determination of nanorods are rather poor it is very difficult to make a more general statement as to whether the nanocrystals grown on different surfaces of sapphire have a similar polarity

Fig 3 a)-b) and c)-d) Pairs of experimental and calculated CBED patterns for InN with a thickness of 65 nm and 100 nm with an indication of the In and N atom arrangement along the c-axis confirming In growth polarity of the layer e) InN epilayer with growth direction indicated by arrow f) InN nanorod with growth direction indicated g-h) a pair of experimental and calculated CBED patterns showing N growth polarity of the nanorod

33 Defects in the InN Epilayers and Nanorods The main defects in the InN layers grown on the c-plane of Al2O3 are threading dislocations which propagate along the growth direction Their density is in the range of 8x109 cm-2 - 2x1010cm-2 This is only slightly larger than the density of dislocations in the underlying GaN buffer layer Basal stacking faults (BSFs) formed on c-planes are also observed For the samples grown in the non-polar direction prismatic stacking faults (PSFs) are observed in addition to dislocations and BSFs

InN nanorods show high crystalline perfection with very clean and abrupt side walls (Fig 4a) However occasionally the presence of twins can be observed leading to corrugated side walls (Fig 4b) As mentioned at the beginning of this paper faceting of the nanorod tips is observed These facets have different lengths on the opposite sides of the nanorods Usually the longer facet is abrupt (Fig 4c) but along a shorter facet twins are also observed (Fig 4d-e) Twins were not observed in the epilayers

In some nanocrystals grown on the a-plane of Al2O3 the diameter slightly changes along the length and a v-shape grove appears along one elongated side of the crystal CBED patterns show two interconnected identical patterns where the c-axis of one part is rotated by about 60deg toward the other

In N

N In

(a)

(b)

(c)

(d)

(g)

(h)

(e)

(f)

40 Z Liliental-Weber et al

Fig 4 a) Side wall of a nanorod showing high perfection b) a similar side wall of a nanocrystal grown on a-plane showing corrugated walls with twins c-d) high resolution images of a long and short facet of a tip of a nanorod grown on Si substrates e) a magnified area of the tip facet showing formation of a twin

This coincides with the [0-111] direction which has the same displacement vector as a prismatic stacking fault (PSF) This suggests that PSFs might be present in these nanorods (similarly to the InN MBE grown layers) PSFs may give rise to the growth of the crystals at different angles and thus the ldquoflower-likerdquo features 4 Conclusions These studies show that InN epilayers grown on c- and r-plane of Al2O3 have different surface roughness Much more narrow columns with strong faceting are observed for the growth in non-polar direction This coincides with the different shape of the tips of the nanorods grown on different planes of sapphire

The majority of the nanocrystals have high crystalline perfection but in some of them twinning was observed along the sidewalls and tips of the nanorods However this type of defect was not observed in the epilayers The majority of defects present in the latest material are dislocations and stacking faults Particularly basal and prismatic stacking faults are observed in the layers grown on non-polar surfaces Based on CBED studies of nanorods grown on the a-plane Al2O3 prismatic stacking faults might be present in the nanorods grown on the same substrate since the two patterns are rotated by about 60deg to each other This coincides with the angle between the c-axis and [0-111] direction which has the same displacement vector as a prismatic stacking fault Acknowledgements This work is supported by the US Department of Energy under Contract No DE-AC02-05CH11231 The work at UF is partially supported by NSF (CTS-031178) and NASA Kennedy Space Center Grant NAG 10-316 ONR (N00014-98-1-04) and NSF DMR 0400416 References 1 Lu H Schaff W J Hwang J Wu H et al 2000 Appl Phys Lett 77 2548 2 Kryliouk O Reed M Dann T Anderson T and Chai B 1999 Mater Sci Eng B 59 6

(c) (d) (e)

(a) 3 nm (b)

Residual Strain Variations in MBE-Grown InN Thin Films A Delimitis Ph Komninou J Arvanitidis M Katsikini S-L Sahonta E Dimakis1 S Ves E C Paloura F Pinakidou G Nouet2 A Georgakilas1 and Th Karakostas Department of Physics Aristotle University of Thessaloniki GR-54124 Thessaloniki Greece 1 Microelectronics Research Group Department of Physics University of Crete PO Box 2208

71003 Heraklion-Crete and IESL FORTH PO Box 1527 71110 Heraklion-Crete Greece 2 ENSICAENSIFCOM UMR CNRS 6176 6 Bld du Marechal Juin 14050 Caen Cedex France Summary The different nature of the residual strain in InN thin films grown on GaNAl2O3 templates is investigated Wurtzite InN grows epitaxially on GaN with a well-defined orientation relationship having threading dislocations as the dominant structural defect Electron microscopy Raman and X-ray absorption fine structure experiments reveal that the epilayers are subject to biaxial residual strain either compressive or tensile The origin of compressive strain is due to the InNGaN structural mismatch and thermal strains imposed from sapphire whereas tensile strain is predominately attributed to InN island coalescence during the initial growth stages 1 Introduction The recently established band gap value of ~06 eV[1] makes InN a quite attractive material since its alloys with AlN and GaN could broaden the nitride-based device wavelength range from infrared to ultraviolet[2] However since only its epitaxial growth using molecular beam epitaxy (MBE) is feasible up to now[3] InN films are subject to a great amount of residual strains which can have a significant effect on their structural electrical and optical properties

In this study the different nature of the residual strain in InN thin films epitaxially grown on GaNAl2O3 templates by plasma-assisted MBE is investigated The films were characterized by conventional and high-resolution transmission electron microscopy (TEM-HRTEM) whereas complementary results are provided by X-ray absorption fine structure (EXAFS) and Raman spectroscopy Wurtzite InN grows epitaxially on GaN with an orientation relationship of [11 2 0]InN[11 2 0]GaN (0001)InN(0001)GaN The main structural defects present in all epilayers are threading dislocations (TDs) of screw- mixed- and edge-type character whose density decreases towards the InN free surface The variations in the lattice constants as deduced both by electron diffraction and HRTEM experiments as well as by the EXAFS and Raman results reveal that the epilayers are subject to biaxial residual strain which is either compressive or tensile The InNGaN structural mismatch and the thermal strains imposed from sapphire are the origin of compressive strain whereas tensile strain is always encountered in two-step growth mode epilayers due to the coalescence of InN islands during the initial growth stages 2 Experimental Details The InN epilayers were grown in a single (InN4-InN7) or two step growth mode (InN1-InN3) on 25 microm thick Ga-face GaNAl2O3 (0001) templates by plasma assisted radio-frequency (rf) MBE according to the regime previously described[3] XTEM specimens were prepared by mechanical thinning followed by ion milling in a liquid nitrogen-cooled stage TEM observations were performed on a JEOL 2011 electron microscope with a point resolution of 019 nm and Cs=05 mm The EXAFS spectra were recorded at the In K edge at the A1 beamline of the Synchrotron Radiation Facility HASYLAB in Hamburg The Raman spectra were recorded in the

42 A Delimitis et al

backscattering geometry using a DILOR XY micro-Raman system equipped with a cryogenic charge coupled device (CCD) detector For excitation the 5145 nm line of an Ar+ laser was used 3 Results and Discussion Compact InN films can be successfully grown both in the single or two-step growth modes at relatively low growth temperatures [3] In the two-step mode compact growth is accomplished by the introduction of a thin InN nucleation layer in low temperature A typical TEM image of the compact ~1 microm thick InN1 film on top of GaN is shown in Fig 1 The predominant structural defects in all compact samples are TDs of edge screw and mixed type character Their density values are up to 1010 cm-2 close to the InNGaN interface [4] however they show a significant decrease towards the InN free surface especially for the edge and mixed type TDs The epitaxial relationship of InN and GaN is illustrated in the selected area diffraction (SAD) pattern of Fig 1b which corresponds to the common [11 2 0] zone axis and was determined to be [11 2 0]InN[11 2 0]GaN (0001)InN(0001)GaN

Fig 1 a) TEM image from the InN1 epilayer grown in compact mode viewed along [11 2 0] and b) SAD pattern from the corresponding area revealing the InNGaN epitaxial relationship

Such common diffraction patterns of InN and GaN enable the deduction of the InN lattice constants and their variations among the films with high accuracy Subsequently after precise measurements the lattice constants a and c range from 0352-0354 nm and 0569-0571 nm respectively [4 5] In each epilayer of this study the a and c constants have an inversely proportional relationship which is indicative of the existence of biaxial residual strain in InN Similar results are found from HRTEM images of the interfacial InNGaN region such as the ones shown in Fig 2a for InN4 and Fig 2b for InN1 both viewed along [11 2 0] Improved quality atomically flat interfaces between InN and GaN are generally resolved The insets are Fourier filtered images of a part of the interface using only the in-plane 1 100 spatial frequencies in order to reveal locally the position of misfit dislocations (MDs) that accommodate the InNGaN structural mismatch [4] The GaN 1 100 half planes clearly reveal the position of the projected edge component of the MDs in the interface The dislocations appear in an average of 105 GaN planes in Fig 2a and 10 planes in Fig 2b ie the fringes are shown to terminate in an average plane sequence of 11-10-11-10 in (a) and 11-10-9-10 GaN planes in (b) This is translated [6] into a=03525 nm for (a) and a=03543 nm for (b) in agreement with the SAD results for the corresponding InN epilayers Consequently it is deduced that the InN lattice parameters remain practically constant throughout the total thickness [6] Based on the strain free InN values recently deduced [5] the InN epilayers are subject to residual biaxial strains either compressive or tensile in nature

Residual Strain Variations in MBE-Grown InN Thin Films 43

Fig 2 HRTEM images from the InNGaN interface in the epilayers a) InN4 and b) InN1 The different spacing of the MDs reveal residual compressive strain in (a) and tensile in (b)

The Fourier Transforms (FT) of the χ(k) EXAFS spectra for most of the InN epilayers is

summarized in Fig 3a The FT corresponds to the radial distribution function of the absorbing atom and thus the first two peaks correspond to the 1st and 2nd nearest neighbouring shells of In ie the InndashN and InndashIn distances respectively The results reveal that within the experimental error the InndashN distance is constant (215-216 Aring) among the samples studied due to the high ionic character of the In-N bond [7] On the other hand the In-In distance is proportional to the a lattice constant and reflects the different kind of residual strain present in the films Fig 3b in good agreement with the TEM analysis However since the InndashIn distance depends on both the a and c constants the graph of InndashIn vs a departs from the RInndashIn = a curve in Fig 3b towards higher distances for samples under compressive stress and towards lower InndashIn distances under tensile stress In other words the InndashIn distance resists stress-induced distortions indicating that stress relaxation is mainly done by angle deformation rather than bond elongation or contraction

Typical Raman spectra of the samples around the high frequency E2 mode (E22) are depicted in

Fig 3c The frequency of the E22 mode is strongly affected by biaxial stresses induced in the InN

epilayer due to the different thermal expansion coefficients of the epilayer and the template as well as their lattice mismatch The samples can be grouped in two categories those with a red-shifted E2

2 frequency and those with a blue-shifted one Taking into account the positive pressure coefficient of this mode [8] it is clear that the InN epilayers are subject to either compressive (blue-shifted) or tensile (red-shifted) residual strain These results confirm the TEM and EXAFS measurements and illustrate that the origin of the different strain sign stems from the growth process [3] in samples with a two-step growth regime a discrete island growth formation takes place prior to coalescence that accounts for the tensile strain [9] whereas epilayers grown in a single compact mode develop compressive residual strain The latter originates both from any residual structural mismatch not accommodated by the MDs network as well as from the thermal strains imposed from Al2O3 due to its higher thermal expansion coefficient than that of InN and GaN[5] 4 Conclusions The nature of the residual strain imposed on InN thin films grown by rf plasma-assisted MBE on GaNAl2O3 templates has been investigated by combining the TEM EXAFS and Raman techniques The characterization results revealed that InN is under either compressive or tensile biaxial strain The origin of compressive strain is both from the InNGaN structural mismatch and the thermal strains imposed from the sapphire substrate during cooling down to room temperature whereas tensile strain is merely a consequence of the discrete island coalescence in films grown with a two-step mode The strain distribution is uniform throughout the whole InN thickness for films having typical thickness values up to 1 microm

44 A Delimitis et al

Fig 3 a) FT amplitudes of the k3 weighted χ(k) EXAFS spectra The experimental and fitting curves are shown in thin and thick lines respectively b) Dependence of the InndashIn distance on the a parameter The vertical line at 03535 nm denotes the relaxed value of a[5] c) Raman spectra of the compressive (left panel) and tensile (right panel) InN samples in the E2

2 mode region The dashed vertical line denotes the E22 frequency in stress-free InN

while the solid vertical lines denote the maximum blue or red shift of E22

Acknowledgements Financial support from the European Union through the Marie Curie RTN contract No MRTN-CT-2004-005583 (PARSEM) and the GSRT through the ldquoGreece-Czech Republic Joint Research amp Technology Programs 2005-2007rdquo is greatly acknowledged References 1 Wu J Walukiewicz W Yu KM Ager III J W Haller E E Lu H Schaff W J Saito Y and

Nanishi Y 2002 Appl Phys Lett 80 3967 2 Bhuiyan A G Hashimoto A and Yamamoto A 2003 J Appl Phys 94 2779 3 Dimakis E Tsagaraki K Iliopoulos E Komninou Ph Kehagias Th Delimitis A and

Georgakilas A 2005 J Cryst Growth 278 367 4 Delimitis A Komninou Ph Kehagias Th Karakostas Th Dimakis E Georgakilas A and Nouet G

2005 Proc MSMXIV Conf eds Cullis AG and Hutchison JL (Springer Berlin) p 71 5 Dimakis E Iliopoulos E Tsagaraki K Adikimenakis A and Georgakilas A 2006 Appl Phys

Lett 88 191918 6 Delimitis A Komninou Ph Dimitrakopulos G P Kehagias Th Kioseoglou J and Karakostas Th

2007 Appl Phys Lett 90 061920 7 Wright A F 1997 J Appl Phys 82 2833 8 Pinquier C Demangeot F Frandon J Pomeroy J W Kuball M Hubel H Van Uden N W A

Dunstan D J Briot O Maleyre B Ruffenach S and Gil B 2004 Phys Rev B 70 113202 9 Boumlttcher T Einfeldt S Figge S Chierchia R Heinke H Hommel D and Speck J S 2001 Appl

Phys Lett 78 1976

0 1 2 3 4 5 6 7 80

10

20

30

40

InN7

InN6

InN5

InN4

In

InN1

|FT

k3 χ(k

) |

R(Aring)

N

3520 3525 3530 3535 3540 3545 35503520

3525

3530

3535

3540

3545

3550

InN6

InN7InN5

InN4

InN1

RIn

-In (Aring

)

a(Aring)

rela

xed

(Dim

akis

et a

l)

R In-In=a

tensilecompressive

480 490 500

InN2

Raman Shift (cm-1)

InN1

InN3

tensile films

480 490 500

InN7

InN5

InN6

InN4

compressive films

E22

Ram

an In

tens

ity (a

rb u

nits

)

a b

c

Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy A Mogilatenko W Neumann E Richter1 M Weyers1 B Velickov2 and R Uecker2

Institut fuumlr Physik Humboldt-Universitaumlt zu Berlin Newtonstr 15 12489 Berlin Germany 1 Ferdinand-Braun-Institut fuumlr Houmlchstfrequenztechnik Gustav-Kirchhoff-Str 4 12489 Berlin

Germany 2 Institut fuumlr Kristallzuumlchtung Max-Born-Str 2 12489 Berlin Germany Summary Structural analysis of c-plane GaN nucleation layers on (100) γ-LiAlO2 and freestanding 2 inch c-plane GaN wafers is presented The nucleation layers contain a number of hexagonal pits The pit formation in nucleation layers might be an origin for the formation of macroscopic pyramids which are coupled on V-pits in the freestanding 2 inch GaN layers The pyramids start growing at the interface to LiAlO2 They consist of wurtzite GaN which is brown in colour The brown GaN regions show an extremely high defect density The freestanding HVPE-grown GaN layers have Ga polarity 1 Introduction (100) γ-LiAlO2 is a promising substrate which allows the growth of both polar c- and non-polar m-plane oriented GaN layers [1 - 4] In contrast to the GaN growth on the commonly used commercial substrates (sapphire SiC Si) the GaNLiAlO2 system shows significantly smaller lattice mismatch Furthermore LiAlO2 decomposition at elevated substrate temperatures [5] as well as a large difference in thermal expansion coefficients between LiAlO2 and GaN lead to spontaneous separation of the substrate during post-growth cooling down [1 4] This allows the preparation of thick freestanding GaN layers which can be used as substrates for subsequent GaN homoepitaxy

In the last years the growth of freestanding m-plane oriented GaN layers on γ-LiAlO2 has been successfully demonstrated [1] whereas less attention has been given to the growth of c-plane layers Recently freestanding c-plane GaN layers have been grown on LiAlO2 by hydride vapour phase epitaxy (HVPE) for the first time [4] The present study includes structural analysis of c-plane oriented GaN nucleation layers as well as freestanding GaN wafers grown by this process In particular the influence of nucleation temperature on the structure and morphology of the nucleation layers is considered 2 Experimental γ-LiAlO2 single crystals of 2 inch diameter and up to 120 mm length were grown using the Czochralski technique The LiAlO2 ingots were sliced and polished resulting in (100) γ-LiAlO2 wafers of 400 microm thickness HVPE growth was performed in a horizontal Aixtron-HVPE reactor GaN nucleation layers were grown at a substrate temperature of 590degC followed by recrystallization at 990degC Two samples were deposited with a recrystallization time of 2 min and 6 min In addition a 200 microm thick GaN film was grown on the top of the nucleation layers [4] Due to the spontaneous substrate separation freestanding GaN wafers were obtained

Transmission electron microscopy (TEM) studies comprising scanning transmission electron microscopy (STEM) energy dispersive X-ray spectroscopy (EDXS) electron energy loss spectroscopy (EELS) as well as convergent beam electron diffraction (CBED) were carried out on a JEOL JEM2200FS microscope operating at 200 kV Plan-view as well as cross-sectional

46 A Mogilatenko et al

specimens were prepared from central and edge regions of the wafers to assess the structural homogeneity of the layers 3 Results 31 Structure of Thin GaN Nucleation Layers on (100)LiAlO2 Electron diffraction analysis shows the formation of hexagonal GaN growing with the c-plane orientation ie GaN(0001)[110] || LiAlO2(100)[001] Cross-sectional TEM analysis shows that the GaN nucleation layers have a columnar structure Deposition of a thin GaN nucleation layer at a substrate temperature of 590degC followed by the subsequent recrystallization for 2 min at 990degC leads to formation of a film with a rough surface and a smooth interface (Fig 1a) The thickness of the layer is not uniform over the wafer It changes from up to 80 nm at the central region of the wafer down to 40 nm at its edge Furthermore the crystallite size decreases from the wafer centre to the wafer edge

Fig 1 Cross-sectional TEM micrographs of the GaN nucleation layers obtained at a recrystallization time of a) 2 min and b) of 6 min The images were obtained at the centre (left) and the edge (right) of the wafers Arrows in (b) indicate small LiAl5O8 grains at the GaNLiAlO2 interface which appear due to substrate decomposition

Longer recrystallization time improves the structure and morphology of the GaN nucleation

layers An increase of the recrystallization time from 2 min up to 6 min leads to an almost homogeneous layer thickness over the wafer (Fig 1b) The surface roughness decreases compared to the previous sample indicating that transport processes occur on the surface minimizing the free surface energy In addition the longer recrystallization leads to the formation of a GaN layer with a larger grain size indicating progression of coalescence with time Furthermore the longer recrystallization time supports the decomposition of LiAlO2 underneath the GaN layer which results in the formation of epitaxial LiAl5O8 grains at the GaNLiAlO2-interface (see the arrows in Fig 1b) [5]

Plan-view analysis shows that the GaN layers contain a number of hexagonal grains which appear dark in STEM annular dark-field images (Fig 2a) The grain density increases from the centre to the edge of the wafer High resolution TEM analysis (Fig 2b) reveals that these grains as well as the rest of the layer contain c-plane oriented GaN Thus the dark colour in the STEM dark-field image must be attributed to the smaller specimen thickness of the grains The EELS spectrum from a hexagonal grain shows a strong carbon K-edge (Fig 2c) However this result must be carefully interpreted since carbon is often introduced by the specimen preparation In this case preferred agglomeration of the carbon atoms is possible for the particular layer geometry where some hexagonal grains are thinner than the surrounding layer and consequently appear as pits

The origin of the pit formation in the GaN nucleation layers is not clear yet Obviously the GaN grains show a different growth rate which can be explained either by the presence of inversion domains or by a different chemical composition (for example by Li or O impurities

Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy 47

which stem from the substrate decomposition) We tried to identify the inversion domains in cross-section by the CBED technique Unfortunately this analysis was not successful Because of the columnar layer structure and a high defect density in the nucleation layers the symmetric contrast in CBED discs vanishes which does not allow us to carry out polarity measurements

Fig 2 a) Plan-view STEM dark-field micrograph of a GaN layer on LiAlO2 b) HRTEM image of a hexagonal grain visible in (a) The enlarged inset demonstrates that the hexagonal grains contain c-plane oriented GaN c) EELS spectra from the points 1 and 2 (marked in (a)) Strong carbon K-edge is visible in the spectrum obtained from the hexagonal grain

32 Structure of 2 inch Freestanding GaN Layers Figure 3 shows a cross-section light microscopy image of a freestanding GaN layer obtained by HVPE The layer contains 12-sided V-pits which are partly 100 microm deep They are surrounded by hexagonal pyramids The pyramids originate at the interface to the LiAlO2 substrate They intersect the whole GaN layer thickness Their density increases rapidly from the centre to the edge of the wafer which is similar to the observed pit distribution in thin GaN nucleation layers The GaN layer regions which are free of pyramids are transparent and colourless whereas the pyramid material is brown in colour (see the black coloured pyramids in Fig 3) The dark colour may indicate a nitrogen-deficiency in the grown layers

Fig 3 Freestanding GaN layer in cross-section The V-pits are surrounded by hexagonal pyramids The dark pyramid colour may indicate a nitrogen-deficiency in the grown sample

EDXS analysis did not show any compositional difference between both regions Electron

diffraction reveals the presence of only wurtzite GaN phase Plan-view investigations were carried out to analyse the defect structure of the layers In this case the specimen preparation was complicated by the very high ion milling rates of the brown regions compared to the colourless GaN matrix Figure 4a shows the bright-field micrographs obtained from a colourless GaN matrix and a brown pyramid The brown regions show an extremely high defect density with evidence of crack formation This explains the higher ion milling rates of the brown GaN regions during the specimen preparation EELS spectra from the pyramid material contain a C-K edge (not shown here) However a preferential agglomeration of C at dislocation bunches as well as in cracks is possible That is why the presence of C in the samples still has to be verified by other chemically sensitive methods

48 A Mogilatenko et al

Polarity of the freestanding GaN layers was studied using convergent beam electron diffraction (CBED) The CBED patterns were obtained in the [1-100] GaN zone axis A 180deg-rotation between the image and diffraction plane has been measured for our microscope Simulations were carried out using the software package JEMS for specimen thicknesses ranging from 80 nm to 300 nm in 10 nm steps The CBED analysis shows that the freestanding GaN layers grown by HVPE have the Ga polarity ie the [0001] GaN axis is oriented upwards (Fig 4b)

Fig 4 a) Bright-field micrographs from the white and brown regions of the GaN wafer b) Experimental CBED patterns (resp left) acquired from the freestanding GaN layer and calculated CBED patterns (resp right) The layers have the Ga-polarity

4 Summary C-plane oriented GaN nucleation layers have been grown on (100) γ-LiAlO2 substrates by HVPE process Longer recrystallization time considerably improves the structure and morphology of the GaN layers Nevertheless the layers contain hexagonal pits The pit formation can be explained by a different growth rate of the particular GaN grains The pit density increases from the centre to the edge of the wafers

Colourless freestanding 2 inch (0001) GaN wafers contain 12-sided V-pits which are surrounded by brownish GaN pyramids The brown regions show an extremely high defect density compared to the colourless GaN The freestanding GaN layers grown by HVPE have the Ga-polarity Whether the presence of hexagonal pits in the thin GaN nucleation layers is a reason for the formation of macroscopic dark pyramids in the thicker layers still needs to be clarified Acknowledgements Authors would like to thank K Peters and U Rinas from CrysTec GmbH Berlin for the processing of γ-LiAlO2 wafers This work is financially supported by the Investitionsbank Berlin and the European Regional Development Fund under the ProFIT scheme References 1 Maruska H P Hill D W Chou M C Gallagher J J and Chai B H 2003 Opto-electron Rev 11 7 2 Waltereit P Brandt O Ramsteiner M Trampert A Grahn H T Menniger J Reiche M Uecker

R Reiche P and Ploog K H 2000 Phys Stat Sol (a) 180 133 3 Reed M D Kryliouk O M Mastro M A and Anderson T J 2005 J Cryst Growth 274 14 4 Richter E Hennig Ch Zeimer U Weyers M Traumlnkle G Reiche P Ganschow S Uecker R and

Peters K 2006 Phys Stat Sol (c) 3 1439 5 Mogilatenko A Neumann W Richter E Weyers M Velickov B and Uecker R submitted to J

Appl Phys

Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire R Kroumlger T Paskova and A Rosenauer

Institute of Solid State Physics University of Bremen Otto-Hahn-Allee 1 28359 Bremen Germany Summary The defect structure in a-plane GaN films grown on r-plane sapphire distinguishes itself significantly from the one found in c-plane GaN Transmission electron microscopy studies on a-plane GaN films grown by hydride vapour phase epitaxy reveal basal plane stacking faults with a density in the range of 105 cm-1 as a major planar defect in this type of growth In addition prismatic and pyramidal stacking faults were found lying in the 1-100 and 1-102 planes The non-basal plane stacking faults are found in conjunction with nanopipe-like voids emerging at the filmsubstrate interface It is suggested that the formation of the prismatic and pyramidal stacking faults is caused by interfacial strain during the early stage of growth 1 Introduction The realization of nitride-based semiconductors with non-polar or semi-polar growth surfaces is of great technological interest since it will enable the production of optoelectronic device structures with no or a reduced spontaneous polarization fields which will enhance the efficiency of the devices However compared to state-of-art high-quality films grown in the c-orientation non-polar or semi-polar nitride films suffer from a high density of structural defects [1] The defect structure in these materials differs significantly in some aspects from those commonly found in polar c-plane nitride films In order to improve the material quality a better understanding of the defect formation mechanisms and a detailed knowledge of their structure are required A promising growth technique for the deposition of high-quality nitride films with large growth rates for the realization of nitride based bulk substrates is hydride vapour phase epitaxy (HVPE) [2] For this aim the present paper focuses on the defect structure of a-plane wurtzite GaN films grown on r-plane sapphire by HVPE 2 Experimental Details Thick GaN film with a thickness of about 20 microm was deposited by means of HVPE on an r-plane sapphire substrate in a conventional horizontal reactor at atmospheric pressure The microstructural analysis was performed by transmission electron microscopy (TEM) using a Philips CM20UT TEM specimen preparation was carried out by mechanical grinding and subsequent thinning to electron transparency applying a focused ion beam system (FEI NOVA NanoLab) This preparation enabled to obtain large planar areas to be investigated by TEM in plan view as well as in cross section geometry 3 Results and Discussion Growth related planar defects such as basal plane stacking faults (BSFs) are commonly observed in a-plane or m-plane GaN heteroepitaxially grown on different substrates having densities in the range of 10-5 cm-1 [3-5] The dominant type of stacking fault is the I1-type with a stacking sequence ABABCBCB being terminated by Frank-Shockley partial dislocations with Burgers vector b=16lt2-203gt [5] In the investigated sample only stacking faults of the I1-type could be identified which is the lowest-energy stacking fault in the wurtzite GaN [6] indicating near

50 R Kroumlger T Paskova and A Rosenauer equilibrium growth conditions Figure 1a shows a bright-field TEM (BFTEM) image of such BSFs The white arrow indicates the presence of a prismatic stacking fault (PSF) lying in 1-210 planes inclined at an angle of 60deg relative to the (11-20) growth plane [5] The lateral dimension of these PSFs is only a few nanometers and they are terminated by I1 type BSFs bounded by16[10-10] and 16[-1010] stair-rod dislocations The high density of BSFs is the reason for streak formation in the selected area diffraction (SAD) pattern as can be observed in Fig 1b

Fig 1 a) A BFTEM plan-view image of an area containing BSFs The white arrow indicates the presence of a 60deg PSF being bounded by two BSFs The insert on the right is a magnification of the area indicated in (a) by a white rectangle b) An SAD pattern taken along the [11-20] zone axis The white arrow indicates a streak

To determine whether the BSFs result from a dislocation split reaction it is useful to calculate the stacking fault energy from the observed partial dislocation distances The stacking fault energy γ of a stacking fault bounded by two partial dislocations having the (0001) as slip plane and with a separation distance d after a dissociation reaction can be calculated for elastically anisotropic crystals according to [6]

γ =b2

24πd3sin2 φ minus cos2 φ( )K1 + 3cos2 φ minus sin2 φ( )K3[ ]

Here b is the magnitude of the Burgers vector (BV) of the partial dislocations φ is the angle between BV and dislocation line direction whereas the values of K1 and K3 depend on the elastic constants and can be calculated from the equations given by Steeds [6] For the basal glide plane the resulting values of K1 and K3 are 1465 GPa and 1201 GPa respectively Using these values and the stacking fault formation energy for I1 type stacking faults given by Stampfl et al [7] a separation distance d of 275 nm is estimated which is much lower than the experimentally determined distances of several hundred nanometers From this fact it can be concluded that the main driving force for the BSF formation is not a dislocation split reaction but is rather connected with the nucleation step of the film formation and the strong anisotropy of the lattice mismatch between the a-plane GaN film and the r-plane sapphire Beside the BSFs and the 60deg PSF also non-basal plane stacking faults were observed lying on the 1-100 and 1-102 planes respectively (Figs 2a and 2b) These prismatic and pyramidal stacking fault segments frequently occurred in the arrangement of closed domains created by a stacking fault folding process In the 0002 dark-field image (see Fig 2c) the PSF related fringe contrast appeared when the sample was tilted towards the 1-100 pole This indicates that the displacement vectors R of the different stacking fault segments do not lie in the reflecting planes ie the 1-100 planes since the visibility of the PSF under these conditions leads to g0002middotR ne 0

Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire 51

with g0002 being the respective diffraction vector The voids shown in Figs 2a and 2b are in fact nanopipes emerging from the filmsubstrate interface as can be seen from Figs 3a and 3b The lateral dimensions of these nanopipes are in the range of 30 ndash 100 nm and their length was found to amount to several micrometers

Fig 2 a) A Plan-view bright field TEM images of HVPE a-plane GaN tilted by 4deg towards the 1-100 pole and b) tilted by 45deg towards the 0002 pole c) A 0002 dark-field image of the same sample position tilted by 6deg towards the 1-100 pole and showing the stacking fault contrast of the PSFs

The process of stacking fault folding involves stair-rod dislocations to mediate between the different prismatic and pyramidal crystal planes since the displacement vectors of the stacking faults in the 1-100 and 1-102 planes are not the same An HRTEM plan-view of the tip of a prismaticpyramidal stacking fault domain found in an HVPE film is shown in Fig 4a The arrows indicate the position of stair-rod dislocations It is noteworthy that the tip involves the interaction with an I1 BSF being typical for all such domains found in the film The tip position coincides with a terminated BSF and consists of two r-plane PSF each of them subsequently folding onto the m-plane A magnified part of the PSF on the m-plane is shown in Fig 4b with a BSF crossing the PSF boundary The arrows mark the position of the BSF inside (left) and outside (right) of the stacking fault domain which shows a significant relative shift in c-direction This shift indicates that the PSF domain was formed after the BSF and that the PSFs were therefore formed after the basal plane stacking faults

Fig 3 a) BFTEM cross-section views along the [0001] direction showing a nanopipe formed in HVPE GaN grown directly on sapphire The image taken in a thicker part of the specimen and showing that the pipes originate at the GaNsapphire interface b) BFTEM image taken in a thinner part of the sample showing that the 2-1-10 terminate the nanopipe in growth direction

52 R Kroumlger T Paskova and A Rosenauer

Fig 4 a) Plan-view HRTEM image showing the tip of a folded stacking fault White arrows assign the positions of stair-rod dislocations b) HRTEM image of an m-plane PSF The arrows assign a BSF crossing the PSF and being shifted in c-direction

In Fig 5 a schematic overview is given summarizing the different planar defects found in a-plane GaN grown on r-plane sapphire Beside the I1 basal plane stacking faults (I) bounded by FS partial dislocations folded stacking fault domains containing m- and r-plane facets (II) as well as 60deg prismatic stacking faults bounded by two I1 stacking faults (III) were identified in the investigated film The prismatic and pyramidal stacking fault formation indicates the presence of strong external stresses during growth which is likely to be connected with wafer bending due to the lattice mismatch and different thermal expansion coefficients for the GaN and the sapphire

Fig 5 Sketches of stacking faults found in a-plane GaN grown on r-plane sapphire Grey arrows indicate stair-rod dislocations mediating stacking faults on different planes and grey slabs assign terminating partials

Acknowledgements Support by the Deutsche Forschungsgemeinschaft (Contract No FOR506-KR2195) is gratefully acknowledged We thank PT Fini JS Speck and S Nakamura for sample supply References 1 Craven M D Lim S H Wu F Speck J S and DenBaars S P 2002 Appl Phys Lett 81 469 2 Martin D Napierala J Ilegems M Butteacute R and Grandjean N 2006 Appl Phys Lett 88

241914 3 Haskell B A Wu F Craven M D Matsuda S Fini P T Fujii T Fujito K DenBaars S P

Speck J S and Nakamura S 2003 Appl Phys Lett 83 644 4 Liu T Y Trampert A Sun Y J Brandt O and Ploog K H 2004 Phil Mag Letters 84 435 5 Zakharov D N Liliental-Weber Z Wagner B Reitmeier Z J Preble E A and Davis R F 2005

Phys Rev B 71 235334 6 Steeds J W 1973 Introduction to Anisotropic Elasticity Theory of Dislocations Clarendon

Press Oxford 7 Stampfl C and van de Walle C 1998 Phys Rev B 57 R15 052

Composite Substrates for GaN Growth B Peacutecz L Toacuteth L Dobos P Bove1 H Lahregraveche1 and R Langer1 Research Institute for Technical Physics and Materials Science Hungarian Academy of Sciences POBox 49 H-1525 Budapest Hungary 1 Picogiga International SAS Place Marcel Rebuffat Courtaboeuf 7 91971 Villejust France Summary This work reports on the development of composite substrates realised using the Smart Cuttrade technology A thin film of monocrystalline SiC or Si is transferred onto polycrystalline SiC Those composite substrates can be prepared for 4rdquo size and over as well GaN layers are grown onto the above substrates in order to demonstrate that they can be used for the fabrication of GaN high electron mobility transistor (HEMT) devices The epitaxial nitride layers have been grown successfully and exhibit state of the art GaN HEMTs grown on silicon in terms of crystal and electrical properties 1 Introduction GaN has been demonstrated as an appropriate choice for high electron mobility transistor (HEMT) devices Large area substrates are needed for them in order to keep the unit cost low However GaN can be grown on SiC but SiC is still very expensive Although growth of GaN is realised on Si and on sapphire as well the thermal properties of those substrates suffer from low thermal conductivity The substrates which are presented in this paper have been developed in the HYPHEN EU project utilising the Smart CutTM process of SOITEC The base material is a polycrystalline SiC wafer which is available in large diameter 2 Experimental Four inch large wafers of polycrystalline SiC are used as starting material Another wafer of single crystalline Si (also hexagonal SiC) was used as a seed crystal Both wafers were oxidised and the seed crystal wafer was implanted with hydrogen ions to the appropriate depth which can be regulated by the ion energy A typical dose applied is 5x1016 ions cm-2 The two whole wafers are cleaned after ion implantation in order to eliminate any contamination and to make both surfaces hydrophilic The two wafers are aligned and contacted to each other making wafer bonding The wafer bonded structure is annealed and the defects caused by hydrogen implantation are accumulated to voids and the top stripe of the wafer is split during annealing The process is described in the following review [1] The remaining part of the seed wafer can be used further while a single crystalline strip of the Si (or SiC) is transferred to the polycrystalline SiC substrate separated by an oxide layer Our composite substrates are prepared in the above way and called SopSiC (meaning Si on polycrystalline SiC) and SiCopSiC (meaning SiC on polycrystalline SiC) In the case of SopSiC wafers (111) Si wafers are used which provide the appropriate orientation for the growth of hexagonal GaN GaN layers are grown onto SopSiC substrates in order to demonstrate that they are useful for that purpose

Both the starting material and the composite substrates are characterised by transmission electron microscopy (TEM) atomic force microscopy (AFM) Conventional TEM images were taken on a Philips CM20 microscope while high resolution images and elemental maps were taken on a JEOL 3010 operating at 300 kV Thin sections of the samples were prepared by our low angle ion beam milling technique [2 3]

54 B Peacutecz et al

3 Results 31 Polycrystalline SiC Starting Material

The image shown in Fig 1 is taken at low magnification and shows the polycrystalline wafer in plan view Both the selected area diffraction pattern and the X-ray diffractometry spectrum shows that this is a cubic SiC wafer with a strong (111) preferred orientation The X-ray spectrum is governed by the (111) and (222) peaks the (200) and (220) peaks are completely missing while a very small (311) peak is observed

Fig 1 Plan view image of polycrystalline SiC

Figure 2 shows the same sample at higher magnification in dark field mode One can see that the average grain size is about 1 microm from the top view In cross section (Fig 3) a columnar structure is revealed with long columns of cubic SiC Further details are learned from high magnification images like the typical stacking faults lying horizontally inside the columns The top surface is missing in most of the image in Fig 3 due to the ion milling however in the left side we can see the glue line above the top surface Although the polycrystalline SiC wafers are polished to mirror-like some surface steps are found which are however expected to be covered homogeneously by SiO2 in the next step The wafer is also characterised by Makyoh (magic mirror) topography and the curvature is determined with a radius of 333 m The bow of the wafer is a few times 10 microns

Fig 2 Dark field image of the poly-SiC Fig 3 Cross section of the poly-SiC wafer

Composite Substrates for GaN Growth 55

32 Composite substrates A typical example for a SopSiC substrate prepared by Smart Cuttrade is shown in Fig 4 Crystalline defects are not visible in the Si layer Both the bright field (Fig 4) and dark field images had shown

Fig 4 Cross section of SopSiC substrate Fig 5 AFM image of the surface of the SopSiC sample

that the surface of this SopSiC sample is a little wavy For that reason the surface roughness was measured by AFM and is shown in Fig 5 on a 2 microm x 2 microm area The rms value of 57 nm was evaluated which seems high but is perfectly suitable for GaN growth by MBE or MOCVD

An investigated development related to the surface preparation of the raw materials showed a drastic improvement in the surface roughness with an rms value of 06 nm which is one order of magnitude better than the former one Hexagonal SiC layers were also successfully transferred onto polycrystalline SiC by the Smart Cuttrade process

Fig 6 The nitride buffer layer of a HEMT structure grown by molecular beam epitaxy (MBE) onto SopSiC substrate One can see that the structure is homogeneous which is also confirmed by the following elemental maps

56 B Peacutecz et al

Fig 7 Nitrogen map Silicon map Oxygen map

Following the structure shown in Fig 6 the silicon map in the second image of Fig 7 shows a laterally homogeneous Si strip The markers on the elemental maps of Fig 7 are 20 nm long A complete HEMT structure was grown onto that single crystalline (111) oriented silicon with an ~1500 nm thick Ga(Al)N layer and with a GaNAlGaN of a few times 10 nm with a final cap layer of 1 nm GaN The dislocation density at the surface was determined on plan view images as 7-75 x 109 cm-2 which is in the expected range when GaN is grown onto Si 4 Conclusion Polycrystalline SiC wafers can be used for wafer bonding without any adhesion problem The transferred Si stripes (together with an amorphous SiO2 layer) are homogeneous It is also proved already that the composite substrates can be used for the growth of high quality nitride layers Because the SiSiO2 interface does not contain defects at all that does not limit the quality of the overgrown nitride layers therefore the SopSiC composite substrate is very promising for HEMT fabrication Acknowledgements The authors would like to thank ZsE Horvath for the X-ray data and Z Osvath for the AFM measurements Financial support of the HYPHEN (Hybrid Substrates for Mass Production of High Frequency Electronics) EU project (No FP6 IST 027455) and OTKA T047141 (Hungary) are acknowledged References 1 Celler G K and Cristoloveanu S 2003 J Appl Phys 93 4955 2 Barna A Radnoacuteczi G and Peacutecz B 1997 Handbook of Microscopy (eds S Amelinckx D van

Dyck J van Landuyt G van Tendelo) VCH Verlag Vol 3 Chapter II3 3 Barna A Peacutecz B and Menyhard M 1998 Ultramicroscopy 70 161

GaN Layers Grown by MOCVD on Composite SiC Substrate L Toacuteth L Dobos B Peacutecz M A di Forte Poisson1 and R Langer2 Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences H-1525 Budapest P O Box 49 Hungary 1 ALCATEL-THALES III-V Laboratories Route de Nozay 91460 Marcoussis France 2 PICOGIGA International SAS Place Marcel Rebuffat Courtaboeuf 7 91971 Villejust France Summary GaN based high electron mobility transistor structures were grown onto special composite substrates by metal-organic chemical vapor deposition These substrates consist of a thin single crystalline SiC layer transferred onto polycrystalline SiC wafer by a technique involving ion implantation and wafer bonding Transmission electron microscopy of these structures has proven that their epitaxial quality and defect structure is the same as of the reference samples deposited onto single crystalline bulk SiC substrate 1 Introduction The widespread use of various GaN based semiconductor devices (optoelectronic as well as high frequency and high power devices eg high electron mobility transistors (HEMTs)) is still limited due to the high cost of the large diameter single crystal substrates which are needed to manufacture them 6H and 4H SiC single crystal wafers are regarded as the most suitable substrate materials Al2O3 (sapphire) and Si with less optimal properties are used as well though their heat conductivity and lattice matching are inferior to SiC therefore the dissipated power and lifetime of the devices are much less

The European research project HYPHEN aims to solve this problem by developing special composite substrates and using them as a base for high electron mobility transistor (HEMT) devices In this process very thin layers of high quality monocrystalline SiC are transferred onto polycrystalline SiC wafers by the Smart Cuttrade technology involving ion implantation and wafer bonding [1] In this way a compromise between the highly conductive low cost poly-SiC and the defect-free lattice matched single crystalline SiC can be realized Details of this development are described elsewhere in this volume [2] In this paper the results of transmission electron microscopy (TEM) characterisation of GaN based HEMT structures grown on these special composite substrates by metal-organic chemical vapour deposition (MOCVD) will be presented 2 Experimental Special composite SiCopSiC (silicon-carbide-on-polycrystalline-silicon-carbide) substrates were prepared according to the technology described in another paper in the same volume [2] by transferring thin monocrystalline SiC-6H layers onto a 2rdquo polycrystalline SiC wafer (3C polytype) separated by a thin SiO2 layer These substrates were placed in a MOCVD reactor to deposit various (GaAl)N layers forming a HEMT structure First a 140 nm thick GaAlN nucleation layer was deposited followed by 15 microm GaN and a 22 nm Ga1-xAlxN (x=024) layer

Standard plan view and cross sectional TEM specimens were prepared by Ar+ ion milling at 10 keV which was lowered to 3 keV for the final minutes of the preparation process to decrease surface damage of the specimens Conventional TEM study was carried out on a Philips CM20 transmission electron microscope at 200 kV High resolution and filtered electron microscopy was applied as well in a 300 kV JEOL3010 microscope attached with a GATAN imaging filter

58 L Toacuteth et al

3 Results and Discussion The overall view of cross sectional specimens of GaN on SiCopSiC substrate were found to be similar to the reference (on bulk SiC) as well as to that expected (Fig 1) namely good quality epitaxial films with a strongly defected GaAlN nucleation layer at the interface and more defect-free GaN near the top surface The orientation relationship GaNSiC determined from electron diffraction patterns (Fig 2) was also the same well known from earlier studies

(0001)GaN || (0001)SiC [1100]GaN || [1100]SiC

Fig 1 Cross sectional TEM micrographs of the HEMT structures grown over a) SiCopSiC composite substrate and b) bulk SiC wafer

Fig 2 Selected area electron diffraction patterns of the GaN layer and the substrates for a) SiCopSiC composite substrate and b) bulk SiC wafer showing the epitaxial orientation relationship

GaN Layers Grown by MOCVD on Composite SiC Substrate 59

The interface GaAlNSiC however was not as planar on SiCopSiC substrates as on bulk SiC although the phase transition was very sharp according to high resolution TEM micrographs (Fig 3b) This comes from the wavy nature of the composite substrate surface (mean rms roughness value of about 4 nm determined by AFM two orders of magnitude higher than on bulk SiC) and was also confirmed by elemental mapping using filtered electrons (Fig 4)

Fig 3 a) Bright field and b) high resolution electron micrographs of the GaAlNSiC interface of the sample deposited onto SiCopSiC composite substrate

Fig 4 Zero loss image (ZLI) and elemental maps of Si C and N of the same area at the interface GaAlNSiCopSiC The interface is sharp although the substrate surface is wavy

60 L Toacuteth et al

In spite of the slightly uneven GaNSiC interface the top surface of the HEMT structure was found to be atomistically flat and planar except of the vicinity of emergence points of threading dislocations (Fig 5) Their density near the top surface measured on plan view specimens under two-beam dynamic imaging conditions was found to be 13 ndash 16 x 109 cm-2 a value corresponding to that measured on bulk SiC specimens as well as reported in the literature [3] Their Burgers vector was determined as well b = 13lt1123gt or 13lt1120gt In the nucleation layer as usual the density of dislocations was orders of magnitude higher The rms surface roughness of the top surface was found by AFM to be below 04 nm for both SiCopSiC and bulk SiC substrate

Fig 5 Bright field electron micrographs of the Ga1-xAlxN layer at the top surface of a) the sample on SiCopSiC substrate and b) the reference sample on bulk SiC wafer showing threading dislocation

4 Conclusions It has been shown that the epitaxial quality and microstructure of the formed HEMT layer structure is not changed significantly by using composite SiCopSiC substrate instead of bulk SiC substrate In spite of the slightly wavy surface of the composite substrate the top surface of the HEMT structure is completely planar The most characteristic defects at the active layer are mixed type threading dislocations with density of about 15 x 109 cm-2 the same value as observed in similar layers deposited onto bulk SiC substrate Acknowledgement Financial support of the HYPHEN (Hybrid Substrates for Mass Production of High Frequency Electronics) EU project (No FP6 IST 027455) and OTKA T047141 (Hungary) are acknowledged References 1 Celler G K and Cristoloveanu S 2003 J of Appl Phys 93 4955 2 Peacutecz B Toacuteth L Dobos L Bove P and Langer R 2007 this Proceedings volume 3 Jahnen B Albrecht M Dorsch W Christiansen S Strunk H P Hanser D and Davis R F 1998

MRS Internet J Nitride Semicond Res 3 39

An Initial Exploration of GaN Grown on a Ge-(111) Substrate Y Zhang C McAleese H Xiu C J Humphreys R R Lieten1 S Degroote1 and G Borghs1

Department of Materials Science and Metallurgy University of Cambridge Cambridge CB2 3QZ UK 1 Interuniversity Microelectronics Center Kapeldreef 75 B-3001 Leuven Belgium Summary Using electron microscopy techniques two types of defect have been identified in a GaN epilayer grown directly on a Ge-(111) substrate using plasma assisted molecular beam epitaxy (PAMBE) without any intermediate buffer layers One defect initially observed with optical microscopy has a triangular shape in plan-view imaging and is essentially a faceted void in the Ge extending from the interface into the substrate Another type of defect is the formation of domains due to the misorientation of the GaN relative to the Ge substrate with a twist of plusmn4˚ about the GaN-[0002] axis 1 Introduction GaN and other wide band-gap III-nitride semiconductors have attracted enormous attention in the last decade due to their electronic and optoelectronic properties The widely used substrates sapphire SiC and Si all suffer from large lattice mismatches with respect to GaN of 15 35 and -17 respectively [1] Until recently Ge substrates have never been used to grow GaN and other III-nitrides due to the theoretically huge lattice mismatch The in-plane lattice mismatch between Ge-(111) and GaN-(0001) for example is over 20 However recent research has investigated the possibility of using Ge as a substrate for direct GaN growth and found the quality of GaN grown on Ge using PAMBE to be surprisingly good [2] The GaN epilayer coalesced easily to give a flat surface Good crystal quality was revealed by XRD measurements with 371 arc sec FWHM for the rocking curve of a ~38 nm GaN epilayer Rectifying behaviour for n-GaN on p-Ge was also observed in current-voltage measurements These results suggest that direct growth of good quality GaN on Ge is possible which could lead to the application of Ge substrates in vertically conductive devices To investigate this possibility as well as to further improve the crystal quality of GaN structural characterisation has been initiated using a combination of techniques including optical microscope (OM) atomic force microscopy (AFM) X-ray diffraction (XRD) transmission electron microscopy (TEM) and scanning transmission electron microscopy (STEM) Particular interest was focused on the defects that exist in the GaN epilayer in an attempt to understand the growth mechanism and to assist in the optimisation of growth conditions Initial work has found two types of defect that were not observed in GaN on other substrates [3-4] The identification of these features is the main object of this paper 2 Experimental Details A GaN epilayer with a nominal thickness of 280 nm was grown in a MBE apparatus (Riber) equipped with a nitrogen plasma source (HD25 Oxford Applied Research) Details of the growth can be found in [2] The structure of the wafer was characterised using different techniques Optical microscopy gave a quick plan-view of the wafer on a large scale Tapping mode AFM was used to examine the surface morphology of GaN A Philips MRD high-resolution X-ray diffractometer was used to determine the orientation of GaN using Phi scans of skew-symmetric reflections For TEM work a Philips CM 30 microscope was used to perform diffraction pattern

62 Y Zhang et al

(DP) analysis bright field (BF) and dark field (DF) imaging and an FEI Tecnai F20 microscope was used to perform STEM-EDX analysis to obtain compositional information from the sample Both plan-view and cross-section TEM samples were prepared by a standard method ie mechanical grinding and polishing down to 40 microm and then ion-milling down to electron transparency using a Gatan precision ion polishing system (PIPS) 3 Results and Discussion 31 Triangular Void Formation One kind of defect observed with OM has a triangular shape in plan-view imaging as shown in Fig 1 The triangular defects are roughly in the same orientation and their sizes range from several hundred nm up to ten microm The density is about 1 triangle per 10 micromtimes10 microm AFM was used to examine the possibility of these triangular defects being located on the surface Considering the density of the triangular defects several AFM images with scanning area up to 20 micromtimes20 microm were taken A representative image is shown in Fig 2 No obvious triangular feature is observed However some dark areas whose size and density are similar to the triangular defects are observed indicating some depressions which might be related to the defects

40microm

Depressions

50 microm

Fig 3 TEM and STEM on cross-section and plan-view samples a)ampb) cross-section BF images of two different regions c) STEM-EDX analysis on a triangular defect in the plan-view sample

Ge

GaN

200 nm Faceted void

Ge

GaN

500 nm

Vacuum

Faceted void

500 nm

12

Energy (keV)

Cou

nts

11010090

4000

3000

2000

1000

0

Ga

GaCu

Ge

Ge

EDX HAADF Detector Point 1

Energy (keV)

Cou

nts

11010090

4000

3000

2000

1000

0

Ge

GeCu Cu

Ga

Ga

EDX HAADF Detector Point 2

(a)

(b) (c)

13295

000

Fig 1 Optical plan-view image showing the triangular defects

Fig 2 AFM image showing depressions but no triangular defects on the surface

An Initial Exploration of GaN Grown on a Ge-(111) Substrate 63

TEM and STEM give more conclusive results about the nature of the triangular defects as shown in Fig 3 Figures 3a and 3b are cross-section BF images of two different regions in the sample Faceted voids are clearly seen at the GaN-Ge interface The density and size of the voids are consistent with those of the triangular defects observed with optical microscopy Fig 3c shows STEM-EDX analysis on a plan-view sample The top image is a plan-view of a triangular defect The bottom left spectrum gives Ga and Ge concentration at point 1 which is inside the triangular area and the bottom right spectrum gives the concentration outside the area It can be inferred from the Ga and Ge peaks that the Ga concentration is the same for the two points while there is much less Ge inside the triangular area supporting the existence of Ge voids beneath the surface

All the evidence suggests the triangular defects are faceted voids in Ge extending from the interface into the substrate Their formation might arise from desorption of Ge prior to or during the early stages of GaN growth because of the low melting temperature of the Ge substrate 32 Misorientation of GaN Figure 4a shows a representative plan-view BF image of the sample Strong contrast can be observed in the image which can be either due to residual Ge left in some regions after TEM sample preparation or due to the fact that GaN is growing on Ge in more than one orientation STEM-EDX analysis on the plan-view sample (not shown here) suggests that the Ge concentration is uniformly low across the area where the strong contrast exists The selected area diffraction pattern obtained on an area containing both black and white contrast has shown two sets of GaN-[0002] zone axis patterns twisted relative to each other with an angle of about 8deg as shown in Fig 4b indicating the presence of two orientations of GaN grains twisted about the [0002] axis

The two orientations of the GaN grains can also be observed in cross-section images Additionally using different tilting angles the orientation of GaN relative to the Ge substrate can also be determined as shown in Fig 5 Figure 5a was taken on the Ge [110] zone axis and no contrast with the scale

200 nm

GaN

Ge

200 nm

GaN

Ge 200 nm

GaN

Ge

(a)

(b) (c) Fig 5 A series of TEM cross-section BF images taken at different titling angles a) Beam direction was along Ge [110] b) Sample was tilted 4deg about GaN [0002] zone axis away from (a) c) Sample was tilted -4deg about GaN [0002] zone axis away from (a)

1 microm

8deg

(a) (b)

1 microm

8deg

Fig 4 a) TEM BF plan-view image shows strong contrast b) Diffraction pattern analysis of (a) shows two sets of GaN-[0002] zone axis patterns

64 Y Zhang et al

observed in the plan-view image can be found If the sample is tilted about the GaN [0002] direction by 4deg (Fig 5b) or -4deg (Fig 5c) strong contrast appears on a similar scale as observed in the plan-view image and the contrast is reversed for the same region in the two images The diffraction pattern from the dark areas in both images is along the GaN-[1120] zone axis and the diffraction pattern from the bright areas shows a systematic row which is about 8deg away from the GaN [1120] zone axis

XRD results confirmed the misorientation of GaN observed in TEM as shown in Fig 6 The fact that each GaN (1011) peak has split into two sub-peaks and the Ge-(220) peak sits in-between suggests there are two orientations of the GaN grains and both of them are misorientated from the Ge The inset shows that the angles between each GaN sub-peak and the Ge peak is about 4ordm

According to the TEM and XRD results there is a misorientation of GaN grains when growing on a Ge substrate Two orientations exist in the GaN epilayer and they are twisted plusmn4ordm about the GaN-[0002] zone axis relative to the substrate The reason for this misorientation might be minimization of the large lattice mismatch between GaN and Ge Further investigation on this phenomenon will be carried out by examining the GaN-Ge interface using techniques such as high resolution TEM which will help to understand the mechanism of GaN formation on the Ge substrate 4 Summary and Conclusions The structure of a GaN epilayer directly grown on a Ge (111) substrate has been characterised using a combination of microscopic techniques Two interesting types of defect have been identified and investigated Triangular defects observed by optical microscopy are faceted voids extending from the GaN-Ge interface into the substrate Their formation is probably due to desorption of Ge prior to or during the early stages of GaN growth Another feature is the misorientation of the GaN relative to the Ge substrate with a 4ordm twist about the GaN-[0002] zone axis Further investigation into both features as well as further structural characterisation will help to understand the growth mechanism of GaN on Ge Acknowledgement The author would like to thank Cambridge Overseas Trust for financial support References 1 Liu L and Edgar J H 2002 Mater Sci Eng R 37 61 2 Lieten R R Degroote S Cheng K Leys M and Borghs G M 2006 Appl Phys Lett 89 252118 3 Weyher J L Brown P D Zauner A R A Muller S Boothroyd C B Foord D T Hageman P R

Humphreys C J Larsen P K Grzegory I and Porowski S 1999 J Crystal Growth 204 419 4 Kuwano N Hijikuro M Hata S Takeuchi M and Aoyahi Y 2007 J Crystal Growth 298 284

Fig 6 High-resolution XRD phi scan of skew-symmetric reflections

0

10000

20000

30000

40000

50000

60000

70000

80000

90000

100000

-250 -200 -150 -100 -50 0 50 100 150

GaN (1011)Ge (220)

0100002000030000400005000060000700008000090000

100000

40 42 44 46 48 50 52 54 56 58 60

0

10000

20000

30000

40000

50000

60000

70000

80000

90000

100000

-250 -200 -150 -100 -50 0 50 100 150

GaN (1011)Ge (220)

0100002000030000400005000060000700008000090000

100000

40 42 44 46 48 50 52 54 56 58 60

0

10000

20000

30000

40000

50000

60000

70000

80000

90000

100000

-250 -200 -150 -100 -50 0 50 100 150

GaN (1011)Ge (220)

0100002000030000400005000060000700008000090000

100000

40 42 44 46 48 50 52 54 56 58 60

Electron Microscopy Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE G P Dimitrakopulos Ph Komninou Th Kehagias A Delimitis J Kioseoglou S-L Sahonta E Iliopoulos1 A Georgakilas1 and Th Karakostas Solid State Section Dept of Physics Aristotle University of Thessaloniki GR 54124 Thessaloniki Greece 1 Microelectronics Research Group Dept of Physics University of Crete PO Box 2208 71003

Heraklion-Crete Greece and IESL FORTH PO Box 1527 GR 71110 Heraklion-Crete Greece Summary Misfit relaxation and related defects in AlNGaN epitaxial heterostructures were studied using an MBE-grown AlNGaN multilayer with graded AlN thicknesses starting from 3 up to 100 nm Transmission electron microscopy techniques were employed Principally elastic behaviour was observed below 6 nm AlN thickness with some roughness and undulations due to local destabilization of the growth front Above 6 nm there is introduction of misfit and a-type threading dislocations The threading dislocations were frequently found to adopt inclined zig-zag line directions across the heterostructure thus contributing to the relief of alternating compressive-tensile elastic strain 1 Introduction AlN or AlGaN layers are often employed in GaN epitaxy eg in multiple quantum wells Bragg reflectors and HEMTs as well as in the form of interlayers in order to reduce threading dislocation (TD) density [1-3] Therefore it is important to elucidate their structural properties ie misfit relaxation critical thickness and defect formation Various critical thicknesses have been reported [4-6] Fracture is one important relaxation mechanism owing to the tensile strain of AlGaN on GaN and the lack of available low energy slip systems for operation of the Matthews-Blakeslee (MB) mechanism [7] Lee et al claimed that for Al content greater than ~65 fracture dominates over MB and starts from 3 nm thickness Fracture may be followed by activation of the 13lt 0121 gt0001 slip system for misfit dislocation (MD) emanation from crack tips [4] Bourret et al [6] obtained a 3-6 nm critical thickness interval (depending on the AlN flux ratio) for the onset of relaxation through dynamical platelet coalescence in molecular beam epitaxy (MBE) Although initially part of this relaxation may be reversible elastic and not due to MD introduction progressive introduction of MDs was then observed A characteristic thickness of 9-15 nm was given for irreversible relaxation under high IIIN ratio In the present work we report on the structural properties of a graded AlNGaN multilayer that was grown in order to elucidate the critical thickness and the defects contributing to misfit relaxation Transmission electron microscopy (TEM) techniques were employed The study of the mechanisms of MD introduction is not within our present scope and will be treated elsewhere 2 Experimental A multilayer heterostructure comprising seven AlN layers with nominal thicknesses 3 5 7 10 20 50 and 100 nm separated by 150 nm GaN spacers was grown by radio-frequency plasma-assisted MBE The sample was grown at 715oC on MOCVD GaN(0001)Al2O3 template under excess Ga flux employed in order to support a Ga adlayer This suppressed the Stranski-Krastanow growth mode as evidenced by in-situ reflection high-energy electron diffraction (RHEED) The growth of

66 G P Dimitrakopulos et al

AlN was performed using an AlN flux ratio = ~1 while retaining the incident Ga flux Cross sectional TEM specimen preparation was performed by tripod polishing followed by low-angle ion milling TEM observations both conventional (CTEM) and high resolution (HRTEM) were performed on a 200 kV JEOL 2011 (019 nm point resolution Cs = 05 mm) microscope Quantitative strain analysis on HRTEM images was performed using the geometric phase method (GPM) [8] 3 Results The CTEM image of of the whole heterostructure (Fig 1) shows extensive emanation of TDs after the 7 nm AlN layer GPM allowed quantitative determination of the reduced relative displacement ε = (cs

AlNcGaN)-1 of AlN with respect to GaN along the growth direction using (0002) HRTEM fringe patterns (Fig 2) The c lattice parameter of AlN is influenced by the biaxial strain in agreement with equation ε3 = -2 (C13C33) ε1 where ε1 = (asaAlN) -1 is the biaxial strain and ε3 = (cs

AlNcAlN)-1 is the strain along the growth direction due to the tetragonal distortion In the above equations cAlN and aAlN are the lattice constants of relaxed AlN cs

AlN is the c constant of AlN under strain as is the lsquointerfacialrsquo in-plane lattice constant and C13 C33 are elastic constants

The obtained values of ε for the 3 nm and 5 nm layers are -52plusmn02 and -45plusmn03 respectively with the expected value for pseudomorphic growth being -53 Hence partial relaxation is observed already at the 5 nm layer The obtained biaxial elastic strain of the 5 nm layer is ε1 = ~10plusmn05 and the corresponding relaxation is ∆ε1 = ε1max - ε1 = ~15plusmn05 If we assume that ∆ε is accommodated entirely by MDs we calculate the expected MD spacing to be δ = ~30 nm However such MD introduction was not observed in the 5 nm layer but only after the 7 nm layer (Fig 3) A possible explanation is that part of the observed relaxation in the 5 nm layer is reversible elastic as suggested by Bourret et al [6] and is accommodated by roughness and small

Fig 1 Bright-field CTEM image along [ 0011 ] of the heterostructure showing extensive emanation of threading defects starting from the 7 nm AlN layer The vertical arrow indicates the [0001] growth direction

Fig 2 a)-b) HRTEM (0002) fringe patterns along [ 0011 ] of the 3 and 5 nm AlN layers respectively c)-d) Corresponding GPM strain maps along [0001]

AlN

EM Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE 67

scale undulations (Fig 4) Such features are introduced due to the destabilization of the growth front caused by the dynamical platelet formation and are precursors to cracking

We have observed surface cracking starting from the 7 nm layer (Fig 5) Also optical and scanning microscopy on the top surface of the specimen revealed an irregular crack network with no well-defined crystallographic orientations Crack overgrowth was observed by AFM indicating that cracking occurred during growth

The majority of TDs were a-type emanated from the 7 nm AlN layer and were connected to the MD network by threading arms TDs were found to be affected by the strain field of the heterostructure and in many instances they would re-enter an epitaxial interface as MD segments (Fig 6(a)) or form semi-loops (Fig 6(b)) Very often TDs appear inclined from the [0001] growth direction towards lt 0110 gt a phenomenon similar to that reported by other authors [9] By adopting such orientations the TDs contribute to the strain relaxation through their projected to the (0001) plane line segments However there are two differences concerning these TDs compared to the previously observed ones The first is that the inclined TDs are generated from the epitaxial interfaces starting as threading arms In the cases previously reported the TDs mainly propagated from the template and adopted their inclination at the interface The second interesting observation is that the TDs appear to follow zig-zag lines They change their line direction upon crossing the AlNGaN interfaces so that they reverse the sense of their projected line directions while keeping the Burgers vector invariant This is equivalent to reversing their Burgers vector while keeping the line direction invariant It signifies the role of inclined TDs in providing relief to alternating elastic strain that changes from tensile in AlN to compressive in GaN Since the GaN spacers participate in the misfit relaxation by hosting inclined TDs they are compressively strained In other words strain partitioning has taken place between GaN and AlN We observe an increase of the average projected angle starting from below 20o in the first GaN spacers up to around 30o at the top GaN spacer Assuming that the TD density is approximately constant this corresponds to an approximately two-fold increase of the plastic relaxation in the top GaN spacer compared to the one between the 7 nm and 10 nm AlN layers

Fig 3 Bright-field CTEM image of the 5 7 and 10 nm layers taken under two-beam conditions with g = 2011 The specimen has been tilted away from the [ 0011 ] zone axis by ~6o about [ 2011 ] in order to broaden the projection of the interfaces Arrays of MDs are observed in the interfaces of the 7 and 10 nm layers

Fig 4 HRTEM (0002) fringe pattern along [ 0011 ] showing undulations at the upper interface of the 3 nm AlN layer

Fig 5 HRTEM image along [ 2011 ] showing surface cracks at the upper interface of the 7 nm AlN layer (black arrows) An emerging TD is shown by a white arrow

68 G P Dimitrakopulos et al

Fig 6 a) Dark-field CTEM image of the top six AlN layers taken with g= 2011 The specimen has been slightly tilted out of the [ 0011 ] zone axis about [ 2011 ] so as to broaden the projection of the interfaces TDs are observed to emerge as threading arms from MDs as well as to re-enter the interfaces as MDs Inclined TDs are seen to adopt zig-zag lines b) Weak-beam dark-field g2g image of the top four AlN layers taken with g= 2011 Many zig-zag TDs are illustrated as well as some semi-loops

4 Conclusions Accommodation of strain relaxation has been studied in an MBE-grown AlNGaN multilayer with AlN layers of increasing thickness In AlN layers thinner than 6 nm the strain was principally elastic Introduction of MD arrays as well as of TDs was initiated after 6 nm thickness TDs were found to incline from [0001] towards lt 0110 gt line directions and to adopt zig-zag lines thus relieving alternating compressive-tensile elastic strain across the heterostructure This signifies partitioning of elastic strain between AlN layers and GaN spacers The inclined TDs originated from threading arms of misfit dislocations Acknowledgement This work was supported by the EU under the Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) References 1 Takano T Narita Y Horiuchi A and Kawanishi H 2004 Appl Phys Lett 84 3567 2 Waki I Kumtornkittikul C Shimogaki Y and Nakano Y 2003 Appl Phys Lett 82 4465 3 Komninou Ph Kehagias Th Kioseoglou J Dimitrakopulos G P Sampath A Moustakas T D

Nouet G and Karakostas Th 2002 J Phys Condensed Matter 14 13277 4 Lee S R Koleske D D Cross K C Floro J A Waldrip K E Wise A T and Mahajan S 2004

Appl Phys Lett 85 6164 5 Bykhovski A D Gelmont B L and Shur M S 1995 J Appl Phys 78 3691 6 Bourret A Adelmann C Daudin B Rouviegravere J-L Feuillet G and Mula G 2001 Phys Rev B

63 245307 7 Matthews J W and Blakeslee A E 1974 J Cryst Growth 27 118 8 Hyumltch M J Snoek E and Kilaas R 1998 Ultramicroscopy 74 131 9 Cantu P Wu F Waltereit P Keller S Romanov A E DenBaars S P and Speck J S 2005 J Appl

Phys 97 103534

The Effect of Silane Treatment of AlxGa1-xN Surfaces N Ketteniss M J Kappers C McAleese and R A Oliver Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary AlxGa1-xN epilayers grown by metal-organic vapour-phase epitaxy have been treated in situ post-growth with silane and ammonia with the aim of increasing the size of the surface pits relating to threading dislocations in order to allow easy quantification of the dislocation density The treatment was successful for relaxed samples but resulted in the formation of nanoscale hillocks for epilayers under tensile strain 1 Introduction GaN light emitting diodes (LEDs) and laser diodes with wavelengths in the visible spectrum are now widely used in the optoelectronics industry However the attention of researchers is turning to devices emitting in the ultra-violet Access to this wavelength range requires the growth of high quality AlxGa1-xN epitaxial layers crystal defects such as threading dislocations (TDs) are known to limit device performance [1] Hence methods for TD density reduction in AlxGa1-xN are being developed and fast turnaround routes for the characterisation of TD densities are required

We have previously described a method to highlight TDs in MOVPE-grown GaN using an in situ treatment with SiH4 and NH3 [2] The surface treatment was observed to increase the size of surface pits associated with both screwmixed-type and edge-type TDs for n-type p-type and undoped GaN epilayers allowing fast and accurate characterization of TD densities and TD-type populations by atomic force microscopy (AFM) Here we investigate the applicability of this surface treatment to AlxGa1-xN layers 2 Experimental Ca 150 nm thick AlxGa1-xN epilayers were grown on GaN pseudo-substrates by metal-organic vapour-phase epitaxy (MOVPE) in a 6 times 2rdquo Thomas Swan close-coupled showerhead reactor The pseudo-substrates consisted of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 560 degC AlxGa1-xN layers were grown using the precursors trimethylaluminium (TMA) trimethylgallium (TMG) and ammonia (NH3) with H2 as a carrier gas Prior to growth of the AlxGa1-xN epilayer a 500 nm GaN connecting layer was grown to bury the regrowth interface providing a clean flat surface characterized by evenly-spaced monolayer steps For each Al-content studied a pair of samples was grown under identical conditions apart from the surface treatment Of each pair one sample received no treatment but was immediately cooled to room temperature after the epilayer growth The other sample was treated at 860 degC with a SiH4 flux of 200 nmolminute for 240 s with a simultaneous 20 slm NH3H2 flow (NH3H2 = 11)

The treated and untreated AlxGa1-xN epilayers were examined using both Nomarski light microscopy (also known as differential interference contrast microscopy) and intermittent contact mode AFM to determine both the broad microstructure and the nanoscale details of their surface morphology The a and c lattice parameters of the AlxGa1-xN were determined by high-resolution X-ray diffraction (XRD) reciprocal space mapping of the symmetric (0004) and asymmetric (10macr15) reflections A biaxial strain model [3] which assumes the

70 N Ketteniss et al

applicability of Vegardrsquos law to the lattice parameters and the elastic constants was used to determine the composition and strain state of the layers using GaN and AlN elastic constants from Wright [4] 3 Results 31 Epilayer Compositions and Strains Table 1 shows the results of the XRD measurements of the composition and strain state of the AlxGa1-xN epilayers along with thickness measurements based on in situ optical reflectivity data collected during layer growth assuming refractive indices of 2117 for AlN and 238 for GaN For x asymp 01 and x asymp 02 the layers are observed to be fully strained to the underlying pseudo-substrates For x asymp 03 some relaxation is observed but there is some variation in relaxation across the sample whereas for x asymp 04 the majority of the layer is completely relaxed

Target Al-content (x)

Al-content (x) (From XRD)

Epilayer thickness nm

Tensile strain in a

Relaxation

01 0095 176 011 0 02 0196 143 036 0 03 03 144 026 to 048 20 ndash 556 04 041 149 004 96

Table 1 Compositions thicknesses and strains of the AlxGa1-xN epilayers 32 Microscopy Data The broad microstructure of the epilayers is illustrated in Fig 1 which shows Nomarski light microscopy images of each treated sample At this scale the morphologies of the untreated samples are indistinguishable from those displayed here For x asymp 01 and x asymp 02 the observed morphology is fairly similar to that of the underlying pseudo-substrate (Figs 1a and 1b) Very careful examination of the sample with x asymp 02 revealed a few long cracks ndash two or three over the entire surface of the 2rdquo wafer Many more cracks are seen on the samples with higher Al-contents but the spacing between cracks varies For x asymp 03 (Fig 1c) some areas of the epilayer are observed to have crack spacings in the range 5 ndash 50 microm whereas other adjacent regions are uncracked over distances of up to about 500 microm Overall about one third of the surface exhibits the finely-spaced cracks The layers with x asymp 04 (Fig 1d) show similar characteristics to those with x asymp 03 but in this case approximately 90 of the sample area exhibits finely-spaced small cracks

More detailed surface characterisation was performed using AFM and the results are shown in Fig 2 for both treated and untreated samples For the samples with x asymp 03 and x asymp 04 the treated samples exhibited notably different morphologies in the areas with finely and widely spaced cracks Hence both types of area are shown in the Figure All untreated

(a) (b) (c) (d)

50 microm 50 microm 50 microm 50 microm

Fig 1 Nomarski light microscopy images of epilayers with a) x asymp 01 b) x asymp 02 c) x asymp 03 and d) x asymp 04 Note the variation in crack density in (c) and (d)

The Effect of Silane Treatment of AlxGa1-xN Surfaces 71

samples (Fig 2ai 2bi 2ci and 2di) exhibited fairly evenly spaced monolayer steps For x asymp 01 the surface treatment resulted in increases in the TD pit size but the previously terraced surface now exhibits islands ca 25 nm wide (Fig 2aii) For x asymp 02 these islands dominate the morphology of the treated surface and no pits may be distinguished (Fig 2bii) For x asymp 03 and x asymp 04 considering first those areas of the sample with a low macroscopic crack density (Figs 2cii and dii) we again observe the formation of a high density of small hillocks obscuring the TD pits In contrast in areas with higher crack densities (Figs 2ciii and 2diii) a largely terraced surface with clear TD pits is observed

For all the treated samples except for that with x asymp 02 (for which the hillocks entirely obscure the TD pits) the density depth and width of the pits has been measured by AFM and compared with similar data from the untreated samples (The pit depth in particular may be significantly affected by the finite probe size but as similar probes were used for all measurements it should be possible to make comparisons) In all cases the observed pit density increased as did the average pit depth For both x asymp 01 and x asymp 04 the average pit width also increased but this effect was less clear for x asymp 03

600 nm

Fig 2 AFM images of epilayers with a) x asymp 01 (i) untreated and (ii) treated b) x asymp 02 (i) untreated and (ii) treated c) x asymp 03 (i) untreated (ii) treated ndash area with low crack density (iii) treated ndash area with high crack density and d) x asymp 04 (i) untreated (ii) treated ndash area with low crack density (iii) treated ndash area with high crack density

(ai) (aii) (bi) (bii)

200 nm 200 nm 200 nm 200 nm

(ci) (cii) (ciii)

600 nm 600 nm 600 nm

(di) (dii) (diii)

600 nm 600 nm

72 N Ketteniss et al

33 Discussion Unlike in our studies of the effect of this treatment on GaN layers some of the samples exhibit very significant surface roughening following treatment This effect is most marked for the sample with x asymp 02 which we note is largely uncracked and hence under significant strain Additionally those areas of the samples with x asymp 03 and x asymp 04 which one would expect to be most relaxed (ie those with the highest crack density) have a terraced morphology whereas the areas with less cracks (and hence presumably higher local strain) exhibit hillocks Hence we suggest that the morphology after treatment depends on the strain state of the material with higher strain correlating with hillock formation Further evidence is provided by Fig 3 which shows the detailed morphology around one of the few cracks in the sample with x asymp 02 With increasing distance from the crack (which relaxes the strain locally) the surface becomes rougher and hillocks appear

These results suggest that whilst the silane treatment does increase the TD pit size when applied to AlxGa1-xN layers with x le 04 its usefulness is currently limited to assessing dislocation densities in relaxed material The treatment should thus be relevant to studies of thick AlxGa1-xN layers grown directly on sapphire which may be nearly strain free [5] Thus far we have only considered the effects of tensile strain on the surface morphology We have not yet assessed the effects of compressive strain ndash for instance if AlxGa1-xN is grown on an AlN buffer layer or pseudo-substrate The applicability of the silane treatment to this situation will be a topic of further investigation 4 Summary Treatment of AlxGa1-xN surfaces with x le 04 with SiH4 and NH3 at 860 degC is a useful means of enlarging dislocation pits if the AlxGa1-xN is relaxed For material in tensile strain the treatment results in a rough morphology consisting of nanoscale hillocks Acknowledgements This work has been funded in part by the EPSRC RAO would like to acknowledge funding from the Royal Society References 1 Wang T Lee K B Bai B Parbrook P J Airey R J Wang Q Hill G Ranalli F and Cullis A G

2006 Appl Phys Lett 89 081126 2 Oliver R A Kappers M J Sumner J Datta R and Humphreys C J 2006 J Crystal Growth 289 506 3 Schuster M Gervais P O Jobst B Hoesler W Averbeck R Riechert H Iberl A and Stommer R

1999 J Phys D Appl Phys 32 A56 4 Wright A F 1997 J Appl Phys 82 2833 5 Krost A Blasing J Schulze F Schon O Alam A and Heuken M 2000 J Cryst Growth 221 251

800 nm

Fig 3 AFM image of a treated epilayer with x asymp 02 showing the variation in the sample morphology with distance from a macroscopic crack (black stripe at left)

Quantitative Analysis of Deformation Around a Nanoindentation in GaN by STEM Diffraction K K McLaughlin and W J Clegg Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary The lattice rotations underneath a nanoindentation in GaN are measured from the energy-filtered convergent beam electron diffraction patterns generated by scanning transmission electron microscopy (STEM) These rotations reveal a kink band not visible in STEM high angle annular dark field images Furthermore the diffraction data allows the lowest-energy configuration of dislocations associated with the kink band rotations to be estimated 1 Introduction GaN is a semiconductor with the wurtzite structure which is of interest for its luminescent properties [1] The reliability of GaN devices can be diminished by the presence of dislocations hence the nature of the plastic region induced by contact damage is of importance Here nanoindentation is used to introduce damage

Qualitative characterization of nanoindentations by transmission electron microscopy from cross-sections made in the focussed ion beam (FIB) workstation has been done for some time now including in GaN [2] This technique has provided some information about the size and shape of the zone of plastic deformation in a wide variety of materials Quantitative characterization however has been impeded by the lack of automated measurement techniques and smearing of patterns due to very high dislocation densities

By collecting the energy-filtered convergent beam electron diffraction (EF-CBED) patterns produced from scanning transmission electron microscopy (STEM) the lattice rotations due to plastic and elastic deformation can be measured The data collection is automated through the STEM and the small probe size means that any smearing is significantly reduced 2 Experimental Methods [0001] GaN was grown by organometallic vapour phase epitaxy on a sapphire substrate 25 mN Berkovich nanoindentations (Micromaterials Nanotest 600) in the [0001] surface were completed so that the corners of the nanoindentation were along the lt 1021 gt directions A cross-section was prepared using the lift-out technique in a FIB workstation (FEI 200) as described in [3] The cross-section plane is ( 0110 ) although this did not pass through the tip of the nanoindentation Fig 1a shows the approximate location of the cross-section

The plastic zone underneath the nanoindentation was analysed in STEM mode at 200 keV (FEI Tecnai F20) EF-CBED patterns were collected every 200 nm over a 32 microm times 18 microm grid and every 100 nm closer to the nanoindentation The STEM probe size was 1 nm with a convergence angle of 009deg so the total volume of material measured for a sample thickness of 100 nm was about 100 nm3 The orientation was measured in the manner described by Wang and Starink [4] such that only 2 sets of Kikuchi lines and the undiffracted disk needed to be identified in each pattern In regions of low local dislocation density the error was approximately plusmn 01ordm consistent with Wang and Starink in regions of higher dislocation density (on the order of 1015 m-2) the error was increased to plusmn 05ordm

74 K K McLaughlin and W J Clegg

Fig 1 a) Geometry of the cross-section of the nanoindentation in GaN b) STEM HAADF image of the cross-section of the nanoindentation in GaN taken 25deg from [ 0110 ] The box indicates the outermost diffraction measurements

3 Results and Discussion The STEM high angle annular dark field (HAADF) image of the nanoindentation can be seen in Fig 1b Much of the deformation was by basal plane dislocations although several slip lines can be identified along a pyramidal orientation

Fig 2 shows the misorientations measured relative to a reference axis at x = 0 y = 400 nm oriented 25deg from [ 0110 ] Several points in the misorientation data are marked with a ldquo rdquo symbol These are from regions where the Kikuchi lines were too blurred for measurements to be made

The misorientation data shows a region of large misorientations along x = 1050 nm and x = 1150 nm This misorientation is consistent with the presence of a kink band a deformation mechanism often found in highly anisotropic materials [5] The misorientations between horizontal neighbours along with the axis of rotation can be calculated from the orientation data This data shows that the axes of rotation in this region are perpendicular to [0001]

Fig 2 Misorientations between a zero near [ 0110 ] and locations underneath the nanoindentation

Quantitative Analysis of Deformation Around a Nanoindentation in GaN 75

As the dislocations causing the rotation are confined to a narrow band Frankrsquos formulation [6] for calculating the number of dislocations in a boundary can be used The sum of the Burgers vectors in the boundary ( bΣ ) can be calculated as

( )

times=Σ

2sin2 θlrb

where l is the axis of rotation θ is the misorientation and r is a vector which is perpendicular to both l and the normal of the dislocation wall r is taken to be 100 nm equal to the distance between measurements

As the axes of rotation are perpendicular to [0001] it can be assumed that the dislocations causing the rotation are all a-type The minimum number of dislocations (and hence the minimum dislocation line energy) will be the solution of the equation

[ ] [ ] [ ]11023

02113

10213

rqp nnnb ++=Σ

where np indicates the number of [ ]102131 dislocations nq for [ ]02113

1 and nr for [ ]110231 and

the sum of np nq and nr is minimized The minimum number of dislocations required to cause the rotation between x = 1050 and

x = 1150 nm can be found in Fig 3b The number of dislocations can be as high as 43 within a volume of 100 nm times 100 nm times 100 nm which gives a dislocation density of 5 times 1015 m-2 This value is similar to the densities of rotational dislocations calculated by theories such as strain gradient plasticity which predicts an average dislocation density of 15 times 1016 m-2 [7] The value of np is consistently larger than nq or nr so that the majority of the dislocations were in the [ ]1021 direction perpendicular to the side of the indenter

Analysis of the kink band was conducted between several of the rows of measurements as seen in Fig 4a The numbers of [ ]10213

1 dislocations np along each of these lines can be found in Fig 4b In all cases there were significantly more [ ]10213

1 dislocations than the other orientations The lines along x = 1100 to x = 1300 nm are discontinuous as some of the axes of rotation in this region were not perpendicular to [0001] in most cases this indicates that one of the pyramidal slip lines crossed the 100 nm times 100 nm region It can be seen from the graph in Fig 4b that the values of np along x = 900 and x = 1000 nm were large and positive while the values along x = 1100 to x = 1300 were mostly smaller and negative indicating that the dislocations had Burgers vectors of

[ ]012131

Fig 3 a) a-type dislocation directions in the hexagonal cell b) Graph of minimum numbers of basal dislocations (np nq and nr) responsible misorientation between x = 1050 and x = 1150 nm on Fig 2 The error bars refer to the plusmn 05deg error in the misorientation

76 K K McLaughlin and W J Clegg

Fig 4 a) Lines along which basal dislocations could be quantified b) Numbers of [ ]10213

1 dislocations across the lines indicated in a

4 Conclusions The combination of FIB sample preparation and STEM analysis gives an effective means to measure the lattice rotations underneath nanoindentations Features can be identified with this technique that cannot be seen with HAADF imaging such as kink bands It is shown that the kink band is made of dislocations with Burgers vectors of [ ]10213

1 and with dislocation densities of up to 5 times 1015 m-2 Acknowledgements The authors would like to thank Finn Giuliani for FIB sample preparation the Cambridge Centre for Gallium Nitride for providing the sample and the Natural Sciences and Engineering Research Council of Canada the Cambridge Commonwealth Fund and the Overseas Research Student Award Scheme for funding References 1 Reshchikov M A and Morkoc H 2005 J Appl Phys 97 1 2 Bradby J E Kucheyev S O Williams J S Wong-Leung J Swain M V Munroe P Li G and

Phillips M R 2002 Appl Phys Lett 80 383 3 Langford R M and Petford-Long A K 2001 J Vac Sci Technol A 19 2186 4 Wang S C and Starink M J 2003 J Microsc 211 130 5 Hess J B and Barrett C S 1949 Met Trans 185 599 6 Frank F C 1950 A Symposium on the Plastic Deformation of Crystalline Solids NAVEXOS-P-

834 150 7 Nix W D and Gao H J 1998 J Mech Phys Solids 46 411

Microstructure of (GaFe)N Films Grown by Metal-Organic Chemical Vapour Deposition T Li C Simbrunner A Navarro-Quezada M Wegscheider M Quast and A Bonanni Institute of Semiconductor and Solid State Physics Johannes Kepler University Altenbergerstr 69 A-4040 Linz Austria Summary We report on the structural and chemical analysis of (GaFe)N films grown by metal-organic chemical vapour deposition using transmission electron microscopy and energy dispersive X-ray spectroscopy In homogeneously Fe-doped GaN we have found Fe-rich nanoclusters to be assigned to α-Fe and ε-Fe3N In GaN δ-doped with Fe and co-doped with δMg we have observed a significant reduction of the threading dislocations to be associated with the growth interruption while the Fe ions tend to float to the surface 1 Introduction GaN doped by transition metal (TM) is a model material system for testing the mechanisms of room temperature (RT) ferromagnetism in diluted magnetic semiconductors in the perspective of future spintronics applications Since the prediction of RT carrier-mediated ferromagnetism in wide band gap semiconductor doped by TM [1] there have been considerable research efforts made on material systems such as Mn-doped GaN and Mn-doped ZnO [2] Currently there are growing interests in Fe-doped GaN Ferromagnetic-like properties have been reported in (GaFe)N grown by Fe-ion implantation molecular beam epitaxy (MBE) metal-organic chemical vapour deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) [3 - 6] Despite the above-mentioned promising reports the origin of the ferromagnetic response in these systems is still not clear A difficulty in verifying the underlying mechanism lies in the fact that depending on the growth conditions one may produce a mixture of random alloy magnetic-ion-rich clusters and other secondary phases Therefore it is necessary to study case by case the microstructures of TM-doped materials Here we present the results on structural and chemical analysis of (GaFe)N films grown by MOCVD 2 Experimental The samples have been grown in an AIXTRON 200RF horizontal-tube MOCVD reactor All the structures have been deposited on c-plane sapphire substrates according to a well-established growth procedure involving TMGa NH3 and Cp2Fe respectively as precursors for Ga N and Fe with hydrogen as a carrier gas [7] Upon the nitridation of the substrate the deposition of a low-temperature (540 degC) GaN nucleation layer its annealing under NH3 and growth of a 1microm thick device-quality GaN layer at 1050 degC about 05 microm thick (GaFe)N layers have been grown at a substrate temperature of 850 degC and increasing Cp2Fe flow rates from 50 standard cubic centimeters per minute (sccm) to 350 sccm at a step of 25 sccm In a further step Fe and Mg have been introduced one after the other as δ-dopants repeatedly in GaN layers grown on top of a GaN buffer layer The buffer and doped layer in the δ-doped samples are slightly thicker than those in the bulk-doped ones

High-resolution transmission electron microscopy (HRTEM) studies were performed on cross-sectional samples using a JEOL 2011 Fast TEM microscope operated at 200 kV with a Gatan CCD camera The chemical analysis has been carried out by means of an Oxford Inca energy dispersive X-ray spectroscopy (EDS) system

78 T Li et al

3 Results and Discussion From superconducting quantum interference device (SQUID) and electron-paramagnetic resonance (EPR) measurements we found that for doping levels higher than the solubility limit of the Fe ions into the GaN matrix under our growth conditions both GaN homogeneously doped with Fe [(GaFe)N] and δFe-doped GaN co-doped with δMg [(GaδFe)N δMg] show ferromagnetic signatures persisting up to above RT [8] 31 (GaFe)N For Cp2Fe rates lt 175 sccm ie for concentrations of the Fe ions below the solubility limit at the growth conditions [8] the Fe-doping does not change the morphology of GaN in terms of strain and dislocation density while the EDS spectra show that there is a little mount of Fe in the lattice In these samples the Fe ions are expected to substitute the Ga ions since Fe and Ga have the similar atomic radius (1720 pm1810 pm) and almost the same electro-negativity (183181) The interstitial or anti-sited Fe ions are more likely to introduce structural point defects or cause local strain thus to change the morphology of the layers

Fig 1 a) Micrograph showing nanocrystals close to the surface b) EDS spectrum taken around the precipitates showing the enhanced Fe signal c) EDS spectrum taken in precipitate-free area showing the barely detectable Fe signal

Fig 2 Micrographs of two types of Fe-rich nanocrystals and the corresponding SADPs a) α-Fe and b) ε-Fe3N

Microstructure of (GaFe)N Films Grown by Metal-Organic CVD 79

For Cp2Fe ge 175 sccm a number of nanocrystals have been found either embedded in the GaN matrix in defect free region or associated to the dislocations (Fig 1a) EDS spectra indicate that the nanocrystals are Fe-rich (Fig 1b) as compared with the surrounding GaN matrix (Fig 1c) The density of the Fe-rich nanocrystals increases qualitatively with the Cp2Fe flow rate We believe that phase separations have happened in these samples Further EDS studies show that the Fe ions distribute inhomogeneously within the doped region no deeper than ~250 nm from the surface well above the nominal interface between the GaN buffer and the (Ga Fe)N layer This implies that the Fe ions tend to float toward the surface where the precipitation takes place

The micrograph in Fig 2a shows one of the nanocrystals in Moireacute contrast typically found in our samples and the selected area diffraction pattern (SADP) acquired along the [10-10] zone axis In the SADP there is an additional diffraction spot along with the (0002) diffraction of GaN indicating the presence of a secondary phase apart from GaN with a set of planes parallel to the GaN (0002) planes The interplanar spacing is calculated to be 0204 nm by using the lattice parameter of relaxed GaN film as a reference The additional phase is either iron or iron nitride Among the possible candidates listed on Table II in Ref 8 α-Fe (d110 = 0202 nm) is matching most closely our observation Figure 2b shows another typical nanocrystal and the corresponding SADP which reveals the structure of this additional phase to be hexagonal with lattice parameters c = 0436 nm and a = 0268 nm matching those of ε-Fe3N It is also worth noticing that the nanocrystal in Fig 2b locates at the end of a dislocation We have actually observed that a number of nanocrystals are associated to threading dislocations (TDs) [8] It has been reported that TDs cause charge accumulation in GaN [9] In GaN-Fe the charging centers introduced by TDs may trap some of Fe ions thus promote the formation of nanocrystals

32 (GaδFe)N δMg In the perspective of enhancing the p-conductivity of the samples we have also grown (GaδFe)N δMg layers Figures 3a and 3b show the dark-field images of a (GaδFe)N δMg layer with g = 11-20 and g = 0002 along the [10-10] zone axis respectively According to the visibility criterion the a type (edge) and a+c type (mixed) dislocations should be in contrast in Fig 3a while the c type (screw) and a+c type dislocations should be in contrast in Fig 3b Comparing the two images we find that most of the edge dislocations are terminated at around 14 microm above the epilayer-substrate interface where the nominal interface between the GaN buffer and the δFeδMg doped layer is located while screw and mixed dislocations are not affected In Fig 3a the edge dislocations appear to be redirected by the δ-doping layers and to combine to each other to form some half loops Because the edge dislocation density is almost two orders higher than that of the screw dislocations in GaN the reduction of edge dislocations actually reduces the overall TD density

Fig 3 Dark-field images (negative) taken with a) g = 11-20 and b) g = 0002 respectively along the [10-10] zone axis

80 T Li et al

Fig 4 a) Micrograph around the sample surface and b) high-resolution lattice image around a single nanocrystal

Figure 4a shows Fe-rich nanocrystals distributed within ~100 nm of the surface in a layer

(GaδFe)N δMg The HRTEM image in Fig 4b reveals that the nanocrystal is α-Fe Compared to those in the bulk-doped samples nanocrystals in GaN-δFeδMg are of smaller size most of them are not associated to dislocations and they distribute even closer to the surface This is correlated to the fact that δ-doping reduces the density of TDs hindering the trapping effects on the Fe ions and leading to more Fe ions floating toward the surface Furthermore the precipitation not related to dislocations may lead to smaller nanocrystal sizes 4 Conclusions As an effect of phase separation Fe-rich nanocrystals have been found in both (GaFe)N and (GaδFe)N δMg layers Ferromagnetic phases (α-Fe and ε-Fe3N) have been identified in these nanocrystals in (GaFe)N In (GaδFe)N δMg layers the significant reduction of TDs due to the δ-doping process influences both the size and the distribution of the Fe-rich nanocrystals We are confident that the Fe-rich nanocrystals are the origin of the high-temperature ferromagnetic response of the (GaFe)N layers References 1 Dietl T Ohno H Matsukura F Cibert J and Ferrand D 2000 Science 287 1019 2 Liu C Yun F and Morkoc H 2005 J Mater Sci ndash Mater Electron 16 555 3 Shon Y et al 2004 J Appl Phys 95 761 4 Ofuchi H et al 2001 Appl Phys Lett 78 2470 5 Bonanni A Simbrunner C Wegscheider M Przybylinska H Wolos A Sitter H and Jantsch W

2006 Phys Stat Sol (b) 243 1701 6 Malguth E Hoffmann A and Xu X 2006 Phys Rev B 74 165201 7 Bonanni A Stifter D Montaigne-Ramil A Schmidegg K Hingerl K and Sitter H 2003 J Cryst

Growth 248 211 8 Bonanni A et al 2007 Phys Rev B 75 125210 9 Leung K Wright A F and Stechel E B 1999 Appl Phys Lett 74 2495

Nanostructures on GaN by Microsphere Lithography W N Ng K N Hui X H Wang C H Leung P T Lai and H W Choi Department of Electrical and Electronic Engineering The University of Hong Kong Hong Kong Summary The fabrication of nanopores on GaN substrates using the simple and economical technique of microsphere lithography is demonstrated A self-assembled hexagonal microsphere array formed on GaN substrate acts as a hard-mask for the fabrication of nanostructures In subsequent dry etch processes arrays of holes in a nanostructure were formed on top of the LED The structural properties of the nanopores are characterized by scanning electron microscopy (SEM) while photoluminescence (PL) measurements showed a 25 enhancement of light emission intensity attributed to improved light extraction 1 Introduction Similar to Si technology dimensional down-scaling is an inevitable trend in GaN-based materials and devices With emphasis on enhancing device performance micro and nanoscale features in various geometries of disks rings and hexagons have been incorporated into GaN light emitting diodes (LEDs) [12] The resultant structures have been demonstrated to improve the efficiency and directionality of photon extraction [3] Nanoscale features such as photonic crystals offer superior optical properties to devices by exploiting the photonic bandgap [4] While micro-scale patterns can be readily achieved by conventional photolithography electron-beam lithography is the most widely used method for nanopatterning of optoelectronic devices Nevertheless the process of e-beam writing is expensive and inefficient making it unsuitable for mass-production On the other hand the resolution of deep ultraviolet (DUV) lithography is limited by diffraction effects despite its higher throughput This encourages development of alternative methods for patterning nanostructures Microsphere lithography is a potential candidate in offering an alternative avenue towards nanoscale patterning Either random or ordered monolayers of particles can be used as a photolithographic mask dependent on the application involved For example the formation of photonic crystals would require a high degree of ordering

In this work we demonstrate the fabrication of regularly spaced triangular nanopores using the simple controllable and economical technique of microsphere lithography This techniques was originally developed by Deckman et al to define a large area lithographic mask using a self-assembled spherical colloidal mask [5] It was further optimized by Hultenn et al for applications in surface-enhanced spectroscopy [67] We adopt a similar approach for the enhancement of GaN-based optoelectronic devices through the integration of regularly-spaced nanoscale features such as nanopores and nanoislands Being substrate independent microsphere lithography can be applied to most material systems 2 Experimental Details Schematic diagrams illustrating the fabrication process flow are shown in Figs 1a to 1e A GaN LED sample with InGaN GaN multi-quantum wells was initially coated with a 100-nm-thick SiO2 PECVD deposit (Fig 1a) The SiO2 microspheres purchased from Duke Scientific have a mean diameter of 500 nm and a uniformity of better than 1 The microspheres as received from the manufacturer are suspended in deionized water and further diluted in a solution of the

82 W N Ng et al

surfactant SDS at a volume ratio of 51 A pre-determined amount of the solution is precisely dispensed onto the oxide-coated GaN samples using a micro-pipette Using a combination of tilting and spin-coating the microspheres are dispersed and self-assemble into ordered hexagonal arrays (Fig 1b) The sample was then dry-etched by reactive ion etching (RIE) using Ar and CHF3 gases in the ratio of 46 for 200 seconds to remove the SiO2 in the void region The formation of the ordered air-hole structure after RIE dry etch process is shown in Fig 1c The sample was then dipped in DI water with sonication for 2 minutes for detachment of the microspheres as shown in Fig 1dThe pattern was subsequently transferred to GaN by ICP etching using a Cl2 and BCl3 chemistry to remove the unmasked GaN material at the void regions forming the nanopores on the GaN surface as shown in Fig 1e

Figs 1a)-e) Schematic diagrams illustrating the process flow of microsphere lithography

The surface morphology of the etched air-holes was characterized by field emission scanning electron microscopy (FE-SEM) Photoluminescence spectra were collected to evaluate the optical properties of the fabricated structure using a Spectra-Physics DPSS UV laser at 349nm as an excitation source The beam was focused to a spot of about 100microm and the PL signal collected and focused by two converging lenses and coupled to a spectrometer via an optical fiber 3 Results and Discussion

Fig 2 a) Silica microspheres dispensed onto GaN samples self-assembling into ordered hexagonal monolayer arrays b) Various defects in microsphere coating

Figure 2a is an FE-SEM image showing a monolayer array of silica microspheres self-assembled into an hexagonal structure The formation of the ordered array relies on the intrinsic property of the microspheres to freely diffuse across the substrate and settle down in their lowest energy configuration The deposition of microspheres onto a substrate is a non-

Nanostructures on GaN by Microsphere Lithography 83

equilibrium process the system tries to restore equilibrium by forming aggregates The process is similar to that of atomic deposition during crystal growth [8] The microspheres migrate across the surface which subsequently form clusters or islands by attaching to adjacent microspheres This is dependent on the diffusion rate and concentration of microspheres In order to form well-ordered monolayers microspheres must adsorb on the edge of aggregates and continue to migrate around the perimeter Thus the diffusion rate must be precisely controlled which is achieved by a combination of manual tilting and spin-coating techniques

Fig 3 FE-SEM image of the triangular air-hole oxide mask

Using the hybrid method developed in our team uniformly dispersed microsphere coatings

span across an area of approximately 300 microm by 100 microm While this is sufficient as a proof-of-concept demonstrator further enlargement of coating area must be achieved Within the monolayer region imperfections in patterning of the air-holes can occur when transferring to the SiO2 layer thus leading to the emergence of localized disordering

The ordered nanopore array was formed on the PECVD oxide layer by etching through the microsphere template The void regions between microspheres allow penetration of reactive ions in the plasma and thus the removal of oxide materials forming air holes An anisotropic recipe was employed to ensure that the dimensions were maintained Intuitively a certain degree of dimensional tuning can be achieved by adjusting the reactivity and directionality of the ions A FE-SEM image of such a nanopore oxide mask is shown in Fig 3 where ordering can be observed Analogous to defect formation in crystal growth this includes point defects and line defects as illustrated in Fig 2b The dimensions of each pore are approximately 100 nm In addition it is worthy of note that nanoislands can also be formed by depositing material through the microsphere gaps

Fig 4a) and b) FE-SEM image of nano-scale air-holes array at different magnifications

84 W N Ng et al

The pattern was finally transferred to the GaN LED wafer by ICP etching Being more isotropic the pores are enlarged to around 200 nm as illustrated in the FE-SEM image in Fig 4(a) and (b) The dimensional scaling can of course be controlled by varying the dry etch recipe To evaluate the effects of nanopore incorporation PL spectra were collected from the processed and unprocessed regions of the same sample which are plotted in the graph in Fig 5 The spectral peak centred at 470 nm corresponds to light emission from the InGaN GaN MQWs in the LED structure As evident from the plot an increase of 25 in the emission intensity was observed attributed to enhanced light extraction via the nanoscale air-holes In smooth and flat as-grown wafers a large proportion of light is trapped in the wafer due to total internal reflections [9] Roughening of the surface either on the microscale or nanoscale significantly improves light extraction by allowing more photons to pass through the interface [10]

Fig 5 PL spectra of as-grown and processed sample with nanopores

4 Summary In summary we have demonstrated the fabrication of nanopore arrays on a GaN surface using the technique of microsphere lithography A self-assembled SiO2 microsphere array was deposited and acted as a hard mask to form triangular nanopores with dimensions of 100 to 200nm by subsequent dry etching PL measurements revealed that the nanopores enhanced the light emission intensity by about 25 due to an increase in light extraction efficiency References 1 Choi H W Jeon C W and Dawson M D 2003 IEEE Photonics Technol Lett 15 510 2 Choi H W Edwards P R Jeon C W Liu C Martin R W Watson I M Dawson M D Tripathy S

and Chua S J 2005 Appl Phys Lett 86 021101 3 Choi H W Dawson M D Edwards P R and Martin R W 2003 Appl Phys Lett 83 4483 4 Yablonovitch E 2001 Scientific American 285 47 5 Deckman H W and Dunsmuir J H 1982 Appl Phys Lett 41 377 6 Hulteen J C and Van Duyne R P 1995 J Vac Sci Technol A 13 1553 7 Haynes C L and Van Duyne R P 2003 J Phys Chem B 107 7426 8 Roumlder H Hahn E Brune H Bucher J P and Kern K 1993 Nature 336 141 9 Choi H W Jeon C W Dawson M D Edwards P R Martin R W and Tripathy S 2003 J Appl

Phys 93 5978 10 Kim D W Lee H Y Yoo M C and Yeom G Y 2005 Appl Phys Lett 86 052108

Nanopores As-grown

On the Nature of Eu in Eu-Doped GaN J S Barnard and Y S Beyer1 Department of Material Science University of Cambridge Pembroke Street Cambridge CB2 3QZ 1 New Hall Huntingdon Road Cambridge CB3 0DF Summary Using scanning transmission electron microscope high-angle annular dark-field imaging we have investigated the Eu-rich region formed by high-energy implantation of Eu+ ions into GaN and annealing at 1300oC In conjunction with multi-slice calculations we have used the higher-than-average intensities of atomic columns to identify candidate Eu-containing strings and calculate the visibility of these strings These candidate strings show a bimodal distribution the higher mode agreeing well with the visibilities suggested by the multi-slice calculations From this we find that the Eu atoms are predominantly isolated and do not form Eu-clusters after annealing 1 Introduction Gallium nitride is the latest semiconductor in which rare-earth luminescence has been explored Amongst others europium is known for its efficient luminescence in the red part of the spectrum [1] However if Eu is implanted the luminescent efficiency is poor unless a high-temperature treatment is used to re-activate it [2] Such temperatures suggest that crystal damage has to be annealed out before the Eu atom can luminesce effectively suggesting local environmental changes

The characterization of this environment is being pursued along several different lines Bang and co-workers used the extended fine structure (EXAFS) of X-ray fluorescence spectra of Eu and Tb in GaN [3] They calculated the X-ray spectra of displaced rare-earth atoms along several different directions and fitted these to the spectrum to retrieve the displacement In SiC Kaiser et al used scanning transmission electron microscope high-angle annular dark-field (STEM-HAADF) imaging to investigate the clustering of erbium at extended defects directly [4]

In this paper we used a similar approach but attempted to identify the Eu atoms by the higher-than average intensity expected from the Eu atoms We posed three questions Is it possible to image the Eu atoms directly Do Eu atoms exist as single isolated atoms or as extensive defect complexes Is it possible to see and measure slight displacements of Eu atoms 2 The Visibility of EuGa in STEM-HAADF Images Theory The viability of using STEM-HAADF imaging to detect Eu atoms was tested using multi-slice simulations using the code of Kirkland [5] Our measure of visibility was the apparent contrast of the Eu-containing string against the intensity maxima of the surrounding lattice (because of the significant difference in scattering power this maxima reside close to the gallium sub-lattice) The simulated lsquospecimenrsquo consisted of bulk GaN with three different thicknesses 29 Aring 54 Aring and 104 Aring (corresponding to 9 17 and 33 unit cells) sliced along the [11-20] direction Each slice was comprised of 10times5 unit cells of GaN In the unit cell of one of the slices a single Eu atom replaced a Ga atom (EuGa) This was placed at three different depths (top middle and bottom) and in this way we explored the range of visibilities one might expect from the random location of Eu atoms in GaN The location of the Eu atom within its unit was varied too an exact lsquoon-sitersquo EuGa defect and one slightly displaced 02Aring in the [0001] direction as suggested by Bang et al [3]

86 J S Barnard and Y S Beyer

Fig 1 a) A simulated image of a single EuGa defect with amorphous surface layers note the resulting variations in HAADF intensity b) A tetrahedral 4EuGa-VN defect cluster

Our simulations did not take into account thermal displacements because of the computational

demand of simulating an entire image and sampling a large number of phonon configurations We reasoned that with the same Debye-Waller factor as the other Ga atoms the visibility of Eu would not differ much

Our simulations showed that the highest visibility for the EuGa defect occurs at mid to lower depths depending on the crystal thickness The contrast of the EuGa defect varied between 13 and 47 even with surface roughness (2Aring RMS) and amorphous layers (3Aring each side Fig 1) For multiple Eu-containing strings the contrast increased only slightly Two Eu atoms gave 55 contrast and three Eu atoms gave ~60 contrast Defect complexes such as the EuGa-VN pair were indistinguishable from a lone EuGa defect and a 4 EuGa-VN defect cluster as might be expected in a tetrahedral semiconductor could easily be seen (Fig 1) 3 STEM-HAADF Imaging of Eu-doped GaN Experimental 31 Sample Details The sample consists of a 2microm thick GaN film grown by metal-organic chemical vapour deposition on c-plane sapphire The film was capped with 10nm of AlN which was found to prevent amorphisation of the topmost GaN layers and resultant mass-loss Europium ions were implanted with energy of 150keV to a dose of 1015 cm-2 Films were subsequently annealed at high temperature (1300degC) to activate the dopant atoms [2] According to SRIM calculations [6] for this ion and material the Eu has a maximum range of 70 nm and a peak concentration of 3times1017 cm-3 at a depth of 40 nm

TEM samples were prepared by gluing two pieces of the ion-implanted film together and mechanically polishing to 50microm using a Tripod polisher to create mirror-smooth surfaces After dimpling the samples were ion-milled to near-transparency at 5keV before reducing the beam energy to 3keV for final polishing Samples were chemically etched in a hot saturated solution of KOH (60degC) for 30 seconds After rinsing with filtered and deionized water the samples were immediately placed into the microscope- an FEI Tecnai F20 operated at 200keV 32 Eu-Finding STEM-HAADF imaging was performed with every effort to optimise the spatial resolution of the STEM image The microscope was calibrated to determine the conditions notably illumination angle for which the highest resolution could be attained We used the setting suggested by Mory et al for obtaining the smallest probe [7] The Tecnai F20 microscope has a spherical aberration coefficient of 12 mm putting 70 of the probe current into a probe of diameter 24Aring The HAADF collection range was 50-200 mrad and images were taken along the [11-20] zone axis

On the Nature of Eu in Eu-Doped GaN 87

Visibility Distribution of Sample Areas of Different Thicknesses

0

005

01

015

02

025

03

035

04

0 5 10 15 20 25 30 35 40 45 50 55 60

Visibility (percent)

Rel

ativ

e fr

eque

ncy

lt5nm5-10nmgt10nm

Fig 2 Frequency distribution of visibilities for those clusters determined from ten different areas

Thickness of the sample was determined from CBED patterns taken from line profiles scanned

across the region of interest after STEM imaging The diffraction pattern is highly asymmetric owing to the breaking of Friedelrsquos law by the non-centro-symmetric unit cell Bloch-wave calculations show a linear variation in the (000-2)(0002) intensity ratio for small thicknesses (lt200Aring) allowing thickness determination with an estimated accuracy of plusmn5Aring

To analyze the STEM-HAADF images quantitatively estimates of the dark-signal level were obtained from blanked images which were then subtracted off the raw images These images were next processed two-fold first the image was heavily smoothed removing the lattice-resolution detail Given the near-proportional variation of HAADF signal with specimen thickness and using the CBED patterns as a calibration we were able to get local thickness maps of the areas Second from the raw image the smoothed version was removed so that local variations of brightness stood out We then smoothed the images by convoluting with a 1Aring radius Gaussian kernel These images were then analysed for their standard deviations We examined all those pixels with intensities greater than 4σ These were grouped into pixel lsquoclustersrsquo - small regions no more than 2Aring wide These were analysed for their centre-of-mass coordinates that yielded a list of candidate sites Each site was analysed for the HAADF intensity within a 1Aring radius of the centre of the site The intensity within a 1Aring radius of the average lattice maxima was calculated from the strength of the Fourier amplitudes of the background lattice in the near vicinity (~5nm square) The visibility of each site was then calculated from the contrast value The visibility of the sites were sorted according to size and binned into 5 intervals (Fig 2) Note the distinct bimodal nature of the distribution for the thinnest sample thicknesses of lt5nm and 5-10nm

Candidate sites were selected from those sites with visibilities in excess of 20 (see boxes in Fig 3) In almost all cases the brightest points in these areas correspond to a regular lattice site In some cases the bright cluster appears to be associated with a defect For example in box A the hellipABABhellipstacking sequence the GaN above the central lsquoblobrsquo is shifted by c2 spacing relative to the crystal below it In other cases there is no such shift and the crystal looks reasonably regular (eg box C) In all for two regions with thickness of 50Aring or less we found 14 isolated candidate sites for a 50Aring thick film and 16 sites for a 35Aring-thick film This compared well with the SRIM calculations of 11 and 5 sites respectively Large defect clusters were not seen 4 Discussion and Concluding Remarks We posed three questions at the start and it is worth considering what was and was not achieved First it seems that theoretically at least the Eu atoms can be found with a reasonable degree of confidence The bimodal distribution of cluster visibilities in Fig 2 and the range of visibilities

88 J S Barnard and Y S Beyer

Fig 3 a) An experimental STEM-HAADF image from one region with thickness 50Aring or less boxes show clusters of pixels exceeding 20 visibility and b) their magnified versions

that the upper mode spans give very good agreement with the multi-slice calculations especially for the thinnest samples On this basis we are encouraged but without a unique chemical signature specific to europium this remains speculation With an aberration-corrected STEM instrument performing atomic resolution electron energy loss spectroscopy (EELS) measurements this may well be rectified soon

If the candidate sites seen here are Eu-containing strings then our results suggest that they are isolated and well incorporated into the lattice structure ie few lsquobright blobsrsquo were seen in locations that would correspond to interstitials or clusters like those seen by Kaiser et al [4] (their material was annealed at much higher temperatures than ours) The moderate agreement with the number of sites expected from the SRIM calculations was also encouraging but with such low numbers the uncertainties are high

Third the current imaging performance of this electron microscope is insufficient to measure small displacements of atoms along certain directions (practically and theoretically) Much more promising would be the use of fine structure in the near-edge or extended fine structure in EEL spectra taken from the column of interest Only with the very best aberration corrected STEM would this be possible Acknowledgement We acknowledge Dr R Martin University of Strathclyde for supplying the sample References 1 Heikenfeld J Garter M Lee D S Birkhahn R and Steckl A S 1999 Appl Phys Lett 75 1189 2 Lorenz K Wahl U Alves E Dalmasso S Martin R W OrsquoDonnell K P Ruffenech S and

Briot O 2004 Appl Phys Lett 84 2712 3 Bang H Morishima S Li Z Akimoto K Nomura M and Yagi E 2002 J Crystal Growth 237-

239 1027 4 Kaiser U Muller D A Grazul J L Chuvilin A and Kawasaki M 2002 Nature Mater 1 102 5 EJ Kirkland 1998 Advanced Computing in Electron Microscopy (Plenum Press) 6 Ziegler J 2003 wwwsrimorg (accessed June 2006) 7 Mory C Colliex C and Cowley J M 1987 Ultramicroscopy 21 171

Recent Studies of Heteroepitaxial Systems David J Smith Department of Physics Arizona State University Tempe Arizona 85287-1504 USA Summary Electron microscopy methods have been used extensively in recent collaborative studies involving the growth and characterization of semiconductor heterostructures and nanostructures Examples that are described here include epilayers and nanowires as well as quantum wells and quantum dots while the heteroepitaxial systems represented include II-VI III-V and IV-IV compounds as well as mixed valence materials Ferromagnetic Cr-doped nitride semiconductors are also briefly discussed Control over the growth and processing conditions in concert with the microstructural information available from electron microscopy is confirmed as being essential for achieving materials of the highest possible quality 1 Introduction The ongoing evolution in the use of existing semiconductors and the development of alternative materials for novel electronic and optoelectronic devices bring new opportunities for both crystal growers and device engineers The fabrication of epitaxial heterostructures and especially nanostructures based on two (or more) dissimilar materials continues to present many challenges Lattice mismatch across the hetero-interfaces invariably leads to strain and likely defect formation while valence mismatch and differences in thermal expansion coefficients may also seriously impact the final material quality Microstructural characterization using electron microscopy methods has a crucial role to play in the process of understanding controlling and refining the materialsrsquo properties Heteroepitaxial systems described in the following sections include a) HgCdTeHgTe alloys for infrared detectors b) silicide nanowires for nanoscale electrical interconnects c) self-assembled Ge-Si quantum dots d) GeSi(100) Lomer edge dislocations e) III-nitrides for solid-state lighting and devices and f) ferromagnetic Cr-doped nitrides for possible spintronic applications 2 Techniques 21 Imaging The transmission electron microscope (TEM) is a powerful imaging and analytical instrument with many different operating modes that make it highly useful for characterizing the local microstructure and chemical composition of semiconductor materials and devices at the nanoscale Most of the images presented here were taken with a JEM-4000EX high-resolution electron microscope (Cs = 10mm) operated at 400 keV and equipped with a top-entry double-tilt specimen holder High-resolution electron micrographs were recorded at close to the optimum (Scherzer) defocus from thin specimen regions using a large objective aperture while conventional bright- and dark-field diffraction-contrast imaging with a small objective aperture was used for defect identification A Philips CM200 with a field-emission electron source and equipped for energy-dispersive Xray spectroscopy (EDXS) and electron-energy-loss spectroscopy (EELS) was used for nanoprobe analysis A JEM-2010F operated at 200 keV and equipped with a field-emission electron source was used for Z-contrast annular-dark-field (ADF) imaging Samples were usually imaged in the cross-sectional geometry with the substrate normal perpendicular to the electron beam direction

92 David J Smith

22 Sample Preparation Standard methods based on mechanical polishing with final ion-beam milling to perforation were used for TEM sample preparation in most cases [1] However in the specific case of In-based nitride materials because of concerns about possible ion-beam damage andor In segregation being caused by ion-milling low-angle wedge polishing followed by chemical etching for final cleaning of the exposed sample surfaces was normally used

Fig 1 a) Two epitaxial HgCdTe p-n heterojunctions (different [In] dopant levels in n-type layer) prepared together but with insufficient cooling Defects visible in n-type layers and defect pile-up at p-n junctions (arrowed) b) Same sample after re-thinning for 10 minutes but with sufficient time allowed for cooling (about 90 minutes) No defects visible c) Re-thinned for 10 minutes - sample at RT [3]

For the II-VI semiconductors susceptibility to structural damage during cross-sectioning as a result of ion-milling is a well-known problem that can seriously compromise image interpretation [2] Hg1-xCdxTe (MCT) is currently a key material for use in infrared detectors especially because small changes in the alloy composition x allow choice of operation over a wide spectral range However as evidenced by the p-n heterojunctions imaged in Fig 1 spurious defects may be caused by ion-milling unless special care is taken to ensure that the sample is indeed cooled down close to liquid nitrogen temperature during the milling process [3] This sample originally showed a high density of small defects with average diameters of about 100 nm in the n-type layers of both samples smaller defects with diameters of 10-30 nm in the p-type layers and a defect pile-up in the region of the p-n junction as visible in Fig 1a None of these defects was visible when the sample was re-thinned after allowing adequate time for cooling - see Fig 1b Investigation of multilayered samples with different Hg concentrations also revealed that the extent of ion-induced damage apparently depended sensitively on Hg composition as well as the epilayer growth conditions (ie Hg rich or Te rich) Modeling of the damage process suggested that these differences in behavior were attributable to the production and diffusion of Hg interstitials which could rapidly form interstitial dislocation loops in the absence of sufficient cooling [3]

p-n junction

p-n junction

glue line

p

n

p

n 500nm

p

p

n

500nm

(a) (b)

n100nm

(c)

Recent Studies of Heteroepitaxial Systems 93

3 Results 31 HgCdTe IR Detectors Hg1-xCdxTe (MCT) is an ideal material for infrared (IR) focal-plane arrays because of its superior electronic and optical properties including tunable bandgap from 0 to 16 eV Growth of epitaxial MCT alloys of the crystal quality needed for latest IR applications can be problematic because of various technical difficulties such as compositional inhomogeneities and high defect densities A recent overview of the various types of defects associated with epitaxial HgCdTe alloys as characterized by electron microscopy can be found elsewhere [4] Bulk CdZnTe (CZT) has traditionally been the substrate of choice used for MCT growth because of its close lattice match and chemical compatibility with MCT Figure 2a shows a good example of an MCTCZT(211)B heterointerface where occasional misfit dislocations (arrowed) are visible although the MCT layer itself is of high crystallinity MCT growth using the technique of molecular beam epitaxy (MBE) is highly sensitive to the growth conditions For example the substrate temperature must be restricted to within a very small window around 185degC and it is essential to maintain very careful control over the incoming flux of the constituent materials in order to obtain the desired target composition Surface craters sometimes called ldquoV-shaped defectsrdquo have been associated with Hg deficiency during the MBE growth of MCT and we have found as shown in Fig 2b that the polycrystalline material found in the vicinity of these defects often involves the nucleation and growth of Te together with defective MCT inclusions [5]

Fig 2 a) Misfit dislocations present at HgCdTeCdZnTe heterointerface [4] b) Region of surface crater defect caused by Hg deficiency during HgCdTe growth showing interface between grain of highly defective HgCdTe surrounded by polycrystalline Te [5]

32 Silicide Nanowires The deposition of rare-earth metals onto heated Si(100) substrates has been found to result in the formation of self-assembled nanowire (NW) structures which have very large (~251 or much more) length-to-width (LW) aspect ratios [6] This NW shape has been attributed to anisotropic lattice mismatch with the Si substrate which is typically small (~0) in the long NW direction and relatively large (~5-10) in the narrow NW direction The NW size and shape in combination with their inherently high crystallinity and Si compatibility make them attractive for a range of applications such as nanoscale contacts interconnects and sensors Transition metals such as Ti [7] and Co [8] have also displayed NW silicide growth and cross-sectional TEM observations of self-assembled CoSi2 NWs on Si(111) Si(100) and Si(110) has revealed that epitaxial growth into the substrate took place [8] This lsquoendotaxialrsquo growth mechanism does not necessarily rely on

94 David J Smith

anisotropic lattice mismatch thus possibly making it applicable to a range of metals and substrates and it also appears that the growth temperature controls the average LW aspect ratio As shown by the examples in Fig 3 the common feature of these endotaxial islands is that growth into the substrate has occurred along inclined Si(111) planes with coherent B-type interfaces thereby breaking the surface symmetry and leading to the NW shape [8] It seems likely that a similar NW endotaxial growth mechanism might also apply to systems other than siliconsilicide

Fig 3 Cross-sections of cobalt disilicide NWs formed by reactive epitaxy of Co a) Si(111) at 800degC b) Si(100) at 750degC Note the coherent B-type interfaces as indicated by broken lines [8]

33 GeSi(100) Self-Assembled Quantum Dots The growth of germanium on silicon is initially pseudomorphic owing to their identical simple cubic lattice structure However a layer-to-island (Stranski-Krastanov) transition occurs after deposition of about 3-4 Ge monolayers because of the GeSi lattice mismatch of ~42 leading to the formation of self-assembled nanostructures usually referred to quantum dots (QDs) Atomic-force microscopy (AFM) is commonly used to determine the size and shape of these islands as a function of growth conditions (coverage growth temperature) thereby leading to a useful classification of island morphology [9] However unlike TEM imaging the AFM technique is obviously unable to provide structural information about the GeSi heterointerface or any QD defects Plan-view imaging can reveal the presence of interfacial misfit dislocations as shown by the examples arrowed in Fig 4a while cross-section imaging allows the QD defect microstructure to be determined ndash see Fig 4b

Fig 4 a) Plan-view image from sample grown with 11 ML of Ge at 450degC revealing misfit dislocations at QDs arrowed b) Cross-section image of GeSi QD from sample grown with 14 ML of Ge at 400degC showing no visible defects [10]

100nm

(a)

5nm

(b)

Recent Studies of Heteroepitaxial Systems 95

In parallel with the microstructural studies an early EDXS study of island composition had found the totally unexpected result that considerable Si diffusion into the QD had taken place for a sample grown at 600degC [11] A later comprehensive EELS study of QD composition as a function of substrate temperature during deposition showed that the amount of Si diffusion ranged from ~32 at 550degC up to ~56 at 700degC [12] Cross-section TEM observations of QDs grown at high temperature (gt600degC) had also shown that many large islands were surrounded by trenches in the Si substrate [10] which confirmed earlier AFM images Further TEM observations also revealed that many larger islands grown at high temperature perhaps surprisingly did not show misfit dislocations [10] These latter TEM results were explicable given the amount of Si interdiffusion that must have taken place at the elevated growth temperatures 34 GeSi(100) Lomer Edge Dislocations With increasing epilayer thickness during pseudomorphic growth interfacial misfit dislocations will eventually be formed as a strain-relief mechanism Typically these defects will either be 60deg mixed dislocations or else perfect 90deg Lomer edge dislocations lying in the plane of the interface with the latter being regarded as more effective at relaxing the misfit strain Our observations of thick GeSi(100) heterostructures grown at 550degC indicated that the majority of the misfit dislocations were indeed Lomer edge type [13] Figure 5a is an atomic-resolution electron micrograph recorded with the JEOL ARM-1250 in Stuttgart [14] and shows an well-separated array of stress-relieving dislocations as arrowed In contrast Fig 5b shows a Z-contrast annular-dark-field of a single Lomer dislocation recorded with a JEM-2010F Analysis of the average (110)-type lattice spacings in the ARM micrograph and measurements of the intensity levels in the ADF image both confirmed that the GeSi interface was not structurally abrupt as was expected from the earlier GeSi QD studies which had shown Si interdiffusion [13] Moreover based on the appearance of the ARM micrographs it was possible to construct structural models of the dislocation cores as shown in Fig 6 and these revealed that the vast majority of these defects were asymmetrical rather than symmetrical [13] Both the asymmetrical nature of the dislocation core and the GeSi interdiffusion must be considered in future atomistic modeling of this system

Fig 5 a) Atomic-resolution electron micrograph showing array of Lomer edge dislocations (arrowed) at GeSi interface b) Z-contrast ADF image showing single Lomer edge dislocation

Fig 6 ab) Experimental ARM micrographs of Lomer edge dislocations at GeSi heterointerface cd) Asymmetrical and symmetrical structural models based directly on image appearance [13]

5 6

96 David J Smith

35 InN Relaxed Quantum Dots InN is attracting much recent attention because of possible optoelectronic applications including infrared detection Growth on GaN should involve a layer-to-island transition (Stranski-Krastanov mode) because of the ~11 lattice mismatch and InN quantum dots (QDs) should appear after deposition of a very thin wetting layer (lt 2 InN monolayers) The In-polar InN QDs reported here were grown under slightly group III-rich conditions using plasma-assisted MBE with a relatively low growth temperature of ~ 425degC and the total equivalent surface coverage was about nine InN monolayers (one ML ~ 0285nm) Cross-sectional observations as shown in Figs 7a and 7b revealed that most of the QDs were associated with threading dislocations in the underlying GaN layer which had edge components Periodic misfit dislocations were observed at the InN QDGaN interface Plan-view images as shown by Fig 7c indicated periodic primarily hexagonal arrays of dislocations that were separated by ~ 28nm This distance corresponds to the separation between misfit dislocations at a relaxed InN QDGaN interface based on the lattice mismatch Thus the islands can be considered as being completely relaxed Disruptions to the fringe periodicity are also visible attributable to the threading dislocations in the underlying GaN layer Finally there was no evidence for the presence of any InN wetting layer between islands which was consistent with the co-existence of streaks (GaN layer) and spots (InN QDs) that were present in reflection-high-energy electron diffraction patterns recorded in situ during MBE growth

Fig 7 ab) Cross-sectional electron micrographs showing InN QDs on GaN buffer c) Plan-view electron micrograph of relaxed InN QD Hexagonal array of moireacute fringes has spacings corresponding to the misfit between the lattice spacings of InN and GaN indicative of relaxed InN QDs Disruptions to fringe periodicity caused by GaN threading dislocations [15]

36 Lateral Phase Separation in AlInNGaN Heterostructure Materials based on InxAl1-xN have possible applications for LEDs LDs as well as HEMTs The In017Al083NGaN heterostructure should ideally be free of misfit strain because the two materials should have the same lattice parameter Moreover the presence of a two-dimensional gas at the interface is predicted by modeling because of the large conduction band offset and the differences in spontaneous polarization [16] Growth of high quality InAlN is however likely to be challenging The growth temperature should ideally be below about 460degC for reliable In incorporation into the growing film due to the weak In-N bond and spinodal decomposition is also possible owing to the large lattice mismatch between InN and AlN which is 125 and 135 for the c and a directions respectively Cross-section electron micrographs of InAlNGaN heterostructures showed vertical lines or stripes originating from near the interface and the top surface showed undulations on the order of several monolayers The defects were investigated by

(c)

25nm

50nm

10nm

(a)

(b)

Recent Studies of Heteroepitaxial Systems 97

weak-beam dark-field micrographs as shown in Fig 8 [17] The majority of the GaN defects some of which propagated into the InN layer were threading dislocations having edge components Vertical stripes are visible in Fig 8a throughout the entire InN layer but these are not present in Fig 8b recorded with Burgersrsquo vector g = (0002) which is consistent with an absence of strain along this direction The plan-view Z-contrast image of the In018Al082NGaN sample in Fig 9a shows the presence of a honeycomb or cell-like structure The corresponding EDXS spectrum shown in Fig 9b taken along the indicated line confirms that the cell walls of the honeycomb structure are In-rich This novel phenomenon is interpreted in terms of a lateral phase separation initiated at the InAlNGaN interface that is most likely caused by random compositional non-uniformities across the growth surface

Fig 8 Weak-beam dark-field electron micrographs taken under two-beam diffraction conditions from the same area a) g = lt1020gt and b) g = (0002) Note white and black stripes parallel to the growth direction in (a)

Fig 9 a) Z-contrast ADF image of In0175Al0825NGaN heterostructure taken in plan-view showing honeycomb structure caused by lateral phase separation Brighter areas interpreted as In-rich b) EDXS line profile confirms that In-peak intensity increased as Al-peak decreased [17]

37 Ferromagnetic Cr-Doped Nitrides The recent interest and activity in the field of lsquospintronicsrsquo aims to use the charge and spin of the electron to achieve more advanced device functionalities Dilute magnetic semiconductors have been hotly pursued with much attention being directed towards systems based on silicon and GaAs Cr is a potential dopant in III-nitride materials Sets of GaN and AlN thin films doped with a range of Cr concentrations were grown by MBE [18] and magnetic measurements showed that many films were ferromagnetic some having Curie transitions temperatures above 900K [19] As shown by channeling experiments [20] Cr atoms occupied substitutional rather than interstitial sites at least for GaN samples grown at or below 775degC [21] Extensive TEM structural and chemical studies confirmed that the Cr-AlN and Cr-GaN thin films did not show any evidence for the presence of any ferromagnetic secondary phases although trace amounts of antiferromagnetic CrN were detected in some films A high quality film of 3Cr-GaN grown at 775degC is shown in Fig 10a and EELS studies did not show any evidence for Cr clustering An energy-filtered electron micrograph and an EELS profile of another film grown at 825degC are shown in Fig 10b ndash Cr clustering is clearly evident in both cases [21]

g(b)

100nm

In017Al083N

GaN

g(a)

Position (nm)

Cou

nts

151050

250

200

150

100

50

0

InAl(b)

(a)

20 nm

8 9

98 David J Smith

Fig 10 a) Cross-section electron micrograph of 3Cr-GaN sample grown at 775degC EELS profile above indicates no significant Cr clustering b) Energy-filtered micrograph and EELS line profile above confirms Cr clustering in sample grown at 825degC [21]

Acknowledgements I am pleased to acknowledge many students friends and colleagues who have participated in the collaborative studies reported here Use of facilities in the John M Cowley Center for High Resolution Electron Microscopy at Arizona State University is also gratefully acknowledged References 1 Bravman J and Sinclair R 1984 J Electr Micro Tech 1 53 2 Cullis A G Chew N G and Hutchison J L 1985 Ultramicroscopy 17 203 3 Wang C Smith D J Tobin S Parodos T Zhao J Chang Y and Sivananthan S 2006 J Vac Sci

Technol A 24 995 4 Aoki T Chang Y Badano G Zhao J Grein C Sivananthan S and Smith D J 2004 J Cryst

Growth 265 224 5 Aoki T Smith D J Chang Y et al 2003 Appl Phys Lett 82 2275 6 Preinesberger C Becker S K Vandre S et al 2002 J Appl Phys 91 1695 7 He Z Stevens M Smith D J Bennett P A 2003 Appl Phys Lett 83 5292 8 He Z Smith D J and Bennett P A 2004 Phys Rev Lett 93 256102 9 Chaparro S A Zhang Y Drucker J et al 2000 J Appl Phys 87 2245 10 Smith D J Chaparro S Crozier P A et al 2004 J Cryst Growth 259 232 11 Chaparro S A Drucker J Zhang Y Chandrasekhar D McCartney M R and Smith D J 1999

Phys Rev Lett 93 1199 12 Floyd M A Zhang Y T Driver K P et al 2003 Appl Phys Lett 82 1473 13 Stirman J N Crozier P A Smith D J et al 2004 Appl Phys Lett 84 2530 14 Phillipp F Houmlschen R Osaki M et al 1995 Ultramicroscopy 56 1 15 Zhou L Xu T Smith D J and Moustakas T D 2006 Appl Phys Lett 88 231906 16 Katzer D S Storm D F Binari B V et al 2005 J Vac Sci Technol B 23 1204 17 Zhou L Smith D J McCartney M R et al 2007 Appl Phys Lett 90 081917 18 Wu S Y Liu H X Gu L Singh R K Budd L van Schilfgaarde M McCartney M R Smith D J

and Newman N 2003 Appl Phys Lett 82 3047 19 Liu H X Wu S K Singh R K et al Appl Phys Lett 85 4076 20 Singh R K Wu S Y Liu H X et al 2005 Appl Phys Lett 86 012504 21 Gu L Wu S Y Liu H X et al J Magn Magn Mater 290291 1395

SiC

(au)

0

600

12000 21 41 62 83

0

600

12000 22 44 66 88

50nm

Ga(Cr)N

2nm

Ga(Cr)N

(nm)

(a)

(nm) (au)

(b)

SiCSiC

Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple Quantum Wells E Luna A Trampert E-M Pavelescu1 and M Pessa1 Paul-Drude Institute for Solid State Electronics Hausvogteiplatz 5-7 10117 Berlin Germany 1 ORC Tampere University of Technology PO Box 692 FIN-33101 Tampere Finland Summary Transmission electron microscopy (TEM) is used to determine the composition of quaternary (GaIn)(NAs) quantum wells (QWs) Through a combined analysis of the chemically sensitive (002) dark-field images and lattice-resolving high-resolution TEM images the local distributions of nitrogen and indium in the growth direction are determined In particular we were able to directly detect the existence of indium segregation in (GaIn)(NAs) QWs A comparison with the indium distribution profile in the nitrogen-free (InGa)As QWs grown under similar conditions revealed that incorporating N into the alloy enhanced indium segregation 1 Introduction Dilute nitrides have attracted considerable attention because of their potential application in GaAs-based laser diodes operating in the 13 - 155 microm optical fibre window [1] However despite the interest in growing In and N -content (GaIn)(NAs) layers the mechanisms controlling the incorporation of In (35 - 40 ) and N (2 - 5 ) are still not well understood [2] In general indium segregation in the quaternary alloy and structural effects of the presence of N on the alloy are subjects not widely explored so far It has been put forward that the presence of N enhances In segregation [3] This suggestion however is based on data obtained from indirect experiments [3]

In this paper (GaIn)(NAs)GaAs quantum wells (QWs) have been grown by molecular beam epitaxy (MBE) and analysed by the transmission electron microscopy (TEM) The In and N compositions [In] and [N] studied across the QWs were locally determined by a combined evaluation of the tetragonal lattice distortion and the contrast analysis of chemically sensitive (002) dark field TEM images [4] The profiles obtained are compared to those taken from nitrogen-free (InGa)As GaAs QWs grown under similar conditions Indium segregation in the (GaIn)(NAs) QWs is directly inferred from the experimental profiles 2 Experimental Ten (GaIn)(NAs) QWs 7 nm in width and with 30-nm thick GaAs potential-energy barriers were grown on GaAs(001) substrates in a MBE system equipped with a rf nitrogen plasma source the growth conditions have been reported elsewhere [5] We examined two sets of samples One contained (GaIn)(NAs) QWs the other contained QWs without nitrogen Samples were grown at two different temperatures Tg = 420 degC and 460 degC The samples containing (GaIn)(NAs) QWs are labelled G420 (Tg = 420 degC) and G460 (Tg = 460 degC) The other samples (InGa)As are labelled I420 and I460 Prior to TEM analysis the samples were characterised by x-ray diffraction (XRD) and photoluminescence

Cross-sectional TEM foils were prepared in the [110] and [110] projections using mechanical thinning followed by Ar-ion milling The TEM investigation was carried out using a JEOL JEM 3010 microscope operating at 300 kV equipped with a GATAN CCD camera The In and N composition distributions were determined by evaluating the tetragonal lattice distortion (through the analysis of the TEM images with the LADIA program package [6 7]) and the contrast analysis of chemically sensitive (002) DF images [4] The (002) DF images were obtained by tilting the specimen about 10deg on the [110]

100 E Luna et al

zone axis along the growth direction For the (InGa)As samples [In] was directly determined from the analysis of the (002) DF images In this case [In] was inferred from a strain analysis with the LADIA software which yielded similar values (deviation ~ 1 ) to those obtained from the DF micrographs In the analysis of the (002) images we took into account the atomic scattering factors given by Doyle and Turner [8] while influences of electron redistribution due to the bonding of atoms local structural distortions and thin-foil surface relaxation [9-12] are not considered here

Our challenging aim was to determine the distribution profiles of [In] and [N] across the (GaIn)(NAs) QWs It turned out that [In] and [N] deduced from the experimental XRD data (after comparison with simulations which were based on the dynamical diffraction theory [13]) deviated only about 2 for [In] and 1 for [N] from those obtained from the TEM analyses 3 Results and Discussion 31 Element Distribution inside the (GaIn)(NAs) QWs Figure 1a shows a g002 DF cross-sectional TEM image for G420 As observed in spite of the build-up of bilateral compressive strain we have obtained morphologically perfect two-dimensional QWs The QWs exhibit smooth interfaces as indicated by the two dark lines on both sides of each QW and laterally homogeneous overall composition Figure 1b is a lattice-strain map obtained from the TEM analysis with the LADIA software It reveals the presence of a homogeneous strain distribution inside the QW The corresponding strain values inferred from average line-scans are given in Fig 1c

20 nmg002

(GaIn)(NAs)

GaAs

(a)

(b)5 nm

0 20 40 60

0

1

2

3

4

5 (c)G420

Stra

in (

)

Position (arb units) Fig 1 a) g002 DF micrograph from sample G420 b) strain map obtained from the analysis of the HRTEM images with LADIA c) strain distribution across a QW

As for the elemental distribution Fig 2 shows the corresponding [In] and [N] profiles taken for

G420 and G460 While the In distribution for G420 is rather homogeneous it has a pronounced asymmetry for G460 characteristic of segregation effects ie the local indium concentration gradually increases inside the QW as growth proceeds Notice that no significant ldquotailrdquo penetration into the upper barrier layer occurs which results in a rather symmetric distribution at the interfaces The [N] profile of G460 exhibits a pronounced asymmetry too The areas of lower [N] correspond to the areas of higher [In] which is a well-known phenomenon caused by the preferred formation of Ga-N and In-As bonds with an increase in Tg due to the phase separation tendency of the alloy [14] For G460 the fluctuations in [N] are strong amounting to a difference of 16 between the minimum and maximum values in the QW As deduced from the element profiles (Fig 2b) in rough approximation the QWs of G460 is composed of three layers each containing different [In] and [N] This approximation is corroborated by XRD Figure 3 shows the experimental XRD data of G460 together with a simulated XRD The simulated XRD can be fitted assuming three layers in the QW made of layer 1 22 nm thick [In] ~ 39 [N] ~ 44 layer 2 23 nm thick [In] ~ 42 [N] ~ 19 and layer 3 24 nm thick [In] ~ 41 and [N] ~ 42 These values are in agreement with the indium nitrogen contents and distributions obtained by TEM We are however not able to introduce the effects of indium segregation in our XRD simulation program For G420 with reduced Tg the fluctuations in [N] are only 08 In this case the simulated XRD fits the experimental one assuming a homogeneous QW composition

Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple QWs 101

0 5 10 15 20 25

0

10

20

30

40 (a) G420 InIn

con

tent

()

Position (nm)

0

1

2

3

4

5

growth direction

N

N c

onte

nt (

)

0 5 10 15 20 25

0

10

20

30

40 (b) G460 In

In c

onte

nt (

)

Position (nm)

0

1

2

3

4

5

6

growth direction N

N c

onte

nt (

)

Fig 2 Experimental [In] and [N] profiles for (GaIn)(NAs) QWs grown at a) 420 and b) 460 degC 32 Nitrogen Enhanced Indium Segregation The indium content in the (GaIn)(NAs) QWs grown at 420 degC is homogeneous with a symmetric distribution which is well simulated by the error functions that describe diffusion processes (Fig 4) but the raise of Tg to 460 degC promotes a gradual increase in [In] from 36 to 40 characteristic of In segregation Notice that due to the phase separation tendency of (GaIn)(NAs) the range of Tg explored here is kept rather limited It is commonly known that increasing Tg increases indium segregation in (InGa)As [15] We have found however that the impact of higher growth temperatures on In segregation in the (GaIn)(NAs) QWs is partly masked by an increase in surface roughening and the appearance of lateral composition fluctuations

-2 -1 0 1

[In] [N]GaAs 29 nm (GaIn)(NAs) 22 nm 39 44(GaIn)(NAs) 23 nm 42 19(GaIn)(NAs) 24 nm 41 42

simulation

experiment

GaAs(004)

G460

Inte

nsity

(arb

uni

ts)

ω (degree)

-30 -15 0 15 30

0

10

20

30

40

50

G420

In c

onte

nt (

)

Position (ML) Fig 3 Experimental and simulated XRD ω-2θ scan of sample G460

Fig 4 Experimental In distribution from G420 described by error functions

Segregation of atoms is often described and quantified by a segregation efficiency factor R which

defines the fraction of topmost-layer atoms that move to the next layer In G460 a fit to Murakirsquos model for segregation [15] (Fig 5) yields R = 081 ie indium segregation is remarkable For (InGa)As QWs grown at Tg = 535 degC similar R of 08 has been reported [16] Judging from our observations Murakiacutes model describes well the distribution of indium in the QW but there is a discrepancy at the upper interface Error functions provide a good description at the interfaces but the experimental [In] inside the QW clearly deviates from the symmetric fit as observed in the inset of Fig 5 In order to explore the influence of N on In segregation we compared the In distribution obtained for the (InGa)As QWs grown under similar conditions We found that when growing them at 420 le Tg le 460 degC indium segregation was undetectable This is to say that indium segregation in the nitrogen-free QWs occurs at higher Tgrsquos only Figure 6 (I460) shows that [In] indeed remains constant at 39 across the QW with a diffusion-like symmetric profile

102 E Luna et al

-20 0 20 40 60 80

0

10

20

30

40 G460R = 081

In c

onte

nt (

)

Position (ML)

-10 0 1010

20

30

40

In c

onte

nt (

)

Position (ML)

Fig 5 Fit to Murakiacutes model for segregation of the data in Fig 2b The inset shows the deviation of the experimental [In] inside the QW from the symmetric fit with error functions

0 5 10 15 20 25 30

0

10

20

30

40 G460 I460

In c

onte

nt (

)

Position (nm)

10 15

30

35

40

Position (nm)

Fig 6 Experimental In profiles for the samples withwithout N in the QW at 460 degC No In segregation is detected for the (InGa)As QW

4 Conclusions We found that the presence of nitrogen in the (GaIn)(NAs) quantum well enhances indium segregation when compared to the nitrogen-free (InGa)As QW grown under similar conditions in the Tg range from 420 to 460 degC As a consequence of the phase separation tendency this asymmetry in indium distribution produces strong fluctuations in the nitrogen content Acknowledgements The authors acknowledge Mrs A Pfeiffer for technical assistance This work was carried out in part within the framework of EU FP6 Fast Access Project References 1 Kondow M Uomi K Niwa A Kitatani T Watahiki S and Yazawa Y 1996 Jpn J Appl Phys

35 1273 2 Jaschke G Averbeck R Geelhaar L and Riechert H 2005 J Cryst Growth 278 224 3 Liu H F Xiang N and Chua S J 2006 Appl Phys Lett 89 071905 4 Grillo V Albrecht M Remmele T Strunk H P Egorov A Y and Riechert H 2001 J Appl Phys

90 3792 5 Pavelescu E -M Slotte J Dhaka V D S Saarinen K Antohe S Cimpoca Gh and Pessa M 2006

J Cryst Growth 297 33 6 Du K Rau Y Jin-Phillipp N Y and Phillipp F 2002 J Mater Sci Technol 18 135 7 Chauveau J -M Trampert A Pinault M -A Tournieacute E Du K and Ploog K H 2003 J Cryst

Growth 251 383 8 Doyle P A and Turner P S 1968 Acta Crystallogr A24 390 9 Glas F 2004 Philos Mag 84 2055 10 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 11 Cagnon J Buffat P A Stadelmann P A and Leifer K 2003 Inst Phys Conf Ser 180 203 12 Patriarche G Largeau L Harmand J C and Gollub D 2004 Appl Phys Lett 84 203 13 Brandt O Waltereit P and Ploog K H 2002 J Phys D 35 577 14 Kong X Trampert A Tournieacute E and Ploog K H 2005 Appl Phys Lett 87 171901 15 Muraki K Fukatsu S Shiraki Y and Ito R 1992 Appl Phys Lett 61 557 16 Litvinov D Gerthsen D Rosenauer A Schowalter M Passow T Feinaumlugle P and Hetterich M

2006 Phys Rev B 74 165306

Nanoscale Characterisation of MBE-Grown GaMnN(001) GaAs M W Fay12 Y Han1 S V Novikov3 K W Edmonds3 B L Gallagher3 R P Campion3 C R Staddon3 T Foxon3 and P D Brown1 1 School of Mechanical Materials and Manufacturing Engineering University of Nottingham

University Park Nottingham NG7 2RD 2 Nottingham Nanoscience and Nanotechnology Centre 3 School of Physics and Astronomy University of Nottingham University Park Nottingham NG7 2RD Summary The growth of cubic (GaMn)N(001)GaAs heterostructures by plasma assisted molecular beam epitaxy has been appraised as a function of GaN ratio Mn concentration and growth temperature The combined analytical techniques of EFTEM EDX CBED and dark field imaging have been used to appraise the Mn distributions within (GaMn)N epilayers Improved incorporation efficiency of Mn is associated with growth under N-rich conditions but Mn incorporation may be enhanced under Ga-rich conditions at reduced growth temperatures The surfactant behaviour of Mn during the growth of this spintronic system determines the resultant alloy composition 1 Introduction Ferromagnetic semiconductors raise the possibility of spintronic devices that combine electronic and magnetic properties Practical spintronic applications require p-type material and a Curie temperature (Tc) above room temperature [12] Theoretically ferromagnetism at room temperatures is achievable within (GaMn)N for very high Mn concentrations [3] However the low solid solubility of Mn in GaN limits the development of these systems Tc values exceeding room temperature have been reported for (GaMn)N although the source of the ferromagnetic signal has not been definitively identified [45] The requirement for p-type (GaMn)N material is most practically achieved by growing the cubic phase using plasma assisted molecular beam epitaxy (PAMBE) on (001)GaAs [2] The growth conditions combined with a large lattice parameter mismatch between substrate and epilayer result in highly faulted structures Detailed knowledge of the structure and elemental composition on the nanoscale is required for continued refinement of these spintronic material systems both for feedback into growth programmes to improve the control of the materials growth and processing and in order to underpin fundamental understanding of the material functional properties

The effect of varying the growth parameters of III-V ratio Mn flux and temperature on the structure and Mn incorporation of (GaMn) N layers has been investigated using 12kV reflection high energy electron diffraction (RHEED) in-situ within the PAMBE growth chamber and 200kV RHEED ex-situ within a JEOL 2000fx transmission electron microscope Energy dispersive X-ray (EDX) and energy filtered transmission electron microscopy (EFTEM) elemental analysis selected area electron diffraction (SAED) and conventional imaging modes EDX analysis was carried out in a JEOL 2000fx transmission electron microscope operating at 200kV while EFTEM analysis was carried out using JEOL 2010F and 4000fx transmission electron microscopes 2 Results and Discussion (GaMn)N layers grown using PAMBE have been consistently found to be p-type by measuring the electrical properties of free-standing layers using Hall-effect measurements in a Van der Pauw geometry [2]

104 M W Fay et al

Varying the GaN ratio was found to produced a marked structural difference in the layers Under N-rich conditions RHEED analysis confirmed the presence of both zincblende and wurtzite material with a high density of stacking faults on one set of 111 planes (Fig 1a) RHEED analysis of Ga-rich layers after the chemical removal of Ga droplets revealed a smoother surface with single zincblende structure (Fig 1c) However EDX analysis confirmed that Mn was only incorporated in the layers under N-rich and nearly 11 growth conditions

The presence of α-MnAs inclusions into the GaAs buffer layer were confirmed using EDX and SAED It is considered that the inclusions formed due to the migration of Mn from the growth layer to the buffer layer The orientation relationship between MnAs and the GaAs substrate was found to be affected by the GaN ratio with N-rich conditions being associated with ([1120]MnAs[110]GaAs) orientational relationship whilst Ga-rich conditions produced ([1120]MnAs[110]GaAs)

Fig 1 Ex-situ RHEED patterns obtained from (GaMn)N layers grown using PAMBE under a) N-rich b) 11 and c) Ga-rich conditions at 680degC

Increasing Mn flux was associated with the build up of a Mn surfactant layer during the early

stages of PAMBE growth with a transition from zinc-blende single phase growth to zinc-blendewurtzite mixed phase growth as shown in Fig 2 High Mn flux produced samples with a ferromagnetic signal above 400K however the exact nature of the origin of the signal has not been definitively identified Secondary phases and Mn clusters are expected to be a significant contributor to this additional Mn content within the GaMnN alloy EFTEM analysis has revealed the presence of Mn-rich regions at grain interfaces

Fig 2 a) Cross section image of a (GaMn)N layer grown under Mn-rich conditions (nominally 66at) b-d) SAED patterns taken from the top middle and bottom of the layer respectively showing the transition from zincblende (bottom) to wurtzite structure (top) via zincblendewurtzite mixed phase growth (middle)

a b c

100nm

mixed

Wurtzite [1120]

Zincblende[110]

a b c

Nanoscale Characterisation of MBE-Grown GaMnN (001) GaAs 105

Fig 3 The effect of alternating the III-V ratio during growth of a (GaMn)N layer as investigated using in-situ RHEED and EDX line profiles

Fig 4 ex-situ RHEED patterns obtained from layers grown with Ga rich conditions at a) 680degC b) 340degC and c) 265degC d) dark field image showing the structure of a (GaMn)N layer grown at 265degC with inset diffraction patterns of the initial (GaMn)N nucleation layer (bottom left) and the low temperature (GaMn)N layer (top right)

LT GaMnN

GaAs

ba c

d

0

200

400

600

800

1000

1200

0 50 100

atomic

nm fr

om s

urfa

ce

Growth Conditions

In-situ RHEED

N

Ga

Ga

N

spo

ts stre

aks

Mn at x10

Ga at

As at

spo

ts GaAs substrate

(GaMn)N layer

106 M W Fay et al

The elemental composition through a (GaMn) N layer grown using alternating N and Ga-rich conditions to a thickness of ~1100nm was appraised by EDX line profiles (Fig 3) 12kV in-situ RHEED patters allowed comparison of the chemical profile with the near surface crystal structure of the layer during PAMBE growth at 680degC The initial growth phase of (GaMn)N under N-rich conditions produced a layer with a significant level of Mn incorporation However switching to Ga-rich growth conditions resulted in a drastic reduction in the level of Mn incorporation along with an improvement in the structural quality of the layer indicated by the streaks in the in-situ RHEED Returning to N-rich growth conditions at ~500nm layer thickness caused a transition back to a rough growth mode and the incorporation once again of significant levels of Mn A subsequent switch back to Ga-rich growth conditions continued to show a rough growth mode with significant but reduced Mn incorporation

The effect of growing (GaMn) layers at reduced temperature was also investigated Under N-rich growth conditions reducing the temperature from 680degC was simply associated with the transformation from single crystal cubic growth to polycrystalline cubic and hexagonal mixed phase growth [6] Under Ga-rich conditions lowering the growth temperature to 340degC led to a transition from single crystalline to polycrystalline growth (Figs 4a and 4b) However further reducing the growth temperature to 265degC resulted in a recovery from polycrystalline growth to a mixed phase growth with close packed planes for both cubic and hexagonal GaMnN roughly parallel to the growth surface as shown by 200kv ex-situ RHEED (Figs 4c and 4d) This was accompanied by an improved Mn incorporation as confirmed by EDX line profiles indicating the uniform incorporation of Mn under such non-equilibrium conditions [6] 3 Conclusions The microstructure and elemental concentrations of (GaMn)N layers grown by PAMBE under a range of conditions have been investigated N-rich and nearly 11 GaN growth conditions are associated with the incorporation of Mn into the GaN lattice Ga-rich growth conditions are associated with a slightly improved (GaMn)N microstructure but with little incorporation of Mn into the GaN lattice Under conditions of increasing Mn flux the build up of Mn on the growth front creates a surfactant layer resulting in enhanced levels of Mn incorporation but mixed phase growth Growth of a layer under alternating Ga or N rich conditions confirmed the surfactant behaviour of Mn during the growth of this spintronic system Low temperature growth under N-rich conditions leads to polycrystalline mixed phase growth (GaMn)N films adopt a tilted mixed phase growth mode with an improved Mn incorporation under Ga-rich conditions at low temperature References 1 Novikov S V Edmonds K W Giddings A D Wang K Y Staddon C R Campion R P Gallagher

B L and Foxon C T 2004 Semicond Sci Technol 19 L13 2 Edmonds K W Novikov S V Sawicki M Campion R P Staddon C R Giddings A D

Zhao L X Wang K Y Dietl T Foxon C T and Gallagher B L 2005 Appl Phys Lett 86 152114

3 Dietl T Ohno H Matsukura F Cibert F and Ferrand D 2000 Science 287 1019 4 Pearton S J Park Y D Abernathy C R Overberg M E Thaler G T Kim J Ren F Zavada J M

Wilson R G 2004 Thin Solid Films 447 493 5 Novikov S V Edmonds K W Zhao L X Giddings A D Wang K Y Campion R P Staddon C

R Fay M W Han Y Brown P D Savicki M Gallagher B L Foxon C T 2005 J Vac Sci Technol B 23 1294

6 Han Y Fay M W Brown P D Novikov S V Edmonds K W Gallagher B L Campion R P and Foxon C T submitted to J Crystal Growth

Antiphase Boundaries in GaAsGe and GaPSi I Neacutemeth B Kunert1 W Stolz and K Volz Material Sciences Centre Central Technology Lab Philipps University Marburg Hans-Meerwein Strasse D-35032 Marburg Germany 1 NAsP IIIV GmbH Marburg Summary We identified antiphase domains (APD) and antiphase boundaries (APB) in MOVPE grown low lattice mismatched GaAs on Ge and GaP on Si with the help of an improved dark field transmission electron microscopy technique which exploits image pairs of (002)(00-2) and (111)(11-1) reflections Both type 110 and 111 APBs were observed and their occurrence is shown to depend on growth conditions of the IIIV semiconductor as well as on the pre-treatment of the silicon or germanium substrate 1 Introduction Low lattice mismatch heteroepitaxy of IIIV semiconductors on group IV substrates has great application potential The Ge-based GaAs is for example used as the base material of high-efficiency multiple junction solar cells Furthermore IIIVs on silicon always have the potential application of realizing optoelectronic integrated circuits on Si Since the 1980s several attempts have been carried out to nucleate direct bandgap IIIVs like GaAs on Si These attempts suffer from high densities of threading dislocations due to the large lattice mismatch and result in no long-term stable lasing operation of devices To overcome this problem our approach to realize a laser on a silicon substrate is different We grow the small lattice mismatched (0037 at room temperature) GaP on Si Although GaP is not a direct material the dilute nitride mixed compound Ga(NAsP) can be grown epitaxially on this substrate This material system has a direct bandgap and has showed lasing operation even at near room temperature already [1] The deposition of this novel material system on Si could open the way to the monolithic integration of IIIV optoelectronics on Si-based CMOS microelectronics

Growing IIIV materials on group IV substrates one faces several challenges among them the charge neutrality of the interfaces the generation of antiphase domains in the IIIV semiconductors cross-doping and dislocation formation due to slight mismatch and different thermal expansion coefficients Antiphase domains (APD) and antiphase boundaries (APB) originate from monoatomic steps on the group IV substrate surface The diamond lattices of Si and Ge are based on single types of atom in contrast to the zinc-blende lattice of IIIV semiconductors which is built from two types of atom Consequently a monostep on the group IV surface leads to a a4 (a lattice constant) shift of the lattice in the [001] growth direction and hence to the formation of an APB at this step position A crystal model in Fig 1 shows in

Fig 1 Ball and stick models of annihilating 111 and 011 APB in GaP on Si

108 I Neacutemeth et al

[110] projection the possible APB configurations The APBs lie on the 110 or the 111 planes respectively For the latter ones self-annihilation is possible

This transmission electron microscopy (TEM) study covers the identification of the possible config-urations of APDs and APBs in zincblende GaAs and GaP and the application of improved dark field techniques to detect them We will suggest growth conditions to either circumvent APDs or to restrict them to a thin interfacial layer by having APBs lie on 111 lattice planes and annihilate themselves 2 Experimental 21 MOVPE Growth The metal organic vapour phase epitaxy (MOVPE) growth took place in an AIX 200 reactor under H2 carrier gas For the growth of GaAs on Ge we used substrates 6deg off-orientation from (001) and triethyl gallium (TEGa) and tertiary butyl arsine (TBAs) as precursors

In the case of GaP grown on Si exact (001) substrates were used for technological reasons After a pre-treatment of the substrates a 500 nm thick Si buffer layer has been grown using silane (SiH4) The GaP layers were grown using the MO precursors tertiarybutyl phosphine (TBP) as well as triethyl gallium (TEGa) which all ndash including the above mentioned - feature sufficient decomposition characteristics also at temperatures below 500degC The layer thicknesses (50 nm) in both material systems were chosen below the critical thickness of relaxation to keep the layers free of dislocations so that the crystal defect study can be restricted to antiphase domains 22 Transmission Electron Microscopy For the TEM investigations [1-10] and [110] cross sections and [001] plan view samples were prepared by mechanical grinding polishing followed by low angle Ar ion milling using 5 kV and 2 kV beam energies in a Gatan PIPS For TEM imaging we used a JEOL JEM 3010 UHR

For the detection of the APBs we use the dark field (DF) TEM method introduced for zinc-blende structures in [2] This method is based on taking conventional DF images with the g(002) and g(00-2) reflections The contrast on the two sides of an APB changes from bright to dark on one of the images when crossing an APB and from dark to bright on the image taken with the other reflection The crystal structures on both sides of an APB are related to each other by a 180deg rotation around the lt110gt direction so that the g(002) reflection is equivalent to that of the g(00-2) from the other side of APB If one looks at the calculated beam amplitudes (that determine the image intensities) as a function of TEM sample thickness (Fig 2) one can find TEM sample thicknesses where the beam intensities for the reflections (002) and (00-2) show a difference due to the lack of twofold axes along the lt110gt directions for most TEM sample thicknesses

0 20 40 60 80 10010-3

10-2

10-1

100

101

(00-2)

(11-1)=(1-1-1)

(111)=(1-11)

Center of Laue Circle (000)Zone axis [1-10]

(000)

(002)

Ampl

itude

s (I

I00

0)

Thickness (nm) Fig 2 Dependence of the amplitudes of different beams in [1-10] zone axis oriented GaP on the TEM sample thickness

Antiphase Boundaries in GaAsGe and GaPSi 109

Induced by this difference (eg at sample thickness between 20-35 nm) taking an image pair with these reflections the APDs should appear with a contrast change from brighter to darker on one image and exactly retrograde on the other one The simulation was carried out using the Bloch-wave method [3] We find similar dependencies of the beam amplitudes on the crystal polarity also for the (111)(11-1) pair In the crystal thickness region of 30 ndash 40 nm where the (002)(00-2) pair almost shows no intensity inversion this reflection pair should theoretically exhibit a more pronounced contrast difference This will be shown to be helpful as crystal thicknesses below 30 nm which would be required for the usage of the (002)(00-2) image pairs are not so well suited for DF microscopy

3 Results and Discussion In the following antiphase domains (APDs) in heteroepitaxially grown thin layers of GaAs grown on Ge and GaP on Si will be identified in TEM cross sections and their origin will be referenced to monoatomic steps on the original silicon surface by comparing atomic force microscopy (AFM) images of the silicon buffer layer to the AFM and TEM plan-view micrographs of the GaP layer grown on this buffer Generally after optimization of the nucleation conditions we do not find any defects such as twins stacking faults or any threading dislocation in the IIIV semiconductors grown on group IV substrates

Taking dark field images with the different reflections as explained above we are able to detect different types of APBs in both material combinations GaAsGe and GaPSi as shown in Fig 3 In the GaAsGe layers we investigated (Fig 3 aampb) we only very seldomly observe APDs and the ones we detect solely lie on 111 planes and annihilate after 20 ndash 30 nm of IIIV material grown This is presumably due to the large wafer miscut of 6deg of the Ge substrate which was used for this study In GaP layers grown on Si (100) substrates without any miscut we find depending on growth and nucleation conditions APBs laying on 111 as well as on 011 planes Fig 3 campd depicts the g(002)(00-2) DF image pair of a APD in GaPSi which is limited by annihilating 111 APBs APDs bordered by 011 planes can be seen in the DF micrographs Fig 3 eampf ((111)(11-1) pair) For the sample thickness used for these images it is clearly visible that the g(111)(11-1) DF image pair exhibits clear contrast and the expected contrast reversal across the APDs The sample thickness is such that the g(002)(00-2) beams have equal intensity and the APDs can in this case not be unambiguously identified using these reflections (DF images not shown) The domains A C E appear on the g(111) and g(1-11) with bright contrast while on the g(11-1) and g(1-1-1) with darker contrast Domains BDF appear with inverted contrast on the image pairs The image pairs of the (220) and (2-20) reflections (not shown here) do not show contrast change as expected from the simulation results The typical extension of such domains

Fig 3 Dark field images of ab) GaAsGe and c-f) GaPSi using different pairs of reflections as indicated

110 I Neacutemeth et al

also seen on HR images is between 10-20 nm if they are bound by 111 planes and much larger when they are terminated by 011 planes

At the moment one can only speculate what drives the APBs to lie on either 111 or 011 planes Growth temperature and PGa ratios will clearly influence their orientation as the ones on 111 planes have to consist always of P-P or Ga-Ga false bonds in contrast to the ones on 011 planes which consist of alternating P-P and Ga-Ga false bonds (See also Fig 1) From that one can conclude that the 111 APBs should have a larger energy as they represent a much higher charge than the 011 APBs This was also reported for APBs in GaAs [4] At present our results support the assumption that by increasing the growth temperature we can drive the APBs to kink from 011 planes to 111 planes

The origin of the APDs can be clearly correlated with monoatomic steps on the silicon surface An AFM image of a Si-surface which is the underlayer of a GaP layer is shown in Fig 4a Monolayer steps are clearly resolved with every other terrace being smooth and triangular The surface scan of a GaP layer grown on this Si surface (Fig 4b) clearly betrays the characteristics of the former Si surface One detects a dip in the GaP surface where originally monolayer steps in the Si-surface were Detailed comparison with DF TEM plan view micrographs with the sample also tilted out of the [001] pole confirms that the dips in the surface correspond to the locations of the APBs Exemplarily a g(020) DF image is shown in Fig 4c From the contrast change of the bend contours (indicated by arrows) when crossing the APBs one can already conclude their nature

Hence there are two possible ways to achieve finally APD-free GaP layers on Si substrates The first one is to form solely double steps on the Si surface and consequently to prevent APD formation The second one is to find growth conditions where only APBs lying on 111 planes are formed since they are self-annihilating Acknowledgements We acknowledge the support of the Deutsche Forschungsgemeinschaft in the framework of the Topical Research Group on Metastable Compound Semiconductors and Heterostructures as well as the European Graduate College on Electron-Electron Interactions in Solids and NAsP IIIV GmbH Marburg References 1 Kunert B Klehr A Reinhard S Volz K and Stolz W 2006 Electron Lett 42 10 2 Kuan T S and Chang C A 1983 J Appl Phys 54 4408 3 Stadelmann P A 1987 Ultramicroscopy 51 131 4 Vanderbilt D and Lee C 1992 Phys Rev B 45 19

Fig 4 a) AFM micrograph of a Si surface b) AFM micrograph of a GaP layer grown on the Si shown in (a) c) g(020) dark field plane view micrograph of the same sample Contrast changes of bend contours across the APBs are indicated by arrows

Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures by CBED Analysis and FEM Calculations E Ruh1 2 G Mussler3 E Muumlller4 and D Gruumltzmacher3 1Laboratory for Micro- and Nanotechnology Paul Scherrer Institut CH-5232 Villigen Switzerland 2Solid States Physics Laboratory ETH Zurich CH-8093 Zurich Switzerland 3Institute for Bio- and Nanosystems Forschungszentrum Juumllich D-52425 Juumllich Germany 4Electron Microscopy Centre ETH Zurich EMEZ CH-8093 Zurich Switzerland Summary The local Ge concentration in SiSiGe multiquantum well structures was investigated by CBED analysis Series of bright field CBED patterns were taken across the quantum wells using the [340]-zone axis in STEM mode The HOLZ lines in these patterns were fitted with the JEMS program [1] in order to deduce the local lattice parameters With finite-element calculations taking the plastic relaxation of the thin TEM foil into account the Ge concentrations corresponding to these sets of lattice parameters were determined 1 Introduction In heteroepitaxial nanostructured material the interface quality especially its abruptness is of great importance due to its crucial effects on the physical properties of the material A more profound knowledge particularly of the chemical composition near these interfaces is required The aim of the present work is to contribute to these endeavours by performing layer characterisation with high spatial resolution based on convergent beam electron diffraction (CBED) measurements and finite-element method (FEM) calculations using the example of SiSiGe multiquantum well structures 2 Experimental The SiSiGe multilayers were grown by molecular beam epitaxy (MBE) on Si(001) substrates at a growth temperature of T = 460ordmC with deposition rates of 10 Arings and 025 Arings for Si and Ge respectively They consisted nominally of 10 periods of 5 nm thick Si08Ge02 layers separated by 20 nm thick Si interlayers In order to include temperature effects as well some sample material was annealed at 900ordmC for 10 minutes after growth The samples were prepared by mechanical polishing followed by Ar+ ion milling (high tension = 4 keV etching angle = 3ordm)

The samples were analysed in scanning transmission electron microscope (STEM) mode by acquiring zero-loss filtered CBED patterns of the undiffracted beam in the [340]-zone axis orientation across the SiGe layers with a FEI Tecnai F30 FEG transmission electron microscope equipped with a GATAN imaging filter (GIF)

The CBED patterns were evaluated by fitting higher order Laue zone (HOLZ) lines with the JEMS program The FEM calculations were performed with ABAQUS 3 Results A comparison of the HRSTEM images of the as-grown and the annealed sample show the effect of diffusion of Ge into the Si interlayers due to annealing While the thickness of the layers

112 E Ruh et al

corresponds to about 5 nm and 22 nm for the SiGe and Si layers respectively in the as-grown sample about 75 nm and 195 nm were observed in the annealed sample (Fig1)

Fig 1 HRSTEM images of the a) as-grown sample and b) the sample annealed at 700degC The Si layers correspond to the darker areas whereas the brighter contrast is due to the SiGe layers

Due to strong and asymmetric bending of the lattice planes near the upper and the lower end of

the superlattice of the thin TEM sample a strong splitting of the HOLZ lines was observed in these areas [23] The distortions within the superlattice is nicely illustrated by FEM calculations where the strain relaxation of a thin TEM foil consisting of 5 SiGe layers was simulated (Fig 2) [45] Therefore the quantum wells in the centre of the superlattice ie SiGe layers 5 and 6 were used for the measurements Still in the 6th layer a splitting was observed for the as-grown sample due to the inhomogeneous plastic relaxation along the projection direction That is why it was partly not yet possible to determine the lattice parameters in the SiGe layers of the as-grown sample

For the evaluation of the lattice parameters line scans with 61 and 81 CBED patterns were taken across the 6th SiGe layers in the as-grown and the annealed sample respectively A selection of 3 patterns of each sample is presented in Fig 3 The labels in Fig 1 show the positions where the respective CBED patterns were acquired It can easily be recognized that the HOLZ lines shift with the Ge concentration (arrows in Figs 3d-f)

Fig 2 Plastic relaxation of a strained TEM foil of a sample consisting of 5 SiGe layers (dark) separated by Si interlayers (bright) as simulated by FEM calculations

Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures 113

Fig 3 CBED patterns across a-c) the 6th SiGe layer of the as-grown sample and d-e) the sample annealed at 900ordmC

The HOLZ lines of the CBED patterns (except for a few of the as-grown sample) were fitted

with the JEMS program in order to deduce the local lattice parameters With finite-element calculations the corresponding Ge concentration was assigned to these sets of local lattice parameters (Fig 4) The maximum concentration in the as-grown sample is clearly higher than in the annealed one In this sample the Ge concentration is reduced by at least 20 induced by a Si-Ge-interdiffusion across the SiSiGe interfaces

Fig 4 Ge concentration in the 6th SiGe layer of the as-grown (crosses) and annealed sample (dots) plotted as function of the position in growth direction compared with data of x-ray diffraction measurements of the as-grown (dashed line) and annealed sample (straight line)

114 E Ruh et al

4 Discussion As expected the strongly strained as-grown TEM sample shows much more plastic relaxation in the thinning direction than the annealed one (In fact for the annealed sample the effect was below the detection limit) In the Si interlayers of the as-grown sample the lattice parameters in the thinning direction were increased compared to the bulk material (a(110) = 38443 Aring instead of 38403 Aring) whereas the lattice parameters in the growth direction were decreased (a(001) = 5427 Aring instead of 5431 Aring)

HOLZ lines corresponding to planes almost parallel to the (001)-plane react most sensitively to changes of the Ge concentration because the lattice constants along the growth direction has to compensate for the in-plane lattice parameter compression in the strained superlattice Unfortunately the same planes also suffer most from inhomogeneous plastic strain relaxation in the thinning direction (splitting)

The concentration curve of the as-grown sample appears to be far too wide if compared to the HRSTEM image ie 12 nm instead of 5 - 6 nm Additionally the measured data points at the bottom almost perfectly fit a straight line We interpret this as an artifact due to finite width of the electron probe In the case of a sharp interface a widening by twice the full width at half maximum of the probe would be expected From the width at the bottom interface of the as-grown sample (which is known to be the most abrupt one) we conclude that our probe size is of the order of not more than 15 ndash 2 nm The less abrupt an interface is the smaller the effect of the finite size of the electron probe becomes Therefore the as-grown sample is much more affected than the annealed one To solve this problem a reduced probe size or a deconvolution could be applied This however will be the topic of further work 5 Conclusions We have shown that the local lattice parameters can be determined by CBED measurements provided that no (strong) splitting of the HOLZ lines caused by plastic relaxation is present With FEM calculations the corresponding Ge concentrations were deduced This data will have to be improved in future by solving the problem of the deterioration of the spatial resolution due to the finite electron probe size Acknowledgements The authors thank Karsten Tillman (Ernst Ruska Centre Juumllich Germany) very much for the introduction to FEM calculations with ABAQUS We also thank Hans Sigg a lot (LMN PSI Switzerland) for fruitful discussions The use of the infrastructure of the Electron Microscopy Centre of ETH Zurich (EMEZ) is gratefully acknowledged References 1 Electron Microscopy Software Java version (JEMS) P Stadelmann EFP Lausanne

Switzerland 2 Benedetti A et al 2006 J Microsc 223 249 3 Chuvilin A et al 2005 J Electron Microsc 54 515 4 Balboni R Frabboni S Armigliato A 1998 Philos Mag A 77 67 5 Tillmann K et al 2000 Philos Mag A 80 255

Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er N D Zakharov P Werner V I Vdovin1 D V Denisov2 N A Sobolev2 and U Goumlsele Max-Planck-Institut fuumlr Mikrostrukturphysik 06120 Halle (Saale) Germany 1Institute for Chemical Problems of Microelectronics 119017 Moscow Russia 2Ioffe Physico-Technical Institute RAS 194021 StPetersburg Russia Summary The main types of crystal structure defects in [Er]gt2x1019 doped layers are (i) spherical Er and (ii) ellipsoidal ErSi precipitates as well as (iii) ErSi2 platelets on 111 planes In the sample with [Er]=4x1019 small complexes consisting of tiny Er precipitates and four petals of ErSi2 platelets have been found additionally The layer with [Er]= 8x1018 cm-3 was defect free The formation of silicides from a supersaturated solid solution and Er precipitates is accompanied by the emission of vacancies V resulting in the formation of pores V-V and V-Er complexes 1 Introduction Crystalline Si layers doped by Er are of great importance for the formation of structures emitting light at a wavelength of 154 microm Most studies have been performed on Er3+ implanted layers with a high concentration of different radiation defects resulting in the degradation of their physical properties [12] This problem might be solved by the molecular beam epitaxy (MBE) growth technique However first results showed that the luminescence intensity of optically active centres containing Er ions varies nonlinearly with the Er concentration It seems possible that the observed effects are determined by the structural defects created in the layers The goal of this work is to investigate the nature and structure of defects generated in epitaxial SiEr layers during MBE growth with different Er concentrations 2 Experimental SiEr layers were grown in a SUPRA-32 (RIBER) MBE reactor on n-Cz-Si(001) substrates with a resistivity of 45 Ωmiddotcm Epitaxial growth was performed at 600degС An effusion cell filled with metallic Er was used for layer doping According to the data of Rutherford backscattering the Er concentrations were equal to 8x1018 2x1019 and 4x1019 cm-3 [3] The vacuum in the growth chamber was 8x10-9 Torr The thickness of the grown layers was in the interval of 07-12 microm The structure of the grown layers was investigated by transmission electron microscopy (TEM) using the microscopes Philips CM20 JEM 200CX and JEM 4010 3 Results and Discussion The most developed structural inhomogeneities were observed in the epitaxial layers with [Er] = 2x1019-4x1019 cm-3 grown at 600degC They are presented by three kinds of precipitates (Fig 1) (i) platelets lying on 111 planes (A B C) (ii) small (4-25 nm) ball-shaped and (iii) ellipsoidal (D) precipitates with a total density of (5plusmn2)middot109 cm-2 localized in a narrow band at the layer-substrate interface Platelets do not form in the layers with [Er] le 8х1018 cm-3

116 N D Zakharov et al

A high-resolution image of platelets in a Si matrix is shown in Fig 2 The image was taken at

Scherzer defocus of ∆=-40 nm The dark contrast corresponds to the atomic positions in the structure The analysis of the observed contrast and the measured lattice parameters indicates that the platelets are ErSi2 precipitates a=03799 nm b=03799 nm c=0408 nm α=β=90deg γ=120deg space group P6mmm [4] The orientation relationships are Si[111] ErSi2[0001] Si[110] ErSi2 [11-20] The simulated image (Fig 2b) is in good agreement with the experimental one ErSi2 (0001) atomic planes fit very well to Si (111) planes because of similar symmetry relations and approximately the same in plane interatomic distances It makes it possible to bring these two phases into contact without any misfit dislocations This fact explains the platelet shape of these precipitates The important thing is that ErSi2 platelets lying on different crystallographic planes 111 block each other (see A in Fig 3) Such an interaction results in the decrease of their number in the growth direction (see intervals 1 ndash 2 - 3 in Fig1) Taking into account that platelets serve as sinks for Er one can expect that the supersaturation of Er in Si should increase with a decrease of the platelet concentration (from level 1 to 2) When the Er supersaturation reaches a critical value the nucleation of new platelets occurs (see 2 3 4 in Fig 1) This results in a periodic oscillation of the platelet number and the Er concentration across the grown layer

A high-resolution image of a ball-shaped precipitate is shown in Fig 4 It was taken at Scherzer defocus when atomic positions look dark The analysis of interplanar distances and the angles between atomic planes indicates that they are metallic Er a=0355 nm b=0355 nm c=0558 nm α=β=90deg γ=120deg space group P63mmc [5] The structure of many round shaped precipitates is severely distorted due to silicide formation A detailed high resolution TEM (HRTEM) analysis of ellipsoidal precipitates localized in the near substrate-layer interface area (see Fig 6a) indicates that they are SiEr phase with lattice parameters a = 04195 nm b = 10353 nm c = 03779 nm α = β = γ = 90deg space group CMCM (63) [6] Orientation relations between zone axes and atomic planes are Si[110] ErSi [001] and ErSi (120) Si(111) ErSi (1-20) Si (11-1) respectively

In the sample with a nominal Er concentration of 4x1019 a high density of tiny precipitates in the middle of the grown layer was observed At a higher magnification the structure of these precipitates (Fig 6) looks more complicated than that of the precipitates described above Such a complex defect consists of very tiny spherical precipitate (marked by arrows) and petals of ErSi2 platelets staying in four 111 crystallographic planes (see model in Fig 6) It seems that the tiny

Fig 1 Dark field (g=[004]) cross-sectional (1-10) micrograph of the sample with [Er] = 2x1019 cm-3 Edge-on defects (A B) in planes (111) and (11-1) respectively are imaged as straight lines while the defects of the same type (C) are 90deg rotated (one defect is outlined) D - spherical precipitates

Fig 2 a) Cross-sectional experimental and b) simulated high-resolution images of an ErSi2 platelet precipitate in a Si matrix taken along [110] direction Unit cell is outlined c) Projection of ErSi2 atomic structure along [110]

Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er 117

spherical precipitates are formed first and then serve as nucleation centers for the growth of ErSi2 platelets The HRTEM analysis shows that they are small Er particles

The formation of silicides occurs from Er precipitates and due to the consumption of Er atoms from the supersaturated solid solution Early stages of this process can be seen in Fig 4 where thin lamellae of silicide start to grow in an Er ball-shaped precipitate Such a phase transformation should be accompanied by Si vacancy emission because the total atomic volume of metallic Er (VEr= 003 nm3) and Si (VSi=002 nm3) is larger than the volume of ErSi or ErSi2 molecules (VErSi = 004 nm3 VErSi2 = 0051 nm3) From the balance of atomic volumes we obtain

Erhex + Si = ErSi + 05 VSi or Er + 2Si=ErSi2 +VSi

Fig 3 Cross-sectional [110] HRTEM image of two ErSi2 platelets (A B) staying in (1-11) and (1-1-1) Further growth of A is blocked by B

Fig 4 Structure of spherical Er precipitate in Si matrix Er-silicide lamellae are indicated by arrows

Fig 5 Image of ErSi precip-itate with pore marked by arrows

Thus the concentration of generated Si vacancies in the layer should be in the range 5x1017 - 5x1018 cm-3 It is much higher than the equilibrium concentration being Cvlt1013 cm-3 at the growth temperature 600degC [7-8] Such a high supersaturation of vacancies in the grown layer may result in the formation of V-Si V-Er complexes and even tiny pores (Fig 5) 4 Conclusion The main types of crystal structure defects in grown layers are (i) spherical Er precipitates and (ii) ellipsoidal ErSi precipitates both nucleated in the near substrate-layer interface region and (iii) ErSi2 platelets spread throughout the grown layer Platelets do not nucleate in epitaxial layers grown with doping level of [Er] le 8x1018 cm-3 In the sample with [Er]=4x1019 grown at 600ordmC small complexes consisting of tiny Er precipitates with four of ErSi2 platelets were found additionally They are formed over the layer due to the condensation of Er atoms from supersaturated solid solution

The density of ErSi2 platelets oscillates in the growth direction with a periodicity of approximately 200-250 nm This results in the corresponding oscillation of [Er] in solid solution because platelets serve as sinks for dissolved Er atoms

The formation of silicides from a supersaturated solid solution and Er precipitates is accompanied by the emission of vacancies The concentration of emitted vacancies [V] is comparable with the [Er] concentration This statement can be supported by pore formation due to the condensation of vacancies and by the decrease of the lattice parameter of the grown film measured by X-ray diffraction Such a high concentration of vacancies should also result in the formation of V-V and V-Er complexes The presence of a large concentration of Si vacancies and

118 N D Zakharov et al

V-Er complexes might explain the appearance of deep acceptors with an activation energy of 360 meV observed by HEfeoglu et al [2] which could be responsible for the non-radiative path

Fig 6 a) Plan-view and b) cross-sectional images of a complex structural defect with c) its schematic representation The defect is formed by a tiny Er precipitate (marked by arrows) and four petals of ErSi2 platelets aligned in 111 planes

2 Acknowledgements The authors acknowledge the support of this work by the SANDiE Network of Excellence of the European Commission The work was also partly supported by the Russian Foundation for Basic Research (grants 07-02-01462 05-02-16336) References 1 Xie Y H Fitzgerald E A and Mii Y J 1991 J Appl Phys 70 3223 2 Efeoglu H Evans J H Jackman T E Hamilton B Houghton D C Langer J M Peaker A R

Perovic D Poole I Ravel N Hemment P and Chan C W 1993 Semicond Sci Technol 8 236 3 Sobolev N A Denisov D V Emelrsquoyanov A M Shek1 E I Ber B Ya Kovarskii A P Sakharov

V I Serenkov I T Ustinov V M Cirlin G E and Kotereva T V 2005 Phys Solid State 47 113 4 Gladyshevskii E I 1963 Dopovidi Akademii Nauk Ukrainsrsquokoi RSR Seria A 1963 886 5 Hanak J J and Daane A H 1961 J Less-Common Metals 3 110 6 Thuery P Andre G el Maziani F Clin M and Schobinger-Papamantellos P 1992 J Magn

Magn Mater 109 197 7 Tan T Y and Goumlsele U 1985 Appl Phys A37 1 8 Bracht H Stolwijk N A and Mehrer H 1995 Phys Rev B52 16542 9 Sinno T Brown R A von Ammon W and Dornberger E 1998 J Electrochem Soc 145 302

Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si Ch Dieker J W Seo A Guiller1 M Sousa1 J-P Locquet1 J Fompeyrine1 Y Panayiotatos2 A Sotiropoulos2 K Argyropoulos2 and A Dimoulas2 Institute of Physics of Complex Matter Ecole Polytechnique Feacutedeacuterale de Lausanne CH-1015 Lausanne Switzerland 1 IBM Research GmbH Zurich Research Laboratory CH-8803 Ruumlschlikon Switzerland 2 National Center for Scientific Research Demokritos 153 10 Athens Greece Summary Fully epitaxial germanium-on-insulator structures have been grown for the first time on (001) Si substrates by using a perovskite oxide template Detailed transmission electron microscopy analysis revealed that a two-temperature growth procedure is required in order to avoid three-dimensional island growth and to obtain continuous and flat Ge films The interface between the oxide and Ge is fully crystalline and atomically sharp A high density of stacking faults and twin structures has been found preferentially originating from the surface roughness of the oxide 1 Introduction The integration of high-mobility materials such as Ge into Si-CMOS technology represents an important step towards high-performance MOSFETs With the recent achievements of growth of complex oxides epitaxially on Si Ge can be integrated as a semiconducting channel if it can be grown epitaxially on the oxide template This is an interesting alternative to germanium-on-insulator (GOI) structures obtained by wafer bonding [1] thermal oxidation of a strained SiGe layer grown epitaxially on silicon-on-insulator (SOI) wafers [2] liquid-phase-epitaxial regrowth directly on Si substrates [3] or surfactant mediated growth of Ge on Si [45] Nevertheless Ge generally shows a low adhesion to the oxide and has a higher surface energy which typically favors a three-dimensional Volmer-Weber-type of growth Recently GOI structures on Si wafers have been obtained but in (111) orientation [67] In this contribution we demonstrate fully epitaxial GOI grown for the first time on (001) oriented Si by molecular beam epitaxy (MBE) using perovskite oxides such as SrHfxTix-1O3 (SHTO) and SrHfO3 (SHO) as insulating templates In particular we study the growth and the microstructure of Ge thin films by transmission electron microscopy (TEM) 2 Experimental Procedures For the growth of oxide layers on Si an oxide MBE system with a base pressure of 7middot10-10 Torr was used P-type (001) Si wafers were heated to 750 degC to obtain clean oxide-free 2x1-reconstructed (001) oriented Si surfaces by chemical oxide flashing The Ge overgrowth is performed in a temperature range between 20 and 690 degC Structural characterization was carried out during growth using reflection high-energy electron diffraction (RHEED) and ex situ x-ray diffraction (XRD) using a D8 Discover diffractometer from Bruker AXS equipped with a rotating Cu anode TEM studies were carried out using a Philips CM300-FEG instrument equipped with a scanning unit as well as an energy-dispersive X-ray (EDX) detector and a Gatan imaging filter (GIF 6000) for chemical analysis TEM samples were prepared for plan-view as well as for cross-sectional view by mechanical grinding to electron transparency using the TriPod technique and subsequent Ar+-ion bombardment (PIPS Gatan 2ndash3 kV)

120 Ch Dieker et al

3 Epitaxial Oxides on (001) Si The starting point of the growth of the epitaxial oxide template is the formation of a SrO or (BaSr)O interface layer and the subsequent growth of the SrTiO3 (STO) layer following the four-step MBE deposition process as described previously [89] STO has a small lattice mismatch to (001) Si (3905 Aring compared to d(110) = 3840 Aring for Si) and can epitaxially be grown on Si with an in-plane rotation of 45deg Using STO as a buffer layer thin films of alternative perovskite materials can be grown We deposited SHTO or (BaxSr1-x)TiO3 (BSTO) because both oxide systems provide the possibility to change the lattice parameter by varying the Hf and Ba content respectively Hence by selecting an appropriate composition of the oxide Ge can be grown lattice-matched We have grown two different oxide templates (1) layers with gradually changing composition in order to accommodate the large lattice mismatch of about 46 between Si and Ge and (2) SHO or BTO ndash which have a small lattice mismatch to Ge ndash directly grown on STO without any compositional variation Nevertheless both templates resulted in comparable results with respect to the structural quality of the Ge film

Since the quality of the oxideSi interface is not critical for the Ge overgrowth both atomic oxygen and high temperature are used during the oxide growth in order to improve the crystalline quality of the oxide layer This deposition condition leads to the formation of a thick SiO2 interfacial layer as can be seen in Fig 1 However this process is not detrimental to the structural properties of the grown oxide as well as that of the Ge layer As can be seen in Fig 1 both epitaxial oxide and Ge films with a high crystallinity can be obtained 4 Ge on Epitaxial Oxides on (001) Si 41 Growth 411 Effect of the Growth Temperature The growth of Ge on the epitaxial oxide is of three-dimensional Volmer-Weber-type Already during the growth spotty RHEED patterns have been observed indicating formation of crystalline islands This behaviour was confirmed by plan-view and cross-sectional TEM As can be seen in Fig 2 Ge islands are formed whereas no coverage exists between islands However the crystallinity of the islands strongly depends on the temperature Below 500 degC the Ge islands are polycrystalline Although individual islands are single-crystalline the orientation can be different from island to island Above 500 degC Ge islands preferentially reveal the (001) orientation whereas above 600 degC only the (001) orientation exists The epitaxial relationship between Ge the oxide template and Si was found by TEM and XRD as follows (001)Ge||(001)SHO||(001)Si and [110]Ge||[100]SHO||[110]Si

Fig 1 Cross-sectional TEM image of Ge grown on SHOSTO oxide template grown on (001) Si substrate

Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si 121

412 Effect of the Oxide Template The characteristics of the islands strongly depend on the oxide system on BTO elongated needles or 111 facetted islands are obtained whereas on SHTO hemispheric islands are formed which are most regular in shape and distribution on SHO However for all oxide template systems the temperature dependence as mentioned above was comparable The (001) orientation was obtained on all types of oxide templates for Ge grown above 600 degC

Fig 2 Plan-view bright-field TEM images of 200 Aring Ge deposited at 610 degC on BTO SHTO and SHO epitaxial oxides grown on (001) Si Islands with variable size and shapes are formed On SHO oxide buffers most regular circular islands grow with a diameter of about 40 nm

413 Two-Step Growth Procedure

Increasing the nominal thickness of the Ge thin film we observed vertical and lateral growth of the islands but no additional nucleation Finally islands coalesced but even for a nominal thickness of 500 nm the film was not continuous In order to grow a continuous film we applied two-temperature steps first crystalline (001) oriented Ge islands are seeded at 610 degC Subsequently the growth is continued at a lower temperature in the range of 300-400 degC The latter step promotes homogeneous coverage of Ge Moreover due to the nucleation seeds created during the first step the (001) orientation is transferred to the Ge film deposited at low temperature As can be seen in Fig 3 applying the two-step growth procedure the Ge films can be

Fig 3 [110]Si cross-sectional bright-field images of Ge grown on SHOSi by using the two-temperature growth procedure Depending on the precise growth condition the surface morphology can be rough

122 Ch Dieker et al

grown continuous However the surface morphology can be very different depending on the precise growth condition We found that the surface roughness is directly correlated with the coalescence of islands during the film growth Thus in order to obtain a flat Ge film the formation of three-dimensional islands has to be suppressed and lateral growth needs to be promoted The most flat film obtained was grown on a SHO template and revealed a roughness of about 16 nm 42 Structural Defects The predominant defects in the Ge film are micro twins and stacking faults with 111 mirror planes The density of the latter was estimated to about 109cm2 from plan-view TEM observations As can be seen in Fig 4 most of these defects nucleate at irregularities on the oxide surface This can be explained by the fact that Ge and the oxide templates with diamond and perovskite structure respectively are only structurally compatible in the ideal two-dimensional case At an oxide surface step the Ge lattice cannot be matched because of their structural incompatibility in the out-of-plane direction However as can be seen in Fig 3 stacking faults frequently merge or annihilate in the upper part of the film leading to a significantly lower defect density in the upper film region

Surprisingly no network of misfit dislocations has been detected We assume that twins and stacking faults partly relax the epitaxial strain and suppress the formation of misfit dislocation networks Although the effect of these defects on electrical properties of Ge films is unclear their density has to be further decreased Acknowledgements This work was supported by the EU project IST-ET4US-2048 ldquoEpitaxial Technologies for Ultimate Scalingrdquo We also thank the CIME at EPFL for access to electron microscopes References 1 Tracy C J Fejes P Theodore N D Maniar P Johnson E Lamm A J Paler A M Malik I J and

Ong P 2004 J Electr Mater 33 886 2 Nakaharai S Tezuka T Sugiyama Mariyama Y and Takagi S 2003 Appl Phys Lett 83 3516 3 Liu Y Deal M D and Plummer J D 2004 Appl Phys Lett 84 2563 4 Hoegen M Copel M Tsang J C Reuter M C and Tromp R M 1994 Phys Rev B 50 10811 5 Eaglesham D J Unterwald F C and Jacobson D C 1993 Phys Rev Lett 70 966 6 Bojarczuk N A Copel M Guha S Narayanan V Preisler E J Ross F M and Shang H 2005

Appl Phys Lett 83 5443 7 Preisler E J Guha S Perkins B R Kazazis D and Zaslavsky A 2005 Appl Phys Lett 86

223504 8 Norga G Marchiori C Guiller A Locquet J P Siegwart H Rossel H Caimi D Fompeyrine J

and Conard T 2005 Appl Phys Lett 87 262905 9 Marchiori Ch Sousa M Guiller A Siegwart H Locquet J -P Fompeyrine J Norga G and

Seo J W 2005 Appl Phys Lett 88 072913

Fig 4 Cross-sectional high resolution TEM image of the GeSHO interface Stacking faults and twin structures with (111) mirror plane originate from the surface roughness of the oxide template

Analysis of GeMn Magnetic Semiconductor Layers by XPS and Auger Electron SpectroscopyMicroscopy Yu A Danilov E S Demidov1 S Yu Zubkov1 V P Lesnikov G A Maximov1 D E Nikolitchev1 and V V Podolskii Physical and Technical Research Institute University of Nizhny Novgorod 23 Gagarin Avenue Nizhny Novgorod 603950 Russia 1 Research and Educational Center for Physics of Solid State Nanostructures University of Nizhny Novgorod 23 Gagarin Avenue Nizhny Novgorod 603950 Russia Summary Thin layers of diluted magnetic semiconductors based on germanium supersaturated with manganese grown on GaAs substrates were studied by XPS and AES XPS measurements reveal the change of line shapes for germanium manganese and oxygen in surface layers in comparison with deeper layers as a transition from the oxidized form for germanium and manganese on and near the surface to the unoxidized state in deeper layers XPS spectra of valence electrons indicate that the density of states in the valence band of the GeMn ferromagnetic structures does not correspond to a mechanical mixture of germanium and manganese 1 Introduction Thin layers of germanium (silicon) supersaturated with 3d-iron group impurities (Mn Fe) during pulsed laser deposition (PLD) show characteristics of ferromagnetic spin ordering [1] that creates opportunities for applications in spintronics Ferromagnetism in such layers at temperatures of 400-500 K is confirmed by ferromagnetic resonance and the magneto-optical Kerr effect In galvanomagnetic measurements typical of ferromagnets the anomalous Hall effect at 77 K is strongly pronounced for GeMn layers containing 13 at of manganese on GaAs substrates [2] The goal of this work is to study the composition of manganese doped germanium layers by X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) 2 Experimental Samples for investigation were grown by the method of pulsed laser deposition of Ge and Mn on substrates of semi-insulating GaAs in a vacuum chamber with a pressure of residual gases of 10-5 ndash 10-6 torr at a temperature of 450degC To sputter a rotating target with Ge and Mn sectors a NdYAG laser with wavelength of 106 microm pulse energy of 02 J duration of 12 ns and repetition frequency of 10 Hz was used [3] The track of the target materials was a circle so the ratio of arc lengths for the sputtered Ge and Mn defines the doping level in the grown layers The thickness of GeMn layers for different samples was 30-60 nm

Analysis of the structures was carried out using an ultrahigh vacuum (pressure 10-11 torr) system (Omicron Multiprobe S) including an apparatus for XPS and AES investigations To excite spectra an X-ray source with Mg and Al anodes was used for XPS and an electron source with energy up to 5 kV was used for AES During local composition analysis Auger electrons were excited with an electron probe of diameter of 20 nm formed in a scanning electron column Energy separation of photo- and Auger electrons was realized by a hemispherical analyzer The collection area on the sample surface was 3times3 mm2 The energy analyzer worked in the fixed analyzer transmission mode with an energy resolution of 03 eV The time constant during signal registration in each spectral point was 25 s The

124 Yu A Danilov et al

spatial resolution in the local analysis mode was about 100 nm because of intense surface charging During layer-by-layer analysis GeMn film was sputtered by Ar+ ions with 1 keV energy The ion beam was 15 mm in diameter on the sample surface The sputtering rate was determined using signal measurement from the basic components (Ge Ga As) in structures with different GeMn layer thicknesses and was 027 nmmin The intermixing depth was estimated for such parameters of ion sputtering and it was about 2 nm The surface morphology of the grown layers was determined before spectroscopic measurements by atomic-force microscopy (AFM) in air using an NT-MDT instrument (Solver Pro) 3 Results and Discussion According to the AFM data islands were observed on the surface of GeMn films These islands were formed after condensation of plasma particles during PLD of films The density of islands was ~ 2sdot106 cm-2 the diameter was 2-7 nm and average height was about 28 nm The roughness of films in the areas between islands was about 03 nm AFM measurement showed that some samples had surface craters with an average lateral size of about 100 nm and a density of 5times107 cm-2

As a result of XPS measurements the chemical states of components in the GeMn layers at different depths were studied To estimate the chemical form of germanium and manganese 2p-lines were used In Fig 1 2p-transitions in Ge and Mn spectra are shown Each line has two Gaussian curves (A and B) corresponding to oxidized (GeO2) and unoxidized (Ge0) states of germanium (Fig 1a) and oxidized (MnO2 and Mn2O3) and unoxidized (Mn0) states of manganese (Fig 1b) Spectral analysis was performed using an XPS database and software obtained from XPS international [4]

Fig 1 Spectra of the 2p-transition in a) germanium b) manganese and c) the 1s-line of oxygen The Ge spectrum corresponds to a depth of 08 nm and Mn and O spectra correspond to depths of 6 nm The depths were chosen to visualize the point when the intensities of the oxidized and unoxidized lines are almost equal

A general observation as could be expected was a transition from the oxidized forms of

the germanium and manganese to unoxidized (Fig 2) But while the depth of transition to the unoxidized state for germanium was 3 nm where the line corresponding to the oxidized form disappeared almost entirely in the case of manganese the intensity of the lines

binding energy

A

B

C

D

counts

160k

140k

120k

100k

1225 1212 1219 binding energy

counts

70k

67k

64k

61k

646 636 641 46 638

A

BC

D

E

3 30

A

BC

DE

binding energy

counts

67k

64k

61k

59k

638 528 633

(a) (b) (c) 2p3 2p3 1s

Ge2+

Ge0

Mn2+

Mn0

O in

MnO

2

O in

Mn 2

O3

Analysis of GeMn Magnetic Semiconductor Layers by XPS amp AESMicroscopy 125

corresponding to the oxidized state and the lines of elemental manganese began to be comparable at a depth of 5-6 nm lines corresponding to the oxidized form completely disappeared at 9-10 nm The form of the oxygen line (Fig 1c) was evidence that oxygen was predominantly combined with the manganese atoms Study of the manganese 2p-line shows

Fig 2 Intensity change for lines corresponding to oxidized and elemental state of a) germanium and b) manganese

the presence in the sample of the three states of manganese oxidation Mn2O3 in the surface layer of the GeMn film and MnO2 and Mn0 in deeper film layers Seemingly the oxygen is an important factor in production of the ferromagnetic properties in structures with Ge layers doped with Mn This was proved by the difference in ferromagnetic properties of GeMn structures grown by the PLD method under different pressures of residual gases in the vacuum chamber

During layer-by-layer analysis it was observed that one of the substrate materials (Ga) was present in the surface layers of GeMn films even in the case of entire films without defects (Fig 3) The presence of a signal from substrate may be connected with intermixing

Fig 3 Depth distribution profiles for a) concentrations of germanium manganese oxygen gallium and arsenic and b) magnified scale for concentration profiles of Mn and Ga XPS lines used for these distributions are 2p for Ge Mn Ga As and 1s for O

of substrate materials as a result of interaction of the erosive laser plasma particles with the substrate This also may explain the smooth increase of Ga and As concentrations during approach to the GeMnGaAs interface The absence of or a weaker signal from the arsenic in the surface layers could be explained by the higher volatility of this element and so that it would have a smaller concentration in comparison with gallium In the case of continuous films the signal from the gallium disappeared at 25 nm depth and rose again as a signal from substrate at a depth of ~20 nm this is evidently connected with the process of Ga segregation on the growing film surface

For the investigated samples a decrease of the signal intensity from Mn in near-surface layers (1-2 nm) was observed (Fig 3b) and could be connected with the process of Mn segregation on the structure surface Such an effect became more apparent for a sample which had a delay between growth and measurement of about 1 year It can be judged that there is an interaction between the basic component Ge and dopant manganese atoms using valence band XPS spectra near the Fermi level EF (Fig 4) The spectra from the pure materials Ge and Mn near the top of valence band (4p and 3d respectively) and a spectrum from investigated GeMn films in the same region were obtained Using the measured concentration

0 2 4 6 8 1000

2000k

4000k

6000k

8000k

10M

Inte

nsity

depth nm

0 5 10 150

15

20

25

C a

t

depth nm

(b)

Ge2+

Ge0

Mn2+

Mn0 (a) (b)

0 10 20 30 40 50 60 70 80 900

10

20

30

40

50

60

C a

t

depth nm

Ge Mn O Ga As

(a)

0 2 4 6 8 1000

200k

400k

600k

800k

Inte

nsity

depth nm

126 Yu A Danilov et al

Fig 4 XPS spectra near the Fermi level 1- spectrum of GeMn film 2- superposition of spectrum from pure Ge and Mn taking into account their concen-tration in GeMn film 3- the difference between line 1 and line 2

as a factor in the intensity for pure elements Ge and Mn the superposition of two binding energy spectra near the EF=0 (0 eV on the binding energy scale) was constructed (Fig 4 line 2) Then subtraction of the superposed spectra for pure Ge and Mn from GeMn film spectra was performed On the spectrum obtained after subtraction (Fig 4 line 3) it is clearly observed that the intensity at an energy of about 45 eV is 4 times greater than noise The presence of this peak on the difference spectrum seemingly shows that grown GeMn films are not simply mechanical mixtures of the two components ndash germanium and manganese 4 Conclusions Layer-by-layer analysis of GeMnGaAs structures by the methods of X-ray photoelectron and Auger spectroscopy showed that film components Ge and Mn changed their state from oxidized to unoxidized as the depth of the analyzed layer increased During this transition the unoxidized state for manganese occurred in layers at greater depths The main film component (Ge) and the impurity element (Mn) are in different chemical states at different film depths Oxygen is the important factor in producing the ferromagnetic properties of Ge layers doped by Mn

The density of states in the valence band of the GeMn ferromagnetic structures does not correspond to a simple mechanical mixture of two components germanium and manganese this is shown by study of XPS spectra obtained near the top of the valence band Acknowledgements The work has been supported by the Joint Russian American Program Basic Research and Higher Education (BRHE grant REC-001) and the Russian Foundation for Basic Research (RFBR) (grant 05-02-17362) The authors express their thanks to PhD student D Saveliev for AFM measurements References 1 Zhou X H Chen X S Guo X G Sun L Z Sun Y L and Lu W 2004 J Magn Magnetic Mater

284 353 2 Demidov E S Danilov Yu A Podolskii V V Lesnikov V P Sapozhnikov M V and Suchkov A I

2006 JETP Lett 83 N12 568 3 Danilov Yu A Demidov E S Drozdov Yu N Lesnikov V P and Podolrsquoski V V 2005

Semiconductors 39 4 4 Digital Database of XPS Spectra XPS International wwwxpsdatacom

0

50

100

150

200

250

300

-303691215

Binding energy eV

Intensity

1 GeMn film

2 pure Ge + pure Mn

3 Difference between 1 and 2

Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) Y K Sun D Cherns P Heard1 R P Doherty2 Y Sun2 and M N R Ashfold2 HHWills Physics Laboratory University of Bristol Bristol BS8 1TL UK 1 Interface Analysis Center (IAC) University of Bristol Bristol BS8 1TH UK 2 School of Chemistry University of Bristol Bristol BS8 1TS UK Summary Transmission electron microscopy was used to investigate epitaxial ZnO films on c-sapphire produced by a two-step method Firstly pulsed laser deposition provided a continuous buffer ZnO with thickness about 80 nm and a predominant alignment of (0001)ZnO(0001)sapphire and [11-20]ZnO[10-10]sapphire On the top of buffer layer there was a high density of c-aligned nanorods which revealed few if any threading dislocations (TDs) in contrast with the buffer layer where TD density was about 1011cm2 Subsequent treatments by either chemical vapour deposition or hydrothermal growth caused the nanorods to grow laterally and form continuous films Subgrain boundary dislocations were generated as nanorods coalesced but these new TDs were also annihilated in dislocation reactions giving to a substantial reduction in the total TD density 1 Introduction Wurtzite ZnO and related alloys have attracted great attention recently because of their application in short wavelength light-emitting diodes and diode lasers A high quality single crystalline ZnO is essential because the defects such as threading dislocations (TDs) can act as carrier traps and recombination centres reducing the device performance [1] However the lattice mismatch between ZnO and various substrates makes it difficult to get a continuous film with a low density of defects on normal substrates such as c-sapphire

There have been several attempts to reduce the defect density of epitaxial ZnO by either introducing interlayers [2-4] or by epitaxial lateral overgrowth (ELO) [5 6] In this paper ZnOc-sapphire deposited by pulsed laser deposition (PLD) provided an array of defect-free crystalline nanorods which was well aligned and used for a template for subsequent lateral over-growth by either chemical vapour deposition (CVD) or hydrothermal (HT) deposition Continuous films with TD density around 109cm2 were formed on the top of the template Cross-sectional transmission electron microscopy (TEM) demonstrated that several models can be applied to describe the generation and reduction mechanism of TDs in different growth stages

2 Experimental An epitaxial ZnO layer was deposited on c-sapphire by PLD of a ZnO target (Cerac 99999) using the focused output of an ArF laser with a low background pressure of oxygen ~10-2 Torr The substrate temperature was about 600oC Further ZnO was deposited by either CVD or HT methods In the CVD process mixed ZnO and graphite powders were heated to 1100oC in the centre of a horizontal tube furnace with PLD coated sapphire positioned downstream of the tube centre in an Ar carrier gas flow (~50 sccm) Following the growth the substrate was kept at the temperature of about 600oC for 1 hour before being cooled to room temperature The HT method is similar to that of Vayssieres et al [7] In the HT method a mixed solution of Zn(NO3)2 and hexamethylenetetramine (HMT) was heated to 90oC and sealed in a Schott bottle with PLD coated sapphire for 6 hours

The morphology of the as-grown films was characterized using field emission scanning

128 Y K Sun et al

electron microscopy (FE-SEM) in a JEOL-6330F Cross-sectional TEM samples made by either mechanical polishing plus ion milling or focused ion beam (FIB) milling in a FEI 201 thinner were examined in a Philips EM430 TEM operating at 200 kV 3 Results The PLD deposited film provided a template with a high density of nanorods of approximate diameter 40 nm and length around 300 nm In our previous work [8] both x-ray diffraction and selected area electron diffraction demonstrated that there is a buffer layer of 80 nm thickness below the nanorod array and that the epitaxial relationship established and predominant in both buffer and nanorods is (0001)ZnO(0001)sapphire and [10-10]ZnO[11-20]sapphire

Fig 1 Morphology of PLD coated sapphire after a) 1 hour CVD and b) HT treatments

The 1 hour CVD treatment (Fig 1a) was found to slightly increase the diameters of the

nanorods to 50-60 nm while their length was almost constant ie 300nm Such lateral growth is magnified in the HT case (Fig 1b) where a wide range of nanorod diameters is present and neighbouring nanorods often coalesce HT samples also showed continued growth along the c-axis making the final thickness of films over 800 nm Such overgrowth was also achieved in a CVD environment when we extended the whole growth process to 25 hours

The lattice match between ZnO and c-sapphire gave an in-plane mismatch of ~18 which gave rise to a high density of defects This is demonstrated by TEM of the cross-section sample treated by CVD for 1 hour (Fig 2) where TDs generated at the interface between c-sapphire and ZnO buffer layer penetrated into the whole continuous layer and finally terminated at the top surface of the buffer layer However most of these TDs did not penetrate into the nanorods Close inspection showed that they tended to turn over in the bottom of the nanorods then terminated at the surface around their base giving nanorods that were almost defect-free in contrast with the high TD density (~1011cm2) in the buffer layer

Fig 2 Two-beam bright field (BF) cross-sectional image of CVD treated PLD coated c-sapphire near the [11-20]ZnO zone axis with g=(0002)

Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) 129

Such an array of defect-free crystalline nanorods provided a wonderful template for

subsequent ELO Indeed images taken from samples grown by HT (Fig 3) showed that when continuous ZnO layers formed most of the TDs in the buffer layer have been confined below the lateral growth regions

Fig 3 a) Two-beam BF image of HT treated PLDc-sapphire with g = (0002) b) The schematic explanation shows how the screw dislocation array (1) forms in a twist boundary

During the lateral growth new dislocations can be generated when neighbouring nanorods

grow together Subgrain boundaries are formed where two grains are slightly rotated with respect to one another resulting in only occasional corrections by means of a dislocation network [9] A particular example is an array of dislocations formed when the dislocation lines the Burgers vector b and the boundary plane are all perpendicular to the rotation axis ie a lsquopure twist boundaryrsquo The groups of dislocations 1 and 2 in Fig 3a are examples of such arrays They are screw type with Burgers vector b along [0001]ZnO confirmed by the fact that they become invisible in the condition of g=(10-10) The mechanism for formation of such an array is illustrated in Fig 3b When grains A and B grow together the regions of good fit extend by relaxation and those of bad fit contract forming the array of screw dislocations Another particular case is when two joined crystals are exactly parallel along the c-axis but with a slight in-plane rotation a small angle boundary with an edge dislocation network is expected Besides this lsquotilt boundaryrsquo and lsquopure twist boundaryrsquo a general lsquopartial twist boundaryrsquo can also be present if the rotation axis is along arbitrary orientations That is possibly the mechanism to generate TD 3 in Fig 3a which is mixed type It is also worth pointing out that incomplete lateral growth can leave a gap where boundary dislocations terminate (TD 4 in Fig 3a)

These new boundary dislocations have an important feature regardless of the type a pair of dislocations generated from the two opposite sides of a single subgrain has Burgers vectors with the same magnitude and the opposite sign An example is the pair of dislocations 1 and 2 in Fig 4a and b where alternately inside-outside contrast in g and ndashg confirms that the dislocations are of opposite sign The pair of dislocations 3 and 4 shows another such example This interaction mechanism is dominant in the overgrowth and decreases the TD density of the overlayer down to about 109cm2

130 Y K Sun et al

Fig 4 Two-beam BF image of CVD over-growth with opposite g vectors The scale bar is 200 nm

4 Conclusions The reduction mechanism of TDs in the epitaxial ZnO grown on c-sapphire by two-step deposition was investigated The c-axis aligned ZnO buffer layer deposited on c-sapphire by PLD with nearly defect-free nanorods on the top is shown to provide an ideal template to carry a subsequent ELO growth The TDs generated from the ZnOsapphire interface are shown to be mostly blocked by terminating at the surface or turning over and terminating around the base of individual nanorods

The additional CVD or HT treatments proved that the extremely low density of defects in individual rod can be retained in the subsequent lateral growth However new subgrain boundaries and corresponding dislocations could be generated when neighbouring grains coalesce The type and local density of these new TDs is mainly determined by the rotation vector between neighbouring grains in our case In the overgrowth stage pairs of dislocations which originated from two opposite sides of one misoriented grain could eliminate each other in an interaction with their opposite Burgers vectors Finally continuous films were formed in the top of templates with TD density about 109cm2 which is comparable with that of ZnO films grown by normal ELO [6] or interlayer assistant MBE on c-sapphire [10] References 1 Lim S H Washburn J Liliental-Weber Z and Shindo D 2001 J Vac Sci Technol A 19 2601 2 Hong S Hanada T Ko H J Chen Y et al 2002 Phys Rev B 65 115331 3 Chen Y Hong S Ko H Kirshner V et al 2001 Appl Phys Lett 78 3352 4 Du X L Murakami M Iwaki H Ishitani Y et al 2002 Jpn J Appl Phys 41 L1043 5 Kim J H Andeen D and Lange F F 2006 Adv Mater 18 2453 6 Andeen D Kim J H Lange F F Goh G K L et al 2006 Adv Funct Mater 16 799 7 Vayssieres L Keis K Hagfeldt A and Lindquist S E 2001 Chem Mater 13 4395 8 Doherty R P Sun Y K Sun Y Warren J et al 2007 Appl Phys A accepted 9 Bollmann W 1970 Crystal defects and crystalline interfaces (Berlin Sorubger-Verlag) Chap 11 10 Wang Y Du X L Mei Z X Zeng Z Q et al 2004 J Crystal Growth 273 100

Progress in Aberration-Corrected High-Resolution Transmission Electron Microscopy of Crystalline Solids K Tillmann J Barthel L Houben C L Jia M Lentzen A Thust and K Urban Institute of Solid State Research and Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons Research Centre Juumllich D-52425 Juumllich Germany Summary With impressive improvements in instrumental resolution and a simultaneous minimisation of image delocalisation high-resolution transmission electron microscopy is presently enjoying increased popularity in the atomic-scale imaging of lattice imperfections in a variety of solids In the present overview recent progress in spherical aberration corrected imaging performed in troika with the ultra-precise measurement of residual wave aberrations and the numerical retrieval of the exit plane wavefunction from focal series of micrographs is illustrated by highlighting their combined use for the atomic-scale measurement of common lattice imperfections observed in compound semiconductors and high-temperature superconductors 1 Introduction High-resolution transmission electron microscopy (HRTEM) is now firmly established as a unique analysis technique for the study of lattice imperfections in crystalline solids Nearly half a century since the first images of dislocations were recorded [1 2 3] the technique has enabled the characterisation of lattice imperfections not only in the bulk of single crystals but also at heterointerfaces between common solids of technological relevance

In the past decade the performance of intermediate voltage electron microscopes has undergone major improvements through novel electron optical components of particular sophistication eg spherical aberration corrector elements [4 5] and monochromators of various designs [6 7 8] The use of these hardware components means a tremendous facilitation of solid-state analysis on the atomic scale Consequently with the instruments now approaching the half Aringngstroumlm frontier HRTEM becomes at long last a versatile tool for truly atomic-scale measurements of heterointerfaces and lattice imperfections in a variety of solids

The sole availability of structural information beyond the Aringngstroumlm barrier however only represents a sine qua non for the imaging of object details of the very same length scale Additionally an unaltered transfer of information through the lens system constitutes a mandatory requirement in the direct interpretability of recorded micrographs Thence any recorded sub-Aringngstroumlm information needs to be impartially regarded as of equivocal reliability without an optimised tuning of instrumental parameters [9 10] and in particular a precise control of residual wave aberrations The latter can be minimised to a large extent by hardware prior to experimental analyses [11] and entirely eliminated a posteriori by applying phase retrieval methods making use of focal series [12 13] or illumination tilt direction series [14] of micrographs as well as by off-axis holography [15 16]

Against the background that any adequate elimination of wave aberrations entails extremely high demands on hardware correction also coming along with the indispensable necessity to measure associated residual aberrations a sound strategy is required to overcome the problem of still non-direct image interpretability at ultimate resolution This is especially true in view of a

134 K Tillmann et al

Fig 1 Process diagram illustrating the course of successive procedures to minimise residual wave aberrations wij during setup of a spherical aberration corrected microscope using the ATLAS package (left) followed by the numerical retrieval of the exit-plane wavefunction Ψ(r) from a through-focus series of micrographs (right) also involving a posteriori correction of residual aberrations wij by the utilisation of measured phase-plates (bottom)

transient behaviour of the corrector as-is state during operation of the electron microscope necessitating a follow-up of the actual instrumental transfer properties

Hence in the present overview three co-acting techniques are exemplified by highlighting their combined use for the atomic-scale analysis of lattice imperfections and internal boundaries in crystalline solids This regards (i) imaging under optimised conditions employing negative spherical aberration (ii) the ultra-precise measurement of higher-order wave aberrations wij from amorphous specimen areas under tilted illumination as well as (iii) the restoration of the exit-plane wavefunction from a focal series of micrographs The fine-tuning and subsequent numerical elimination of residual aberrations still present in hardware-corrected microscopy is demonstrated not only as an end in itself but in particular to exhaust the instrumental information limit at interpretable contrast features For illustration purposes recent progress is reviewed in the atomic-scale measurement of the core structure of partial dislocations and of lattice distortions across stacking faults in compound semiconductors as well as atomic bond reconstructions at tilt boundaries in high-temperature superconductors 2 Experimental Methodology A three-step course of action as illustrated by the process chart displayed in Fig 1 is suggested to fully make use of the improved information limit offered by field-emission gun instruments equipped with a spherical aberration corrector unit and especially to overcome uncertainties in image contrast interpretation due to image delocalisation and residual lens aberrations

Progress in Aberration-Corrected HRTEM of Crystalline Solids 135

U [kV] 200 300 300 300 3001 gmax [pm] 125 100 80 50 30

∆ [nm] 56 45 29 11 04w40opt [microm] ndash 367 ndash 311 ndash 127 ndash 19 ndash 03w20opt [nm] 111 90 58 23 09

R [pm] 740 593 474 296 178 Table 1 Optimised values of spherical aberration w40opt and defocus w20opt as well as the resulting image delocalisation R according to Eqs (1) to (3) based on different acceleration voltages U and information limits 1gmax together with the associated defocus spread ∆ respectively Grey shaded columns apply to parameters of the CM-200-C and Titan 80-300 instruments used during this study

21 Tuning of the Instrument towards Negative Spherical Aberration Corrected Imaging Conditions

With the integration of double hexapole correctors the spherical aberration w40 (vulgo C3) of the electron microscope becomes a tunable imaging parameter w40 can therefore be adjusted to balance phase contrast and residual delocalisation while keeping the point resolution close to the information limit not within reach during operation of ldquotraditionalrdquo uncorrected medium voltage instruments equipped with a field emission gun Given a sufficiently thin sample with a thickness just smaller than half the extinction distance favourable conditions for negative phase contrast i e for bright-atom contrast are attained by combining an optimised negative spherical aberration [9] given by

w40opt = minus 64 27 λminus3gmaxminus4 (1)

with an overfocus setting w20 (vulgo Z) of the objective lens

w20opt = 16 9 λminus1gmaxminus2 (2)

minus half the specimen thickness [10] with λ and 1gmax denoting the electron wavelength and the information limit of the instrument respectively When initially neglecting the impact of partially compensated higher-order wave aberrations a corresponding instrumental set-up yields directly interpretable micrographs accompanied by a residual image delocalisation

R = 16 27 gmaxminus1 (3)

With this tuning w20opt replaces the Scherzer defocus of ldquotraditionalrdquo HRTEM and the partially coherent phase contrast transfer function of the instrument is positive up to the information limit and characterised by a broad pass-band The according negative phase contrast condition not only ensures a substantial contrast improvement but low-nuclear charge elements can also be imaged at bright-atom contrast features in the vicinity of high-nuclear charge atoms which is in particular viable for the investigation of oxide and nitride materials [17]

Numerical values of the aforementioned optimised imaging parameters are summarised in Table 1 using instrumental parameters of 200 and 300 kV microscopes characterised by information limits in the range between 30 pm and 125 pm respectively

136 K Tillmann et al

Fig 2 a) Wave aberration coefficients wij and their sum S displayed in phase-plate representation measured during set-up of a Titan 80-300 microscope Corresponding numerical data on wij are summarised in Table 2 Bright (dark) areas indicate positive (negative) phase deviation with grey level jumps occurring in steps of p2 The white circles denote an information limit of 1gmax = 1125 nmndash1 = 80 pm b) Time-resolved monitoring of the twofold astigmatism w22 measured over a period of ∆tser = 144 s during operation of the instrument at nominally fixed conditions Astigmatism fluctuations w22x and w22y along orthogonal directions x and y are due to instrumental instabilities The statistical error of a single w22 measurement is approximately 100 pm with the circle at |w22| = 081 nm indicating the p4 limit not be exceeded to fully exploit the information limit of the microscope The regression line yields an average variation in the two-fold astigmatism of 6 pms

22 High-Precision Measurement of Residual Wave Aberrations for Sub-Aringngstroumlm HRTEM

Quantitative high-resolution electron microscopy requires the measurement ndash and ideally the full elimination ndash of objective lens induced parasitic wave aberrations to high accuracy This indispensable requirement is because of a decrease of minimum tolerable quantities of higher-order wave aberrations wij which have not been considered in ldquotraditionalrdquo high-resolution microscopy so far with an increase of the instrumental information limit

In this respect the wave aberration function χ(g) describing deviations between ideal and real wavefronts is the relevant instrumental ldquotuningrdquo parameter to be considered In the isoplanatic approximation [11] χ(g) can be expanded in terms of axial aberration coefficients wij and their respective azimuths ϕijWhen transformed to cylindrical coordinates g = (g ϕ) the aberration function is given by

χ(gϕ) =

2πλ

w ij

iige2 ige jge0i+ j isin 2 N

sum λg( )i cos j ϕ minusϕ ij( )[ ] (4)

making the radial (wij) and azimuthal (ϕij) dependence of the aberration terms e g defocus w20 twofold astigmatism w22 axial coma w31 and threefold astigmatism w33 apparent The other

Progress in Aberration-Corrected HRTEM of Crystalline Solids 137

aberration wij Xk modulus azimuth defocus w20 C1 (Z) ndash 27789 plusmn 016 nm

twofold astigmatism w22 A1 292 plusmn 012 nm 1167 plusmn 12deg axial coma w31 3 B2 1972 plusmn 1310 nm 1477 plusmn 381deg

threefold astigmatism w33 A2 1425 plusmn 557 nm 364 plusmn 75deg spherical aberration w40 C3 (CS) ndash 1524 plusmn 060 microm

star aberration w42 4 S3 655 plusmn 025 microm 1534 plusmn 11deg fourfold astigmatism w44 A3 205 plusmn 005 microm 206 plusmn 03deg

fifth-order axial coma w51 5 B4 7091 plusmn 1694 microm 00 plusmn 137deg three-lobe aberration w53 5 D4 3206 plusmn 1130 microm 740 plusmn 67deg fivefold astigmatism w55 A4 3260 plusmn 180 microm 605 plusmn 06deg

sixth-order spherical aberration w60 C5 696 plusmn 070 mm sixfold astigmatism w66 A5 327 plusmn 005 mm 391 plusmn 01deg

Table 2 Numerical values of higher order wave aberration coefficients wij belonging to the phase-plate representations displayed in Fig 2 Indices i and j specify the spatial frequency order of individual aberrations of the wave aberration function in Taylor expansion and the azimuthal symmetry respectively Aberration coefficient denotations Xk according to the traditional convention by Hawkes and Kasper [21] are given in the middle column for comparison During evaluation sixth-order star aberration w62 (6 R5) and four-lobe aberration w64 (6 D5) have been set to zero as their magnitudes are typically found to be negligible and any consideration would only deteriorate the accuracy in the determination of the remaining aberration coefficients

higher-order coefficients wij are denoted in Table 2 for an expansion of the aberration function to the fifth order

While the introduction of a w40 imaging corrector raises the instrumental resolution also coming along with virtually directly interpretable micrographs when the electron microscope is operated under optimised conditions experience with both Juumllich based instruments equipped with CEOS double-hexapole w40 imaging correctors [12] i e a CM-200-C prototype as well as a commercial Titan 80-300 electron microscope shows that a number of coefficients wij are not sufficiently stable over a period of a typical TEM session Some of them e g w42 hold steady for several weeks while others run out of the tolerance limits ensuring proper exploitation of the information limit within a few hours e g w31 and w33 or even minutes e g w22 This observation suggests the integration of software solutions diagnosing and rectifying the actual state of the optical transfer system during operation of the electron microscope which is basically due to two reasons

Firstly commercially distributed aberration measurement routines [18] are by far not sufficient in precision for a corrector alignment aiming at a target resolution in the sub-Aringngstroumlm regime Moreover error limits that are traditionally given only for individual aberrations are no longer a realistic approach in case of a comprehensive ensemble of higher-order aberrations Secondly the observation that various aberrations which may certainly be minimised by hardware will vary with time and the marginal constraint that some of them cannot be corrected by hardware at all already suggest combining any incomplete correction during experiments with an a posteriori software correction via phase retrieval methods

We have hence developed new numerical analysis procedures in the framework of the advanced treatment of lens aberrations and stability (ATLAS) software project [19] which base upon the well-known analysis of diffractogram tableaus where the defocus and the two-fold

138 K Tillmann et al

astigmatism induced by intentional beam tilts are observed as a variation of Thon rings in the diffractograms of amorphous specimen areas under investigation [20] Special emphasis was put on the error analysis exceeding the so far considered magnitude limits for single aberrations [11] by means of the combination of all actually measured aberrations

With a newly implemented pattern recognition module we succeeded in obtaining an accuracy of nearly 100 pm in the determination of defocus and two-fold astigmatism belonging to single diffractograms This level is by more than one order of magnitude superior to that achieved by the manufacturer-supplied measurement software The same holds true regarding processing speed and robustness against perturbations originating from residual crystalline signals By this dramatic gain in accuracy aberration control is now sufficiently precise for reliable imaging even at an information limit of about 50 picometres As a further consequence highly precise time-resolved measurements of defocus and two-fold astigmatism which indicate the stability of the complete optical set-up are now possible

As an example of use Fig 2a displays wave aberrations wij measured during operation of a Titan 80-300 electron microscope as obtained from the analysis of 18 diffractograms taken under tilted illumination with maximum angles of 20 mrad from an amorphous specimen area of a crystalline GaAs sample prepared by argon ion milling employing a final 05 keV milling step When also monitoring individual aberrations cf Fig 2b it is observed that the twofold astigmatism w22 may run out of its allowed magnitude after less than 3 minutes without any user interaction with the instrument[21] 23 Numerical Retrieval of the Exit-Plane Wavefunction Beyond imaging at optimised conditions the numerical retrieval of the exit-plane wavefunction Ψ(r) from a through-focus series of micrographs [13] offers not less than five further improvements

First Ψ(r) is free from nonlinear imaging artefacts and by the combination of many images taken at different foci the low-frequency gap in the phase contrast transfer function i e the insufficient contrast transfer of low spatial frequencies caused by employing a rather small w20 value is reduced considerably [22]

Second by extracting information from about N = 10 hellip 30 images the signal-to-noise ratio can be improved by a factor of N2 compared to that of a single micrograph taken under w20opt conditions Indeed experimental analyses demonstrate a triplication [23] and even quadruplication [24] of the signal-to-noise ratio dependent on the number of images used during retrieval of the exit-plane wavefunction

Third even the application of small w20 and w40 values which is a prerequisite to obtain phase contrast induces a parasitic delocalisation R whereas the numerically retrieved exit-plane wavefunction is ideally free from any delocalisation effects

Fourth the availability of the complex-valued quantity Ψ(r) allows for the numerical a posterior correction of residual wave aberrations This aspect is of special practical importance as experience shows that not all aberrations of the microscope are sufficiently constant over the period of operation but can now be determined with sufficient accuracy before recording individual focal series via the ATLAS package cf above

Fifth since Ψ(r) is complex-valued we may calculate local diffraction patterns from specimen areas as small as desired When evaluated during operation of the microscope the judgement of the symmetry properties of these local diffraction patterns is a most convenient tool for the proper orientation of specimen areas under investigation Corresponding tuning procedures ensure a proper semiconductor zone axis alignment with accuracy well below 3 mrad [22]

Progress in Aberration-Corrected HRTEM of Crystalline Solids 139

3 Experimental Details Experimental analyses were performed using two different FEI instruments equipped with imaging correctors namely a CM-200 prototype operated at 200 kV and a commercial Titan 80-300 instrument operated at 300 kV Both systems were equipped with CEOS double hexapole w40 correctors which allow for correcting axial aberrations up to the third order and for partially compensating for fourth and fifth order aberrations Instrumental parameters of these microscopes are listed in the grey shaded columns of Table 1

High-resolution micrographs discussed below were recorded at optimised conditions with a slightly negative value for w40 Focal series of N = 10 hellip 30 images were recorded at a sampling rates well below the Nyquist frequency with regard to half of the instrumental information limit 1 (2 gmax) for sampling the full instrument potential knowing that the modulation transfer function of many CCD cameras exhibit poor transfer at higher spatial frequencies The focal range of each series included the focus setting with w20opt for optimised phase contrast From these series the exit-plane wavefunction Ψ(r) was retrieved for the frequency band between 1 nm-1 and respective gmax values applying a doughnut-shaped restoration filter 4 Simulation Study Impact of Image Delocalisation and Residual Wave

Aberrations on Dumb-bell Lengths Measured from HRTEM Micrographs of ZnO (1120)

In recent years the measurement of in-plane distances between adjacent contrast dots associated with atomic column positions has become a widespread technique for mapping lattice distortions in the vicinity of defects and across heterointerfaces Underlying numerical analysis algorithms meet uncertainties in the measurement of contrast dot positions given by 2 σ confidence intervals as far as to 4 pm [23] Against the background of the transition to sub-Aringngstoumlm microscopy we are currently witnessing a point of principle emerges regarding whether micrographs recorded with aberration corrected instruments substantially allow such small tolerance limits to be measured facing system-inherent residual image delocalisation and parasitic higher-order wave aberrations

For exemplification purposes Fig 3 displays a series of calculated w20opt images I(r) and the phase Φ(r) of the exit-plane wavefunction of ZnO viewed along the [112 0] zone axis together with associated intensity line profiles taken along the direction of the zinc oxygen dumb-bell assuming different instrumental information limits in the range between 100 and 30 picometres Line profiles show a decreased peak-to-background ratio and an increased asymmetry of individual peaks with decreasing information limit This behaviour which is more pronounced for the lighter oxygen columns is basically due to an increase of image delocalisation with decreasing 1 gmax values as expressed by Eq (3)

From these line profiles dumb-bell lengths d have been measured by an algorithm basically employing dedicated image intensity thresholding operations and a centre-of-mass analysis inside individual bright contrast dots supported by adequate refining procedures as specified in [25] Systematic deviations Dd with regard to the actual dumb-bell length of dZnO = 1123 pm have been added to Fig 3 As can be seen from these numerical data Dd values measured from w20opt images I(r) clearly exceed 4 pm as long as the information limit is below 80 picometres

To come to a more general description Fig 3g displays calculated peak distances d between two columns of equal atomic species in dependence on the instrumental information limit gmax Both parameters d and gmax are plotted normalised to the actual column distance dreal As can be seen from the figure d dreal values trick to believe in an superficial resolution characterised by d dreal lt 1 at gmax sdot dreal values in the range between 07 and 085 Contrastingly ddreal values are well above 103 at gmax sdot dreal in the range between 085 and 130

140 K Tillmann et al

Fig 3 Calculated optimum focus I(r) and Φ(r) images of ZnO [112 0] and intensity line profiles extracted along the dumb-bell direction based on a specimen thickness t = 3 nm and a 300 kV instrument characterised by an information limit of a) 100 pm b-d) 80 pm e) 50 pm and f) 30 pm Images displayed in (c) consider residual lens aberrations amounting to w22 = 2 nm (148deg) w33 = 50 nm (343deg) and w31 = 20 nm (339deg) with the magnitudes representing allowed aberration coefficients to fulfill the p4 limit according to [11] and the values in parantheses indicating randomly generated azimuths with the [0001] direction respectively Dd values specify the measurement error of the dumb-bell length d with regard to the actual distance of 1123 pm g) Normalised peak distance d dreal between two columns of equal atomic species in dependence on the normalised instrumental information limit gmax sdot dreal

Progress in Aberration-Corrected HRTEM of Crystalline Solids 141

This behaviour demonstrates that an excess resolution of about 30 per-cent will be necessary to measure column distances correctly from w20opt images with a precision in the order of three per-cent A further increase in excess resolution will be necessary when focusing on column distances between comparatively heavy and light atomic species where the weak signal associated with the latter accounts for additional systematic errors in the determination of column distances

Systematic errors in d values measured from the optimum focus images I(r) further increase significantly when also considering the impact of non-fully compensated higher-order wave aberrations which when assuming reasonable quantities of two- and three-fold astigmatism and axial coma result in an approximate reduplication of Dd values as can be seen from comparison of Figs 3 (b) and (c) As illustrated before a highly efficient strategy to overcome the problems coming along with residual image delocalisation and wave aberrations will be not to focus on single image I(r) but rather on the retrieved phase Φ(r) of the exit-plane wavefunction displayed in Fig 3 (d) which already ensures Dd = 03 pm at information limit of 1 gmax = 80 pm 5 Experimental Results Materials Science Applications of Use The analysis and optimisation techniques described in section 2 have been applied to a fairly wide range of defect structure problems arising in solid-state research In the following we highlight their combined use by discussing three materials science applications related to specific cases 51 Frank Partial Dislocation Cores in Chromium Implanted GaN (1120) As an introductory example of use we report on the structure of partial dislocation cores introduced by chromium implantation into GaN layers [26] which were grown by metal organic chemical vapour deposition on Al2O3 (0001) substrates under silicon doping to a concentration of 2 times 1017 cm-3 Chromium ions were implanted with an energy of 200 kV at a dose of 5 times 1016 cm-2 and a temperature of 350 degC to avoid amorphisation A high density of basal plane stacking faults was observed besides spherical chromium rich precipitates in the surface near regions after implantation and rapid thermal annealing at 700 degC for 5 min in N2 atmosphere [27] A by-product of the implantation and annealing process is the creation of extrinsic and intrinsic basal plane stacking faults which are formed as a result of the precipitation of excess interstitials

The majority of the basal plane stacking faults are extrinsically bound by Frank partial dislocations with Burgers vectors of type b = c2 [0001] Figs 4a and b display an optimum focus micrograph I(r) together with the associated phase image Φ(r) numerically retrieved from a through-focus series of micrographs respectively of a Frank partial dislocation core at the terminating zone of a dislocation loop of several 10 nm in size Gallium and nitrogen columns at a dumb-bell length of 114 pm considerably smaller than the information limit 1 gmax = 125 pm of the employed CM-200-C instrument are not fully resolved Nonetheless the N polarity of the sample is clearly visible from the directly interpretable bright contrast in the phase image The tetrahedral coordination across the faulted layer stacking is however confirmed in the left parts of both images indicating that no foreign chromium-gallium alloy phase is connected with the planar defects

Although the optimum focus micrograph Ι(r) and the phase image Φ(r) appear quite similar at first glance the improved signal-to-noise ratio in the phase image enhances the visibility of the nitrogen positions and the dumb-bell orientation down to the core of the dislocation The phase image Φ(r) strengthens the presence of further nitrogen atoms within the core surrounded by the cage of the five marked gallium columns The faint phase shift in Φ(r) in which non-linear image components and image delocalisation are eliminated indicates a nitrogen-filled core in favour of a chromium- or gallium-rich core

Based on these observations a tentative 57 ring configuration for the core is presented in Fig 4d The nitrogen atoms shared by the 5 and 7 membered rings respectively are coordinated

_

142 K Tillmann et al

Fig 4 Frank partial dislocation with a projected Burgers vector b = c2 [0001] viewed along the [112 0] direction a) Optimum focus micrograph Ι(r) slightly distorted by parasitic wave aberrations amounting to w22 = 27 nm (110deg) w31 = 240 nm (320deg) and w33 = 50 nm (80deg) with the values in parantheses indicating respective azimuth angles inclined with the [112 0] direction b) Phase image Φ(r) retrieved from the focal series of images together with c) the associated numerical phase-plate used for the correction of residual wave aberrations d) Stick-and-ball model of the 57 ring configuration for the core of the Frank partial dislocation [26]

threefold with gallium and nitrogen Due to the wrong bond and the presence of unpaired electrons this structure is not expected to be the most stable configuration Since the phase image Φ(r) is retrieved from a through-focus series taken over a period of a few ten seconds the image conceivably reflects a transient state of the core that gathers further interstitials during observation The w20opt image may therefore contain complementary but not necessarily identical information when compared to Φ(r) Indeed in the present case the w20opt micrograph characterised by an inferior noise level compared to Φ(r) also supplies an alternative structure which contains two wrong Ga-Ga bonds connecting threefold-coordinated gallium atoms at positions 1-5 and 2-3 respectively 52 Lattice Distortions in the Vicinity of Extrinsic Stacking Fault Ribbons in GaAs (110) As a further materials science application we report on locally inhomogeneous distortions of atomic dumb-bells across extrinsic stacking faults in a GaAs capping layer grown by molecular

Progress in Aberration-Corrected HRTEM of Crystalline Solids 143

Fig 5 Inhomogeneous distortion of atomic dumbbells across an extrinsic stacking fault in GaAs (110) a) Retrieved phase image Φ(r) with atomic column positions superimposed and dumb-bell distortions indicated exemplarily in dependence onspecific positions along the [111] direction b) Average projected bond length lsaquodrsaquo and c) misorient-ation angle lsaquodrsaquo of the dumbbells along the [111] direction The lattice planes belonging to the double stacking fault ribbon are indicated in lighter grey colour d) Numerical phase-plate used for the correction of residual wave aberrations during evaluation of the phase image [24]

epitaxy on top of a plastically relaxed In03Ga07As layer Measurements on actual dumb-bell lengths amounting to 141 pm in case of unstrained material when viewed along the [110] direction have been performed on the phase image Φ(r) displayed in Fig 5a which was retrieved from a focal series of N = 30 micrographs taken with a CM-200-C instrument The centre of the phase image is 52 nm left of a 90deg partial dislocation core terminating the faulted double ribbon [24] In order to avoid systematic errors due to dumb-bell distances close to the information limit of the instrument actual lengths and orientations of individual dumb-bells have been measured and normalised to mean values associated with the lower (planes no 0 - 3) and upper (planes no 11 - 13) areas of the Φ(r) image For the reduction of the measurement error 15 data points have been averaged along the [1 1 2] direction and median values are plotted in Figs 5b and c in dependence on specific (111) lattice plane positions

As can be seen from both plots the dumb-bells on either side of the double ribbon rearrange roughly antisymmetric with regard to the faulted (111) planes The dumb-bells of the bottommost lattice plane of the upper domain (plane no 8) are compressed to a length of lsaquodrsaquo = 133 pm plusmn 4 pm and turn towards the double ribbon with a misorientation angle lsaquodrsaquo asymp 26deg plusmn 13deg compared to the reference lattice planes In contrast the uppermost dumb-bells of the lower crystal area (plane

144 K Tillmann et al

no 5) are stretched to a length of lsaquodrsaquo = 150 pm plusmn 4 pm and bend away from the double ribbon at a misorientation angle of lsaquodrsaquo asymp 49deg plusmn 15deg

In order to gauge whether the observed antisymmetric distortions represent a genuine structural property or not potential implications of scattering and imaging artefacts need to be ruled out Since a hypothetical global misalignment of the sample cannot give rise to any local torsion and dilatation of projected atomic dumb-bells we may disenfranchise from this explanation An argument of the same kind holds true of the potential impact of not fully compensated aberrations as they would take effect on the entire image and hence would distort all atomic dumb-bells of the same orientation equally Strictly speaking any potential impact of both on-axial and off-axial aberrations would need to be evaluated separately Beyond electron-optical reasoning a supposed strictly antisymmetric lattice distortion caused by a dedicated combination of higher-order aberrations with the faulted ribbon incidentally acting as the symmetry plane may be ruled out from a probability point of view because of an almost immense number of possible combinations which will not give raise to the observed distortion behaviour

The observed alteration of atomic dumb-bell lengths in the vicinity of stacking fault ribbons of about 10 pm is in fair agreement with recent measurements focusing on intrinsic stacking faults ribbons in heavily beryllium doped GaAs [28] This analysis revealed an average expansion of dumb-bell lengths up to 158 pm in the faulted lattice plane which was explained by the segregation of beryllium dopant atoms and the subsequent formation of antisite defects inside of the plane of the faulted ribbon Additionally lattice displacements around the central stacking fault of Z-shaped dipoles connecting two stair rod dislocations in indium doped GaAs revealed the very same antisymmetric distortion of dumb-bell related contrast dots as were observed in the present analysis Lateral displacements of gallium and arsenic atom pair related contrast dots along the

2]11[ direction were found to be as much as 20 to 50 of the dumb-bell length [29] As the specimen investigated in the present study was undoped we may in the first instance

rule out the aforementioned explanations but only speculate about indium diffusion from the underlying In03Ga07As layer to the GaAs layer along the faulted ribbon at most As long as an inhomogeneous incorporation of indium atoms is not considered this approach thus cannot explain the observed lattice distortions Admittedly the antisymmetric distortions become perspicuous when considering the elastic distortions associated with the 90deg partial dislocations which basically follow the measured characteristics of the dumb-bells measured expansion and torsion but alas yield only alterations of dumb-bell lengths smaller than plusmn 3 pm and misorientation angles smaller than plusmn 04deg for the image area under investigation [30] Hence a more likely explanation would be that the terminating partial dislocation biases the rearrangement of atomic columns in the vicinity of the faulted ribbons and that next but one neighbour interactions between different atomic species will indeed play an important role during this process 53 Structural Reconstruction at 90deg Tilt Boundaries in YBa2Cu3O7-d (100) The quantitative analysis of atomic column positions of light and heavy elements is in particular valuable for the examination of oxide materials since changes in bond lengths between cations and oxygen can have a considerable effect on the electronic properties especially in the vicinity of defects A practical example is the meticulous analysis of the structural reconstruction of a 90deg lang100rang tilt grain boundary in YBa2Cu3O7-δ which gave evidence for local doping and disorder affecting the superconductive property in a few atomic layers around the grain boundary

The prerequisites for the above mentioned displacement analysis with picometre accuracy are the accurate correction of aberrations a good signal-to-noise ratio the extraction and exploitation of the full signal besides a quantification of the residual noise as a means to quantify the statistical measurement error and a refinement by comparison with image simulation in order to avoid systematic errors related eg to the finite frequency transfer of the microscope already discussed in

Progress in Aberration-Corrected HRTEM of Crystalline Solids 145

Fig 6 a) Optimum focus micrograph I(r) and b) phase image Φ(r) retrieved from a focal series of 20 micrographs of a 90deg [100] tilt grain boundary in YBa2Cu3O7-δ viewed along the [100] zone axis Arrows indicate the grain boundary plane The framed area in (b) highlights a single repeat cell in the periodic arrangement of the grain boundary c) Numerical phase-plate used for the a posteriori correction of residual wave aberrations in addition to the defocus and a small negative spherical aberration w22 = 9 nm (165deg) and w31 = 140 nm (10deg) with the azimuth information referring to the image x-axes respectively Phase angles are displayed in modulo π2 representation section 4 The three co-acting strategies of employing a negative spherical aberration set-up a precise measurement and control of wave aberrations and the restoration of the exit plane wavefunction taken together with the simulation of wavefunction data most charmingly meets these prerequisites Details of the procedure are given in [23]

Figures 6a and b display images of the grain boundary viewed along the [100] direction close to optimum defocus w20opt and the corresponding phase Φ(r) of the exit plane wave function retrieved from 20 images in the focal series The symmetry relation between the two domains was exploited for the numerical correction of the parasitic coma w31 in addition to the considerable twofold astigmatism The resulting numerical phase-plate for the tuning of the relevant parasitic aberrations up to w40 is shown in Fig 6c The notable number of π2 phase wraps within the information limit gmax = 8 nm-1 of the microscope emphasises that direct interpretability in the optimum focus micrograph I(r) is not given and that a posteriori correction of aberrations is required in order to exploit the full information provided by the experimental data

Atomic column positions the scattering intensity and their statistical uncertainties were calculated by peak regression in the Φ(r) image owing to the linear relationship between the projected potential and the phase of the exit plane wavefunction for a weak phase object

146 K Tillmann et al

Fig 7 Atom column displace-ments in the retrieved structure of the 90deg [100] tilt grain boundary in YBa2Cu3O7-δ The structure model displays columns in the repeat cell marked in Fig 6b Displace-ments with respect to the position in the bulk structure are indicated by arrows and given in picometres together with the 2 σ confidence intervals for the statistical measurement error Significant displacements are present in the grain boundary plane and the two neighbouring planes Evident is the shift of the O1 atom towards the Cu1 atom in the grain boundary plane

Picometre accurate quantitative data for single atom column displacements could be derived The accordingly measured column displacements with respect to the periodic structure in the two domains are displayed in Fig 7 for a single repeat cell of the periodic grain boundary structure 2 σ confidence intervals of 4 pm were achieved for columns of the cation sub-lattice The weaker scattering signal on the oxygen positions is responsible for the larger 2 σ confidence intervals up to more than 10 pm In order to avoid systematic errors a refinement by comparison with simulated exit plane wavefunction data was conducted when the measured column distances approached the information limit of the microscope

Despite the nearly vanishing over-all lattice mismatch between the domains column displacements occur due to the mismatch between the size of the smaller central perovskite-like block and the b-axis in the unit cell structure of YBa2Cu3O7-δ Displacements are restricted to the grain boundary plane and directly neighbouring planes Elsewhere the bond lengths are in excellent agreement with neutron scattering data for orthorhombic YBa2Cu3O7-δ In particular changes in the bond lengths between the Cu1 atom in the basal plane the O1 atom in the BaO plane and the Cu2 atom in the superconducting CuO2 plane (see Fig 7) are important since the shift of the oxygen atom O1 towards the Cu1 atom signifies a local doping effect and goes along with largely increased static or dynamic disorder on the Cu1 site in the boundary [Error Bookmark not defined] Both factors will change the superconductivity locally in the grain boundary as well as in the neighbouring planes 6 Present Achievements and Challenges for the Future The examples discussed in this overview demonstrate that the resolution power of neoteric high-resolution instruments equipped with an imaging w40 corrector may very well be exploited for the atomic-scale analysis of lattice imperfections and internal boundaries in a variety of crystalline solids Very significant advantages regarding the direct interpretation of retrieved phase images Φ(r) and the enhancement of the signal-to-noise ratio arise from the combination of (i) negative spherical aberration corrected imaging (ii) the ultra-precise measurement of residual

Progress in Aberration-Corrected HRTEM of Crystalline Solids 147

higher-order wave aberrations wij together with (iii) the numerical retrieval of the exit-plane wavefunction When performed in troika all three techniques allow for the elimination of artificial contrast features caused by non-fully compensated wave aberrations wij in a most straightforward manner

Seen from a different perspective there has been recently considerable debate concerning the benefit of aberration correction in HRTEM beyond a beneficial minimisation of image delocalisation as well as an increase of the information limit Truly it would make sense from an ergonomic viewpoint to record directly interpretable high-resolution micrographs to outflank the present imperative to apply numerical post-processing operations e g the retrieval of the exit-plane wavefunction Corresponding technical solutions however realised in detail will at least need to ensure (i) an improved stability of stages allowing an increase of the exposure time to several seconds to measure the signal-to-noise ratio with retrieved phase images (ii) sufficient contrast transfer at low spatial frequencies or as the case may be an additionally impressed phase shift of about p2 between diffracted and transmitted beams thus enhancing contrast (iii) an opportunity to sufficiently minimise residual wave aberrations and especially to maintain long-time stability during operation of the instrument against outside influences and goniometer tilt and shift operations on a sustained basis as well as (iv) a sufficient minimisation of off-axial aberrations at increased resolution in order to prevent contrast variations associated with identical objects residing at different positions with regard to the principal axis of the microscope Acknowledgements

The authors are most grateful to Y Divin A Foumlrster V Guzenko and U Poppe for providing the samples investigated in this study Also the technical assistance of D Meertens and W Sybertz at certain stages of TEM specimen preparation is most appreciated References [1] Hirsch P B Horne W H and Whelan M J 1956 Phil Mag 1 677 [2] Menter J W 1956 Proc Roy Soc A 236 119 [3] Bollmann W 1956 Phys Rev 103 1588 [4] Rose H 1990 Optik 85 19 [5] Haider M Rose H Uhlemann S Schwan E Kabius B and Urban K 1998 Ultramicroscopy 75

53 [6] Tiemeijer P C 1999 Ultramicroscopy 78 53 [7] Kahl F and Rose H 2000 Proc EUREM-2000 Vol 3 eds P Schauer I Muumlllerovaacute and L

Frank (Brno Czek Microscopy Society) pp [8] Su D S Zandbergen H W Tiemeijer P C 2003 Micron 34 235 [9] Lentzen M Jahnen B Jia C L Thust A Tillmann K and Urban K 2002 Ultramicroscopy 92

233 [10] Lentzen M 2006 Microsc Microanal 12 191 [11] Uhlemann S and Haider M 1998 Ultramicroscopy 72 109 [12] Coene W M J Janssen G Op de Beeck M and van Dyck D 1992 Phys Rev Lett 69 3743 [13] Thust A Coene W M J Op de Beeck M and van Dyck D 1996 Ultramicroscopy 64 211 [14] Kirkland A I Saxton O W Chau K L Tsuno K and Kawasaki M 1995 Ultramicroscopy 57

355 [15] Lichte H 1986 Ultramicroscopy 20 293 [16] Lehmann M and Lichte H 2002 Microsc Microanal 8 447 [17] Jia C L Lentzen M and Urban K 2003 Science 299 870

148 K Tillmann et al

[18] Hartel P Muumlller H Uhlemann S and Haider M 2004 Proc EMC-2004 eds N Schryvers and J P Timmermanns (Antwerp Belgian Society for Microscopy) pp IM01P02

[19] Barthel J 2007 PhD Thesis RWTH Aachen University [20] Zemlin F Weiss K Schiske P Kunath W and Herrmann K H 1978 Ultramicroscopy 3 49 [21] Hawkes P and Kapser E 1989 Principles of Electron Optics (London Academic Press) [22] Tillmann K Thust A and Urban K 2004 Microsc Microanal 10 185 [23] Houben L Thust A and Urban K 2006 Ultramicroscopy 106 200 [24] Tillmann K Houben L and Thust A 2006 Phil Mag 86 4589 [25] Kilaas R Paciornik S Schwartz A J and Tanner L E 1994 Journal of Computer-

Assisted Microscopy 6 129 [26] Tillmann K Houben L Thust A and Urban K 2006 J Mater Sci 41 4420 [27] Guzenko V AThillosen N Dahmen A Calarco R Schaumlpers T Houben L Schineller B

Heuken M and Kaluza A 2004 J Appl Phys 96 5663 [28] Kisielowski C Freitag B Xu X Beckmann S P and Chrzan D C 2006 Phil Mag 86 4575 [29] Lim S H Shindo D Yonenaga I Brown P D and Humphreys C J 1998 Phys Rev Lett 81

5350 [30] Hirth J P and Lothe J 1968 Theory of Dislocations (New York McGraw-Hill)

Strain Measurements in SiGe Devices by Aberration-Corrected High Resolution Electron Microscopy F Huumle M J Hyumltch J-M Hartmann1 Y Bogumilowicz2 and A Claverie CEMES-CNRS 29 rue J Marvig 31055 Toulouse France 1 CEA-LETI 17 rue des Martyrs 38054 Grenoble France 2 STMicroelectronics 850 rue Jean Monnet 38921 Crolles Cedex France Summary We measure elastic strains in Si grown on Si1-xGex substrates by aberration-corrected high-resolution transmission electron microscopy (HRTEM) Images are analysed using geometric phase analysis (GPA) We carry out finite element modeling (FEM) of these systems coupled with full atomistic multislice simulations of the deformed structures Comparison of the results from experiment and simulation reveals the high accuracy and reliability which can be obtained Strain mapping with HRTEM benefits from the high signal-to-noise ratio of aberration-corrected images In addition images can be obtained for thicker crystals which limits thin film relaxation effects and facilitates specimen preparation 1 Introduction For the rapid miniaturisation of electronics imposed by Moorersquos law to continue one of the important aims for the international roadmap for semiconductors is to develop strained silicon for metal oxide semiconductor field-effect transistors (MOSFETs) [1] One route for introducing strain is the epitaxial growth of silicon on Si1-xGex virtual substrates [2] Straining silicon improves the mobility of carriers electrons (nMOS) or holes (pMOS) in the channel between source and drain in MOSFET transistors and performance can be significantly enhanced for similar power consumption Measuring strain accurately at the nanoscale is therefore an important objective

High-resolution transmission electron microscopy combined with geometric phase analysis (GPA) appears highly suitable for mapping strain in SiSiGe heterostructures [34] Objective lens distortions [5] artefacts introduced by the bending of atomic columns [6] and optical distortions due to the projector [7] have all been previously investigated Experimental results obtained by GPA will be compared with finite element modelling coupled with full atomistic image simulations to see the impact of thin foil relaxation on strain measurements 2 Experimental Details Specimens are Si layers grown at 700degC by RPCVD on polished (001) virtual substrates The Si layers have thicknesses from 10 to 40 nm and virtual substrates (VS) relaxed Si1-xGex deposited at 900degC upon Si wafer with compositions of x = 20 30 40 and 50 Cross-sectional TEM samples were prepared by tripod polishing followed by ion milling (PIPS) at 3keV to specimen thicknesses of about 30 nm Specimens were also prepared by tripod polishing to thicknesses of about 10-20 nm and by focussed ion beam (FIB) to thicknesses of about 80 nm Here we only present results for the PIPS prepared samples Observations were performed on the SACTEM-Toulouse a Tecnai F20 ST (FEI) fitted with an objective-lens aberration corrector (CEOS) Images were acquired at [110] orientation on a 2k CCD cameras (Gatan) and analysed using GPA Phase software [8] Deformation of the silicon layer was measured with respect to the virtual substrate lattice Finite element

150 F Huumle et al

modelling was carried out using COMSOL Multiphysics and multislice image simulations with JEMS software [9] 3 Analysis Modelling and Simulation Figure 1 shows the procedure followed to measure εzz strain in the [001] growth direction first we acquire the HRTEM image then we calculate the Fourier transform and finally by placing a mask around (111) and (1-11) periodicities in Fourier space we extract the strain in the chosen direction Strain in this paper refers to the deformation as calculated relative to the VS lattice parameter and not the Si bulk Mechanical strain can be obtained by subtracting the relative misfit

To answer the question concerning thin-foil relaxation we have carried out modeling with the finite element method (FEM) Figure 2 shows the deformation of the elastically relaxed foil These FEM simulations which are more commonly used for macroscopic problems may be applied to our case since elastic theory has shown to be valid at the nanoscale [10] Our 3D simulations were simplified to a 2D study by considering the x-direction parallel to the layers to be infinite

Fig 2 Modelling of thin-foil relaxation with 3D FEM simulation of a TEM specimen 10 nm Si layer on Si60Ge40 JEMS simulation calculated from a supercell with displaced atoms

Fig 1 (a) HREM image of a Si layer deposited by RPCVD on (001) Si60Ge40 (b) Fourier transform used for calculating phase images by placing a mask around the periodicity of interest here (111) and (1-11) (c) εzz On the right different examples of GPA treatment on three different specimens

z

y x

(c)

(b)

10 nm

(a)

z

x

Strain Measurements in SiGe Devices by Aberration-Corrected HREM 151

To study the effect of the microscope we have simulated images corresponding to our strained layers Starting with a 2D FEM simulation of our specimen we extract values of the displacement of each atom and create a supercell with accurate atoms positions and finally HRTEM images are evaluated applying multislice calculations with JEMS (Fig 2) 4 Results and Discussion As the Si lattice parameter is always smaller than that of the virtual substrate in the absence of misfit dislocations the layer remains in biaxial tensile stress and as a result is in compression in the growth direction Figure 3 shows the results (square) measured by GPA for different VS compositions simulated results from FEM modelling (triangle) and theoretical results assuming plane strain conditions (ie an infinitely thick foil) and plane stress conditions (infinitely thin)

The measured values differ from the theoretical plane strain value because of thin foil relaxation This relaxation is different with PIPS tripod or FIB preparations because of differing specimen thicknesses and amorphous layers Assuming a specimen thickness of 30 nm for the PIPS prepared specimen we performed 3-dimensional FEM simulations of a Si layer on an SiGe VS Modeling was done for different VS composition and different Si layer thickness (not shown) The average projected deformation across the thickness of the simulation is our estimate for the deformation measured by HRTEM The simulated relaxation can be seen to agree well with the measured values Further modeling where the specimen thickness was varied showed that the thin film relaxation modifies the results only by 01 in the range of 20-40 nm specimen thickness

For completeness we have also simulated HRTEM images for one particular VS composition Figure 4 compares experimental and simulated GPA The perpendicular strain measured in both cases are the same -23 By taking a cross section (Fig 4c) in the 3D FEM simulation from which JEMS was performed (Fig 4b) we can see the average value along the Si layer is the same -227 It can be concluded that for these conditions JEMS coupled with GPA is not a necessary step to simulate thin foil relaxation Only FEM simulation is necessary to know precisely the strain in the Si layer However compositional variations close to the interface require image simulations due to the presence of artifacts even for aberration-corrected HRTEM

Fig 3 Variation of εzz as a function of the VS composition

Ge

-4

-35

-3

-25

-2

-15

-1

-05

010 20 30 40 50

perp

endi

cula

r st

rain

( V

S)

plane stressexperimentsFEMplane strain

152 F Huumle et al

Fig 4 Strain analysis in growth direction measured deformation maps and profiles from a) experiment b) simulation c) cross-section taken from FEM simulations

5 Conclusions We have shown that strain can be measured reliably to 02 in strained Si layers Measurement relies on careful sample preparation and finite element modeling Image simulations show that the the average strain measured in layers by HRTEM agrees closely with the average projected strain in the sample HRTEM of relatively thick samples in our case 30 nm significantly improves the accuracy of results as the thin film relaxation becomes relatively insensitive to the exact thickness There are therefore two advantages in using an aberration-corrected microscope thicker specimens can be studied and the higher signal-to-noise ratio improves precision [11] References 1 Deleonibus S 2006 Eur Phys J Appl Phys 36 197 2 Hartmann J M Bogumilowicz Y Holliger P et al 2004 Semiconductor Sci and Tech 19 311 3 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 4 Cherkashin N Hyumltch M J Snoeck E Huumle F Hartmann J-M Bogumilowicz Y and Claverie A

2006 Nuclear Instruments and Methods in Physics Research B 253 145 5 Hyumltch M J and Palmann T 2001 Ultramicroscopy 87 199 6 Tillmann K Lentzen M and Rosenfeld R 2000 Ultramicroscopy 83 111 7 Huumle F Johnson C L Lartigue-Korinek S Wang G Buseck P R and Hyumltch M J 2005 J Elect

Microsc 54 181 8 GPA Phase a plug-in for DigitalMicrograph (Gatan) available from HREMResearch Inc

httpwwwhremresearchcom 9 JEMS P Stadelmann httpcimewwwepflchpeopleStadelmannjemsWebSitejemshtml 10 Hyumltch M J Putaux J L and Peacutenisson J M 2003 Nature 423 270 11 Hyumltch M J and Houdellier F 2007 Microelect Eng 84 460

(S)TEM Characterisation of InAsMgOCo Multilayers D A Eustace D W McComb L Buckle1 P Buckle1 T Ashley1 L J Singh2 Z H Barber2 A M Gilbertson3 W R Branford3 S K Clowes3 and L F Cohen3

Dept of Materials Imperial College London London SW7 2AZ 1 Quantum Electronics and Sensor Technology Qinetiq PLC Malvern WR14 3PS 2 Dept of Materials Science and Metallurgy University of Cambridge Cambridge CB2 3QZ 3 Dept of Physics Blackett Laboratory Imperial College London London SW7 2AZ Summary The structural integrity composition and interface quality of tunnel barriers in hybrid ferromagnet-semiconductor structures will have a significant effect on the properties of spintronic devices We present the results of a study of an amorphous MgO tunnel barrier in a CoMgOInAs multilayer structure Optimum growth parameters for MgO and surface treatment of InAs have been identified by a systematic electron microscopy investigation Electron energy loss spectroscopy has been used to obtain information on composition and interface quality in the multilayer structures 1 Introduction The emerging research field of semiconductor spintronics seeks to utilise electron spin in a new generation of electronic devices [1] The efficient injection and detection of a spin polarised current into semiconducting materials could lead to the fabrication of spintronic devices such as the spin field effect transistor [2] and could have important implications for future magnetic storage media and quantum computing

Narrow gap semiconductors (NGS) are of interest as future spintronic devices due to their high electron mobility and strong spin-orbit coupling [3] The injection of a spin polarised current from a ferromagnet (FM) into a NGS is a challenging issue due to the conductivity mismatch between the two materials [4] Spin injection may be achieved in such systems through the use of a tunnel or Schottky barrier which provides a spin preserving interfacial resistance [5]

However the presence of space-charge or inversion layers in some NGS materials such as InAs and InSb has the effect of lowering the spin independent resistivity of the NGS at the NGSFM interface This raises the possibility of spin injection without the need for a Schottky or tunnel barrier and indeed calculations have shown that spin injection may be achieved in an InAsFe system [6] However sufficient spin injection efficiency could only be achieved with a high quality epitaxial NGSFM interface with a high degree of symmetry The difficulty of preparing such interfaces means that the use of a tunnel or Schottky barrier will be beneficial in hybrid NGSFM structures regardless of electronic requirements

Efficient spin injection has recently been demonstrated from CoFe into GaAs using an MgO(100) tunnel barrier [7] Spin injection has also been demonstrated from Fe into GaAs using a tunnel barrier created by selectively doping the GaAs surface layers [8] and from NiFe into an InAs quantum well structure using a barrier created by growing an oxide on the InAs surface during growth [9] The structural integrity of such barriers will be critical to future device performance In addition abrupt interfaces between the component layers are necessary to minimize spin flip scattering Despite this the use of transmission electron microscopy (TEM) as a tool to aid the development and optimisation of such barriers is not widespread In this paper we report the optimisation of an amorphous MgO tunnel barrier in a CoMgOInAs multilayer structure The optimal growth parameters and InAs surface treatment have been identified through a systematic high resolution (HR) TEM study In addition an analysis of the abruptness and

154 D A Eustace et al

composition of the interfaces within the structure has been carried out using electron energy loss spectroscopy (EELS) in a scanning transmission electron microscope (STEM) 2 Experiment and Instrumentation 1microm doped InAs epilayers have been grown on semi-insulating GaAs(001) substrate by molecular beam epitaxy The carrier concentration and mobility were 116times1017 cm-3 and 14 m2Vs at 300K respectively All samples were degreased in acetone and isopropanol for 4 minutes each then nitrogen dried

Full details of the InAs surface treatments investigated and their characterisation by atomic force microscopy (AFM) will be published elsewhere [10] Briefly all samples were degreased as described above then surface etched with 185 HCl for 30 seconds The surface was then treated using ammonium sulphide (NH4)2S in what was termed a single etch procedure A double etch treatment which repeated the HCl etch and ammonium sulphide stages was also investigated

The MgO barrier was grown by reactive sputter deposition from an Mg target in an Ar-30O2 mixture aiming at a barrier width of between 1 nm and 2 nm The effect of depositing the MgO at two different substrate temperatures (200degC and 100degC) was investigated A 20nm FM Co layer was then sputter deposited at room temperature

The quality of the as-grown MgO barriers has been investigated by bright field HRTEM using a JEOL2010 TEM fitted with a LaB6 filament and operating at 200kV Further HRTEM and STEM imaging and EELS analysis has been carried out using an FEI-Titan FEG-(S)TEM operating at 300kV using an extraction voltage of 4 kV The full width half maximum of the EELS zero loss peak (ZLP) was 08eV and the probe diameter used was ~1nm 3 Results 31 HRTEM Samples which had received only a degreasing treatment showed an amorphous region ~5nm wide at the InAsMgO interface This amorphous region was attributed to a native oxide layer on the InAs and was never observed on samples which had received a surface etch treatment Figure 1 illustrates

5 nm5 nm

CoMgO InAs

31nm5nm5 nm5 nm

CoMgO InAs

31nm5nm5 nm5 nm

17nm5nm

InAs

CoMgO

5 nm5 nm

17nm5nm

InAs

CoMgO

Fig 1 Bright field HRTEM images of cross sections of InAsMgOCo showing a) an unoptimised barrier grown after a single etch InAs surface treatment at an MgO deposition temperature of 200degC and b) an optimised barrier grown after a double etch InAs treatment using a deposition temperature of 100degC

(b)(a)

(S)TEM Characterisation of InAsMgOCo Multilayers 155

the improvement obtained by optimisation of the original growth process In Fig 1a the InAs surface received only a single etch treatment and the MgO tunnel barrier was deposited at 200degC AFM analysis showed that the single etch treatment resulted in the appearance of relatively large features (~13nm) on the InAs surface These features and the relatively high MgO deposition temperature results in an uneven barrier width and a rough InAsMgO interface The double etch treatment removed the large features observed on the InAs surface by AFM and resulted in a root mean square (rms) InAs surface roughness of 054nm In addition reduction of the MgO growth temperature to 100degC significantly improved the abruptness of the InAsMgO interface as shown in Fig 1b The width of the MgO barrier was found to be extremely uniform over large (~5 microm) distances

Further HRTEM imaging reveals more information on the nature of the tunnel barrier The MgO is predominantly amorphous although small (~3-4nm) areas of crystallinity have been observed (Fig 2a) Although the barrier has been observed as continuous over long distances raised features on the InAs surface result in small breakages (~1nm) in the barrier layer in some regions as shown in Fig 2b AFM confirms that such raised features are infrequent with an average spacing of approximately 100-150nm 32 STEM-EELS The sample used in the STEM-EELS study is the same as that shown in Fig 1b Figure 3(a) shows a high angle angular dark field (HAADF) image of the InAsMgOCo multilayer structure collected using STEM Figure 3b shows a bright field image recorded simultaneously using an on-axis detector Figure 3c shows a low loss EELS profile over an energy range from 5eV to 45eV The bulk plasmon resonances from all three materials in the multilayer structure are observed in this energy range Spectra have been recorded at 05nm intervals along the 10nm line trace shown in Fig 3a All spectra have been calibrated with respect to the ZLP and deconvoluted to remove multiple scattering effects

The first 8 spectra from the line profile from 0nm to 4nm clearly show the InAs plasmon peak at 138eV This peak decreases in intensity at the onset of the MgO tunnel barrier The MgO plasmon at 224eV and the InAs plasmon appear to overlap in the next two spectra over a distance of 1nm The MgO plasmon is then observed over the next 4 spectra for a distance of 2nm A relatively sharp decrease in MgO plasmon intensity coincides with a peak shift over a 1nm distance to the cobalt plasmon energy at 26eV This preliminary data indicates that the MgO tunnel barrier has been grown with clean abrupt InAsMgO and MgOCo interfaces with no evidence for a reaction layer or native oxide between the InAs and MgO

5 nm5 nm 5 nm5 nm

(a) (b)

InAs MgO

Co Co

MgO InAs

Fig 2 HRTEM images of InAsMgOCo structures showing a) partial crystallinity in the MgO layer and b) a non-continuous MgO layer with an InAs surface roughness of 2-3 lattice planes

156 D A Eustace et al

4 Conclusions An optimum InAs surface treatment and MgO deposition temperature for the growth of an InAsMgOCo multilayer structure with a uniform MgO tunnel barrier and clean abrupt InAsMgO and MgOCo interfaces has been identified through a systematic TEM study Further characterization using STEM-EELS confirms the abruptness of the interfaces and shows no evidence for a reaction layer or native oxide between the InAs and MgO

Future work will involve further STEM-EELS analysis of the above structure using an excited monochromator system in the FEI-Titan (S)TEM which will improve the EELS energy resolution to lt02eV This will allow a more detailed analysis of the structure and composition of the InAsMgO and MgOCo interfaces References 1 Ziese M and Thornton M J 2001 Spin Electronics Springer-Verlag New York 2 Datta S and Das B 1990 Appl Phys Lett 56 665 3 Ashley T Dean A B Elliott C T Pryce G J Johnson A D and Willis H 1995 Appl Phys Lett

66 481 4 Schmidt G et al 2000 Phys Rev B 62 R4790 5 Rashba E I 2000 Phys Rev B 62 R16267 6 Zwierzycki M et al 2003 Phys Rev B 67 092401 7 Wang R et al 2005 Appl Phys Lett 86 052901 8 Lou X H Adelmann C Crooker S A Garlid E S Zhang J Reddy K S M Flexner S D

Palmstrom C J and Crowell P A 2007 Nature Phys 3 197 9 Koo H C Yi H Ko J B Chang J Han S H Jung D Huh S G and Eom J 2007 Appl Phys Lett

90 022101 10 Singh L J et al 2007 J Phys D Appl Phys 40 3190

Fig 3 a) STEM HAADF image of InAsMgOCo showing 10nm line trace b) STEM bright field image recorded simultaneously and c) Low loss EELS spectra recorded every 05nm along line trace running from right to left (from InAs to Co) with the zero loss peak

010

2030

4050

6070

8090

510

1520

2530

3540

45

Distance along line profile (nm)

Energy loss (eV) 010

2030

4050

6070

8090

510

1520

2530

3540

450

1020

3040

5060

7080

90

510

1520

2530

3540

45

Distance along line profile (nm)

Energy loss (eV)

2 nm 2 nm2 nm 2 nm

(a) (b)

(c)

Co MgO Co InAs InAs MgO

line trace

InAs

Co

Core Composition of Partial Dislocations in N-Doped 4H-SiC Determined by TEM Techniques Dislocation Core Reconstruction and Image Contrast Analysis Michaeumll Texier Maryse Lancin Gabrielle Regula and Bernard Pichaud TECSEN UMR 6122 CNRS Universiteacute Paul Ceacutezanne Aix-Marseille III 13397 Marseille cedex 20 France Summary Defects were created in N-doped 4H-SiC by cantilever bending from a scratch on the ( )0211 surface under compression They consist of two stacking faults (double stacking faults) expanding from the scratch in ]0011[ or ]1001[ directions The character and core composition of the leading Shockley partial dislocations were determined by coupling WB LACBED contrast analysis of ( )0211 HRTEM images and dislocation core reconstructions Each double stacking fault is due to the glide of a pair of identical Si-core partial dislocations in two adjacent glide planes in which the Si-C dumbbells exhibit the same orientation Such a feature as well as the asymmetrical expansion of the defects is related to lack of mobility of C-core partial dislocations in that range of temperatures (550 degC-700 degC) 1 Introduction Silicon carbide which is both a ceramic and a wide band gap semiconductor holds promise as a material for power electronics high frequency and high temperature applications It thus receives considerable attention both from the point of view of applied and fundamental science One major point of concern remains the velocity versus the core composition of the Shockley partial dislocations (PDs) Indeed most of the experiments demonstrate the highest velocity of Si-core PDs [1-6] whereas a few others show similar mobility for Si-core and C-core PDs [78] Moreover first principles calculations give lowest activation energies of migration for the C-core PDs [910] To contribute to the debate we studied the dislocation dynamics in SiC We applied a deformation procedure which allows the introduction of dislocations under controlled stresses We selected N-doped 4H-SiC because being the most promising for applications it is available in crystals of high crystalline perfection and controlled impurity content This paper deals with the characterization of the created defects by combining various transmission electron microscopy (TEM) techniques 2 Experimental Details The 20 x 5 x 02 mm3 samples were cut in N-doped (2 x 1018 cm-3) 4H-SiC purchased from Cree research The sample surface was parallel to the ( )0211 plane and the ( )0001 glide planes made a 45deg angle with the tension compression axis (X) (Fig 1) The samples were scratched on their ( )0211 face in a direction parallel to X bent at room temperature around the Y axis and annealed in the brittle regime either at 550 degC or 700 degC for 30 or 1 minute respectively The emergence on the surface of planar defects parallel to the ( )0001 plane was revealed by etching with molten KOH ( )0211 Thinned foils were prepared by mechanical grinding and ion thinning of the back side of the samples Thinned (0001) films were realized at the tip of the etched defects by focus ion beam (FIB) milling Weak-beam (WB) dark-field imaging was performed at 200 kV with a FEI Tecnai G20 microscope large angle convergent beam electron diffraction (LACBED) analyses were carried out with a JEOL

158 Michaeumll Texier et al

2010F microscope operating at 198 kV and HRTEM imaging with a 400 kV JEOL 4000EX microscope

Fig 1 Schematic ( )0001 thinned foils prepared by FIB at the tip of planar defects

Fig 2 WB dark-field image obtained on a ( )0001 FIB foil at planar defect tip revealing a partial dislocation pair

3 Results Planar defects were created in the sample annealed in the brittle regime under compressive stress Based on their length and expansion direction three families of defects were distinguished and labeled A B and C [11] In the P1 = ]1001[ direction A expanded versus the stress and might reach millimeters while B never exceeded 200 microm whatever the stress In the P2 = ]0011[ direction the C length decreased as a function of the stress from about 400 microm to almost zero

At the tip of all defects WB imaging always revealed not one but two PDs (Fig 2) Both PDs in one pair had almost parallel lines (L) close to one Peierls Valley the orientation of which depended on A B or C Moreover LACBED demonstrated that in each pair both PDs had the same Burgers vectors line direction and modulus (Fig 3) The dislocation pairs were characterized (Fig 4) using the gb=m relation [12] derived from the Cherns amp Prestonrsquos rules [13] m is the non-integer value deduced from the expression m = plusmn (p + β2π) where β is the positive phase shift produced by the trailed staking fault (β = α if α gt 0 or β = α + 2π if α lt 0) Finally the three families of planar defects were dragged by PD pairs which exhibited the following characteristics for A defects L = ]1021[ b = a3 ]0011[ for B defects L = ]2011[ b = a3 ]0110[ and for C defects L = ]0112[ b = a3 ]1001[ Note that all the PDs had a 30deg character near the sample surface

Fig 3 Experimental LACBED pattern showing the Bragg line splitting due to the PD and the DSF

Fig 4 Splitting rules used to determine the Burgers vector of two PDs for which the phase shift produced by the DSF in the basal plane is α = 0 2π3 or -2π3

Core Composition of PDs in N-Doped 4H-SiC 159

The stacking faults created by the PD glide were investigated by HRTEM on ( )0211 thinned-films All of them consisted of six cubic Si-C bi-layers showing one or the other of the two possible stackings that we named a-3C and b-3C (Fig 5) These stackings are defined in the ( )0211 plane due to the P63mc structure of 4H-SiC Such stackings could only be obtained if the two PDs glide in two consecutive glide planes labelled G1G2 and G3G4 in which the Si-C dumbbells have the same orientation In the literature such six cubic bi-layers are called double stacking faults (DSF) thus we hereafter label the defects DSFA DSFB and DSFC It is noteworthy that the a-3C stacking was observed in DSFAs and the b-3C one in DSFBs and DSFCS

Fig 5 Cubic stackings labeled a-3C and b-3C which are due to the glide of a PD pair in G1G2 or G3G4 glide planes respectively In the [ ]0211 image the white dots correspond to the projections of Si and C atomic columns which are 0109 nm apart and cannot be distinguished The locations of Si and C (large and small circles respectively) are deduced from the respective positions of the white dots when the dumbbell orientation changes [14]

The core composition of the PDs was obtained by core reconstruction We first localized the

projections of Si and C atomic columns by analysing of the image contrast [14] We then reconstructed the PD core using the structural unit characteristic of a 30deg PD first proposed in Si [15] and observed in SiC [16]

Fig 6 Core reconstruction of a 30deg PD pair dragging a DSFB in G3G4 The Burgers circuit is drawn according the FSRH convention It gives the projection of b measured by LACBED

Fig 7 Core composition of a faulted half loop with b = a3 [ ]0110

160 Michaeumll Texier et al

Figure 6 displays the reconstruction for the 30deg PD pair dragging a DSFB in the P1 direction A column of Si trivalent atoms linking the three faulted circles the PD has a Si-core Using the same method we found that the three types of PD pairs dragging the three populations of DSFS had a silicon core

To understand the asymmetric propagation of the DSFs either in P1 or P2 we draw the possible faulted half loops based on the b determination by LACBED and the core reconstructions Figure 7 shows such a loop corresponding to a DSFB Note that the loop exhibits a C-core segment in the P2 direction 4 Discussion

The deformation procedure of 4H-SiC has created DSFS dragged by Si-core Shockley PDs Leading C-core partials were never identified The lack of expansion of the DSFB in the P2 direction implies that the C-core segment is immobile during the deformation The same conclusion is reached with DSFA and DSFB Moreover the immobility of C-core partials at such temperatures is responsible for the systematic formation of pairs of identical PDs despite the repulsive forces between them as detailed elsewhere [17] Hence our results contradict the calculations which found a highest velocity for the C-core PDs [1011] They confirm those obtained by Pirouz and co-workers [2] in the brittle regime as long as PD mobility is concerned They differ from those of Mussi et al who found both Si-core and C-core leading PDs at 550degC [8] The discrepancy between experimental results may be related to the different nucleation process and deformation conditions We are carrying out studies to answer this question 5 Conclusion The determination of the core composition of the partial dislocations needs to match complementary TEM techniques with dislocation core reconstructions The results confirm that C-core partial dislocations are immobile at such temperatures in agreement with most of the experimental work References 1 Ning X J and Pirouz P 1996 J Mat Res 11 884 2 Ning X J Huvey N and Pirouz P 1997 J Am Ceram Soc 801645 3 Samant A V Zhou W L and Pirouz P 1998 Phys Stat Sol(a) 166 155 4 Demenet J-L Hong M H and Pirouz P 2000 Mat Sci Forum 338-342 517 5 Samant A V Hong M H and Pirouz P 2000 Phys Stat Sol (b) 22275 6 Pirouz P Demenet J-L and Hong M H 2001 Phil Mag A 81 1207 7 Chung H J Liu J Q and Skowronski M 2002 App Phys Lett 81 3759 8 Mussi A Rabier J Thilly L and Demenet J-L Phys Stat Sol (c) to be published 2007 9 Blumeneau AT Fall C J Jones R Oumlberg S Frauenheim T and Bridon P R 2003 Phys Rev B

68 174108 10 Savini G Heggie M I and Oumlberg S 2006 Faraday Discuss 11 Regula G Lancin M Idrissi H Pichaud B and Douin J 2005 Phil Mag Lett 85 259-267 12 Texier M Regula G Lancin M and Pichaud B 2006 Phil Mag Lett 86(9) 529 13 Cherns D and Preston A R 1986 proc 11th ICEM Kyoto Jpn Soc Elect Micr 1 721 14 Ragaru C Lancin M and Godon G 1999 Eur Phys J AP 5 135 15 Marklung S 1979 Phys Stat Sol(b) 92 83 16 Lancin M Ragaru C and Godon C 2001 Phil Mag B 81 1633 17 Lancin M Regula G Texier M Idrissi H Douin J and Pichaud B 2007 Phil Mag submitted

Three-Dimensional Atom Probe Characterisation of III-Nitride Quantum Well Structures Mark J Galtrey Rachel A Oliver Menno J Kappers Colin J Humphreys Debbie J Stokes1 Peter H Clifton2 and Alfred Cerezo3 Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK 1 Department of Physics University of Cambridge Madingley Road Cambridge CB3 0HE UK 2 Oxford nanoScience 4-6 Carters Lane Kiln Farm Milton Keynes MK11 3ER UK 3 Department of Materials University of Oxford Parks Road Oxford OX1 3PH UK Summary An InxGa1-xNGaN multiple quantum well (MQW) structure that exhibited bright photoluminescence was examined with the three dimensional atom probe The quantum wells were clearly imaged and the indium fraction x measured to be 019 plusmn 001 was in good agreement with X-ray diffraction measurements The distribution of indium in the MQWs was analysed no evidence for either high indium concentration regions or indium clustering was found in contrast with transmission electron microscopy studies in the literature We conclude that indium clustering is not necessary for bright luminescence in InGaN 1 Introduction Optoelectronic devices based on InxGa1-xN quantum well (QW) structures can emit bright light over a range of visible wavelengths The GaN pseudo-substrates on which the InxGa1-xN is usually deposited have high threading dislocation (TD) densities (up to 109 cm-2) but bright luminescence still occurs This is despite the fact that these TDs are believed to act as non-radiative recombination centres for carriers There is strong evidence [1] that excitons are localised at specific positions in the quantum wells where there are local potential minima and so are very likely to recombine radiatively before they would be able to diffuse to a dislocation Theoretical work [2] has predicted that unstrained InxGa1-xN could be unstable with respect to spinodal decomposition under typical growth conditions If nanometre-scale variations in the indium content of the QW were present they would result in local potential fluctuations and so such variations have often been proposed as a cause of exciton localization

Examination of InxGa1-xN QWs by high resolution transmission electron microscopy (HRTEM) has shown local deviations of the lattice fringes and strong contrast variations This has been interpreted as strain contrast arising from local variations in indium content Using Vegardrsquos Law it is possible to quantify the compositions associated with this contrast and the results have led authors [3] to propose regions in the QWs with x gt 075 or even pure InN clusters [4]

Smeeton et al [5] demonstrated that this contrast increases markedly with increasing exposure time to a 200kV electron beam in the TEM and is present only at very low levels for short (~20 second) electron beam exposure times This suggests that the strain contrast observed in many HRTEM images is at least to a large extent a consequence of exposure to the electron beam and is not due to the presence of high indium content regions in the original specimen

As a result of this problem with electron beam damage in the TEM it would be highly desirable to be able to examine InxGa1-xN QWs on a nanometre scale without exposure to high energy electrons It would also be highly beneficial to obtain direct three-dimensional

162 Mark J Galtrey et al

information about the atomic positions to avoid the projection problem from which conventional TEM imaging suffers In addition an ideal technique would chemically identify each atom detected to give direct three-dimensional compositional information on a nanometre scale

The three-dimensional atom probe (3DAP) has been shown to provide three-dimensional nanometre-scale information about composition variations in ternary III-V semiconductor multilayer structures [6] and so is an ideal technique to identify whether indium rich clusters are present in InxGa1-xN QWs The 3DAP applies a high DC electric field to the tip of a pre-sharpened sample combined with repeated high-frequency pulses to generate field evaporation of individual atoms from this tip Voltage pulses are used for analysing metal samples but for less conductive materials as in this work laser pulses are used By combining time-of-flight mass spectrometry the x-y position at which ions strike a detector and their sequence of arrival it is possible to reconstruct a three-dimensional atom map of the sample including the chemical identity of each detected atom Collection rates of greater than one million atoms per minute are possible for GaN-based materials 2 Experimental An InxGa1-xNGaN multiple quantum well (MQW) sample similar to those studied in HRTEM by Smeeton et al was grown by metal-organic vapour phase epitaxy in a Thomas Swan close-coupled showerhead reactor on a GaN pseudo-substrate consisting of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 540 degC Trimethylindium (TMI) trimethygallium (TMG) and ammonia were used as precursors For the growth of the quantum well stack N2 was used as a carrier gas The QWs and barriers were grown at a single temperature of 740 degC and during QW growth the molar flows of TMI and TMG were 8 micromolminute and 55 micromolminute respectively The VIII ratio during InxGa1-xN growth was ~33000 No GaN cap was grown above the final GaN barrier layer

The luminescence of the wafer was measured using room-temperature photoluminescence (PL) and the composition and thickness of both the InxGa1-xN QW layers and the GaN layers were determined by high resolution x-ray diffraction (HRXRD) following the method of Vickers et al [7] The QWs were also examined using TEM (Phillips CM30 dark field g = 0002) and the evolution of contrast with increasing exposure to the electron beam was observed

Needle-shaped 3DAP specimens (with tip radius lt 100 nm and aspect ratio gt 101) were prepared from the wafer using an FEI DualBeam Quanta 3D combined focused ion beam miller (FIB) and scanning electron microscope (SEM) fitted with an in-situ Kleindiek micromanipulator

The atom probe used for this study was a commercial Oxford nanoScience Ltd 3DAP instrument fitted with a prototype laser module (pulse duration ca 450 fs and λ = 515nm) Field-ion microscopy (FIM) was performed in a Ne atmosphere at 3 times 10-5 Torr 3DAP analysis was performed in a vacuum of le 5 times 10-11 Torr The base specimen temperature was approximately 65 K for both field-ion microscopy and atom probe analysis

Fig 1 QWs (left) after very little exposure to the electron beam and (right) the same region after approx 60 seconds exposure to the electron beam

Three-Dimensional Atom Probe Characterisation of III-Nitride QW Structures 163

3 Results and Discussion 31 Basic Sample Characterization The XRD data was analyzed by comparing data collected in both a high resolution and open detector configuration with simulated data from a model of the multilayer structure The layer thicknesses and compositions in the model were optimized to fit the experimental data In this way the sample was determined to consist of GaN layers of thickness 695 plusmn 010 nm and InxGa1-xN layers of thickness 238 plusmn 010 nm and indium fraction x = 0183 plusmn 0005

In the PL experiments the wafer was seen to emit bright blue light There was some inhomogeneity in emission wavelength between different regions of the wafer but in the area from which the atom probe sample was subsequently made the peak emission wavelength was 454 nm

On examination of a cross-sectional TEM sample made from the wafer the 10 QWs were clearly visible After 60 seconds of exposure to the electron beam a greatly increased amount of strain contrast developed giving the QWs the appearance of containing indium-rich clusters (Fig 1) 32 FIB-Based Sample Preparation for Atom Probe Samples When preparing the atom probe samples in the FIBSEM precautions must be taken to protect the samples It was essential to avoid exposure of the QW region to high energy electrons Consequently all SEM imaging was performed at 5 kV and exposure times and currents were minimized In addition it was necessary to avoid Ga implantation especially as it would not be possible to distinguish between Ga originally present in the GaN and that implanted from the ion beam Therefore the top surface of the wafer was protected by a ~30 nm sputter-deposited gold layer and additionally in the region of interest by ~500 nm of platinum deposited with the ion beam in the FIB

The sampling depth of the 3DAP can be as little as 100 nm due to progressive blunting of the sample during field evaporation so it was necessary to ensure that the QW region was within 100 nm of the apex of the sharpened needle To ensure this the back-scattered electron detector was used in the SEM to give clear contrast between the Pt and Au deposited layers and the GaN so that the annular milling could be stopped just as the last of the Pt and Au was removed leaving the QWs at the sample apex The final tip radius of the sample was ~50 nm with a shank length of ~2 microm Final annular milling was performed at 10 pA to minimize Ga implantation The method is summarised in Fig 2 33 Atom Probe Data From the atom probe data it was possible to reconstruct a small volume of the sample approximately 20 x 20 x 40 nm (Fig 3) Four of the QWs were clearly visible with the indium

Fig 2 Clockwise from top left lifting out a thin membrane from the wafer using a micromanipulator transferring a portion of the membrane on to a mounting wire a sample ready for sharpening by annular ion beam milling a finished sample

164 Mark J Galtrey et al

levels in the barrier layers being around the noise level of the instrument The indium content of the QWs was measured to be x = 019 plusmn 001 showing good agreement with the HRXRD value

The distribution of indium within the QWs was analysed and compared to that expected in a random ternary alloy No part of any QW was found to have an indium content of x gt 033 A χ2 test gave a value of 122 for 11 degrees of freedom which shows no significant deviation from the random case There is no evidence for indium-rich clusters in this sample which also emits bright light and so it appears that indium-rich clusters are not essential for bright luminescence 4 Conclusions The 3DAP provides a reliable and accurate method for examining GaN-based QW structures on a nanometre scale It has significant advantages over conventional TEM imaging in that it provides direct three-dimensional information about both the position and the chemical identity of the atoms in the sample It also avoids exposure of the sample to high energy electrons which in the case of InxGa1-xN QWs has been shown to cause significant damage to the sample As a result of these advantages we have been able to show that indium-rich clusters are not required for bright luminescence in these structures References 1 Graham D M Soltani-Vala A Dawson P Godfrey M J Smeeton T M Barnard J S Kappers M J

Humphreys C J and Thrush E J 2005 J Appl Phys 97 103508 2 Ho I H and Stringfellow G B 1996 Appl Phys Lett 69 2701 3 Gerthsen D Hahn E Neubauer B Rosenauer A Schon O Heuken M and Rizzi A 2000 phys stat sol

(a) 177 145 4 Ruterana P Kret S Vivet A Maciejewski G and Dluzewski P 2002 J Appl Phys 91 8979 5 Smeeton T M Humphreys C J Barnard J S and Kappers M J 2003 phys stat sol (b) 240 297 6 Liddle J A Mackenzie R A D Grovenor C R M and Cerezo A 1989 Inst Phys Conf Ser 100 81 7 Vickers M E Kappers M J Smeeton T M Thrush E J Barnard J S and Humphreys C J 2003 J Appl

Phys 94 1565

Fig 3 Atom map of a reconstructed volume with only the indium atoms shown Four of the QWs are clearly visible The growth direction is from right to left in the figure

Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging V Grillo and E Carlino Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 Km 1635 34012 Trieste Italy Summary The accurate measurement of chemistry of epitaxial layers by high angle annular dark field imaging requires knowledge of the scanning transmission electron microscopy specimen thickness Here it is shown how the study of the intensity of the Fourier coefficients of high angle annular dark field images as a function of the objective lens defocus can be used to measure the specimen thickness with the highest accuracy in the location of the area of interest 1 Introduction The sensitivity of high angle annular dark field (HAADF) scanning transmission electron microscopy (STEM) imaging to the specimen chemistry [1][2] makes it a powerful tool for analytical application at the highest spatial resolution One of the fields of particular interest in which this approach can be applied is in the study of the chemical profile of a species buried in a host matrix or in the study of the composition of a film grown on a substrate or in the study of the chemistry of an interface between two materials [3] For example a method recently proposed uses the HAADF intensity measured in the region of interest and on the relevant substrate coupled with computer simulation of the HAADF image contrast as a function of the composition to derive the chemistry in the unknown region with atomic resolution [4] Unfortunately the HAADF intensity in the region of interest and in the reference region does not change in the same way as a function of the specimen thickness and can produce large errors in the chemistry determination There are several methods to measure the TEM specimen thickness based for example on the measurement of the spacing between the parallel fringes in the diffracted disc of a two beam-condition convergent beam electron diffraction (CBED) pattern [5] or by using the electron energy loss spectrum (EELS) as acquired in the region of interest [6] or by the projection method In general the method chosen depends on the thickness of the specimen to be measured and it is of course necessary to check with accuracy that the region where the thickness is measured is precisely coincident with the zone where the chemistry has to be studied Hence it would be highly desirable to have a method able to quantify the sample thickness from the HAADF image itself In a recent publication a new method to measure the objective lens defocus during a STEM HAADF experiment has been reported [7] The method is based on the study of the behaviour of the Fourier coefficients of an atomic resolution HAADF through focal series of images Here it will be shown by HAADF image simulations and experiments how the behaviour of the Fourier coefficients of HAADF image series as a function of the objective lens defocus can be also used to measure the relevant specimen thickness The proposed method has been studied versus important experimental parameters like illumination system astigmatism local sample tilt and degree of centring of the illumination aperture In an accurate HAADF experiment with illumination astigmatism better than 2nm the method provides an accuracy of 2nm in specimen thickness measurement

166 V Grillo and E Carlino

2 Experimental STEM experiments were performed in a JEOL 2010F equipped with field emission gun and STEM attachment with HAADF detector The use of an objective pole piece with a measured spherical aberration coefficient Cs = (047plusmn001) mm and an accelerating voltage of 200kV gives a resolution of 0126 nm in HAADF imaging The experiments were performed on a specimen of self organized InAs quantum dots grown on GaAs (001) by molecular beam epitaxy [8] thinned to electron transparency by mechanical grinding and final ion beam milling following a well established procedure The presence of the quantum dots was very useful to identify with high accuracy the region where the specimen thickness was measured by the projection method and by the new method here proposed based experimentally on the acquisition of a series of HAADF images as a function of the objective lens defocus All the HAADF images were obtained with an illumination convergence angle of 14mrad and detector collection angle of 84 le 2ϑ le 224mrad The experimental defocus was evaluated by the analysis of the ronchigram and a series of images were acquired starting from the estimated gaussian defocus to an under-focus of 120nm The intensity I in a HAADF image can be written as I = O otimes P 2 where O is the object function and P2 is the electron probe Hence each Fourier coefficient C(k) of the image can be written as )()(~)( kHkOkC sdot=

being )(~ kO and )(kH the relevant Fourier transform coefficients of O and P 2 While )(~ kO is in principle independent of defocus the dependence of )(kH on defocus can be numerically calculated for a suitable image periodicity This property has been used to evaluate the defocus of the objective lens from the HAADF experimental images by fitting the experimental value of )(kC measured on every image with the simulated value of )(kH and finding the experimental defocus value with an accuracy within 2nm The ratio of the maxima of )(kC is a function of the specimen thickness (Fig 1) The dependence of the ratio of the maxima of )(kC can hence be used to measure the specimen thickness The computer simulations for )(kH were performed according to the experimental conditions by using STEM_CELL code [9] 3 Results and Discussion Figure 1a shows the Clt111gt Fourier coefficients simulations for GaAs in lt110gt zone axis as a function of the objective lens defocus for four STEM specimen thicknesses It should be noted how the ratio ldquoRrdquo between second maximum and first maximum depends on the thickness t R has been calculated for a larger interval of specimen thickness and the results are reported in Fig 1b The monotonic dependence of R vs thickness has been also observed for other materials systems Hence the knowledge of R(t) could be used to derive the specimen thickness from the experiments by measuring the Clt111gt as a function of the objective lens defocus

Fig 1 a) Plot of the Clt111gt Fourier coefficients simulations for GaAs in lt110gt zone axis as a function of the objective lens defocus for four specimen thicknesses b) Dependence of R vs thickness according to simulations

Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging 167

Unfortunately R(t) is also influenced by the experimental conditions and in particular by the objective lens astigmatism The role of the probe astigmatism is particularly subtle as it depends on the size of the astigmatism and also on the angle φ formed by the astigmatism axis and the considered frequency in the experimental image in the case studied here the lt111gt direction let us define this angle as the lsquoastigmatism anglersquo It is worth nothing that in the atomic resolution HAADF image of GaAs in lt110gt zone axis there are two equivalent lt111gt directions as this aspect can be used as an internal check of the accuracy of the experimental measurements as described below Figure 2a is a map of the Clt111gt for GaAs as a function of the lens defocus and astigmatism angle for electron probe astigmatism of 10nm The higher the intensity is in Fig 2a the higher will be the value of the Clt111gt in the image The line profile parallel to the x-axis represents the Clt111gt as a function of defocus for a particular direction in the image and hence for a given astigmatism angle As an example in the Fig 2a two profiles are shown for φ = 45oand φ = 90o At angles of 0deg and 90deg the illumination astigmatism determines a rigid shift of the curve 2a to higher or lower defoci (for comparison the abscissa of the maximum value for stigmatic focus is indicated by a dash line) Using these curves for the thickness determination would not affect the final result However for an astigmatism angle of 45deg (bottom curve) the curve of Fourier coefficients vs defocus appears considerably deformed an additional peak appears at 38nm from the main maximum where a minimum would be expected In this case the thickness determination procedure would fail dramatically For reliable measurements the astigmatism value should be of the order of 2nm In this case the error in the thickness determination would be ~1nm or 2nm depending on specimen thickness

Fig 2 a) Map of the Clt111gt for GaAs as a function of the lens defocus and astigmatism angle φ for

electron probe astigmatism of 10nm Two representative profiles are shown for φ = 45o and φ = 90o b) Plot of the influence of the size of the illumination aperture on R

Figure 2b shows the influence of the size of the illumination aperture on R The value of

α =14mrad as used in the experiments is a good choice for thickness evaluation since it increases the visibility of the secondary peak It should be pointed out that the experimental illumination angle has to be measured with high accuracy as an uncertainty of plusmn 04 mrad in α produces a thickness measurement between 96 and 123 nm for a nominally 10nm thick sample Another experimental parameter to be accurately measured is the spread of focus which influences the probe simulation The effect of spread of focus is not only to broaden Fourier coefficient vs defocus curve but also to decrease the secondary peak intensity with respect to the main maximum A spread of focus of ∆ = 3nm introduces a systematic decrease of the secondary maximum intensity Additional parameters like objective aperture misalignment and sample tilt have been also considered In particular it is important to align the illumination aperture correctly a shift δα =12mrad can produce a decreases of the secondary maximum of 50 in the direction of shift However in the absence of other misalignment effects this aperture shift can be revealed by comparing the value of R between the two lt111gt directions in the experimental image The effect of the correctness of the sample tilt can have significant influence for errors in the alignment of the zone axis with respect to the direction of the electron beam larger than 2mrad and hence the appropriate accuracy has to be used during the experiments

168 V Grillo and E Carlino

Fig 3 Results of the thickness measurements by HAADF imaging (y-axis) compared with the projection method (x-axis) The dotted line corresponds to the case of exact coincidence of the two measurements The inset shows the through focal measurement and the fitting

Figure 3 shows the results of the thickness measurements by HAADF imaging (y-axis) in

comparison with the projection method (x-axis) Figure 3a points also to the influence of the illumination astigmatism on the accuracy of the present method in the STEM thickness measurements In fact in three cases indicated by thick squares 10nm of astigmatism in the HAADF experimental images produce measurements with errors comparable with the projection methods If the experimental conditions are accurately tuned as in the case shown in the inset with an illumination astigmatism better than 2nm the relevant datum marked by the ring in Fig 3a indicates how the present method allow a small uncertainty of about 2 nm in the specimen thickness measurement 4 Conclusions The analysis of the Fourier coefficients of a HAADF through focal image series can be used to evaluate the specimen thickness with good accuracy The sources of errors have been analysed indicating as most critical the presence of probe astigmatism the uncertainty in the illumination angle and the illumination aperture centring Nevertheless if the experiment is performed with accuracy the method has the advantage of giving accurate thickness measurement with the highest accuracy in the location of the zone of interest The specimen thickness measurement by this method allows an increase of accuracy in the chemistry assessment based on HAADF image contrast measurement References [1] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New

York [2] Voyles P M Grazul J L and Muller D A 2003 Ultramicroscopy 96 251 [3] Colli A Carlino E Pelucchi E Grillo V and Franciosi A 2004 J Appl Phys 96 2592 [4] Carlino E Grillo V 2005 Phys Rev B 71 235303 [5] Kelly P M Jostsons A Blake R G and Napier J G 1975 Phys Stat Sol A31 771 [6] Williams D B and Carter C B 1996 ldquoTransmission Electron Microscopyrdquo Plenum Press NY 678 [7] Grillo V and Carlino E 2006 Ultramicroscopy 106 603 [8] Bais G Crisofoli A Jabeen F Piccin M Carlino E Rubini S Martelli F and Franciosi A 2005

Appl Phys Lett 86 233107 and note 10 therein [9] Grillo V Verecchia P Rosato V and Carlino E 2005 Proceedings MCEM VII Portoroze

(Slovenia) 163

STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging A Rosenauer and M Schowalter Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee 1 28359 Bremen Germany Summary Z-contrast high-angle annular dark field image intensities were computed for ZnS and InSb We compare results obtained by the frozen phonon approximation with a thermal diffuse scattering (TDS) absorptive potential method We find that the TDS absorptive potential approach in the local approximation deviates by less than 4 from the frozen phonon approximation Finally we suggest a method to use absorptive potentials in the local approximation for computing the contribution from TDS to the image intensity in conventional parallel illumination mode

1 Introduction Scanning transmission electron microscopy (STEM) using a high-angle annular dark field (HAADF) detector allows chemically sensitive imaging Although the integrated intensity on the detector depends on the mean atomic number Z of atomic columns illuminated by a focussed probe this intensity shows a non-trivial dependence on specimen thickness and orientation due to dynamical electron diffraction Therefore quantitative interpretation of Z-contrast images requires comparison with simulations To this end we programmed a MATLAB software tool for simulation of Z-contrast images using Weickenmeier-Kohl absorptive scattering amplitudes [1] We implemented the frozen phonon (FP) approximation which has the highest accuracy as it approaches the exact solution if a large number of atomic thermal displacement configurations are used [2] Additionally we included the TDS absorptive potential method suggested by Allen et al [3] and Ishizuka [4] The TDS-absorptive potential is defined by [34]

( ) ( ) ( ) ( ) ( )[ ]( )intsum minus+minusminuslowastminusminus

minussdotπ minusΩσ

=detector

2MM-M-M-i2 deeee~ 2

n2

n2

n2

n ShSgShghSgS

hgrh-ggh

nn

nffV n

(1)

where n counts the atoms with positions rn within the crystal unit cell σ is the interaction constant Ω is the volume of the crystal unit cell g and h are reciprocal lattice vectors 222 nn uM π= is the Debye-

Waller factor which is connected with the atomic displacement un and nfg is the scattering factor of

atom n In the local approximation it is assumed that LVVV ghghgh minusminus =asymp ~~~0 [3] The local approximation

is especially useful in connection with the multislice (MS) method Using the TDS absorptive potential in real space given by [ ]LL VFTV hRhR ~)( 1minus

rarr= where R is a coordinate vector in the exit plane of slice m

with thickness ∆z the TDS intensity stemming from slice m is given as [34] RRR 2

m slice of plane centre

L d)()(Vint∆σ= BmTDS IzI

(2)

where IB(R) is the Bragg-scattered intensity on the centre plane of slice m The total intensity on the detector is obtained by summing up the contributions from all the slices The fastest computation can be carried out with the Bloch-wave (BW) approach because the integration along the electron beam direction z can be calculated analytically In this case one obtains [3]

[ ]( )

αγminusγπ

minusγminusγπασ= sumsumsumsum lowastlowast

lowast

lowast

g hgghh l

Tmm

ml

ml

l mlTDS CVC

itiI

~)(2

12exp (3)

170 A Rosenauer and M Schowalter

Fig 1 a) TDS absorptive potential for ZnS for a specimen thickness of 1224 nm given in units of V The dashed rectangle marks the STEM-unit cell with its upper left corner centered on a Zn atomic row b)Amplitude of the object exit wave function for a tunnel position as marked by the white arrow in a) The total intensity of the STEM-probe is one

In equation (3) t is the specimen thickness lα is the excitation amplitude of the l-th Bloch wave lγ is the corresponding anpassung and the lC g are the Bloch-wave coefficients The Bloch wave approach has the advantage that both local and non-local approximations for the TDS absorptive potential can be used 2 Z-Contrast HAADF Computations for ZnS and InSb To compare results obtained with different approximations we performed calculations for ZnS and InSb viewed along the [1-10] direction In both cases the acceleration voltage was 200 kV the spherical aberration constant of the lens was CS = 005 mm the aperture radius was 9 nm-1 and the defocus was -1293 nm ZnS was simulated with a lattice parameter a0=05409 nm and square root mean thermal displacements of 001166 nm for Zn and 001082 nm for S For InSb we used a0=064794 nm and mean thermal displacements obtained from density functional theory computations of 001253 nm (In) and 001164 nm (Sb) In the MS simulations the slice thickness was 20a Supercells consisting of 9x7 (ZnS) and 7x5 (InSb) STEM unit cells were used For the Bloch-wave computations reflections up to a spatial frequency of 33 nm-1 (ZnS) and 30 nm-1 (InSb) were taken into account For the FP method 20 configurations were averaged Figure 1a shows VL(R) for ZnS and Fig 1b the STEM-probe in the exit plane of the ZnS crystal with a thickness of 1224 nm Figures 2a and b compare intensities on the detector obtained for a probe put on the centre of the STEM unit cell The curves show that the local approximations using MS and BW yield almost identical results To obtain good convergence a large number of pixels are required for FP Figure 2a shows that a resolution of 54x74 pixels per STEM unit cell yields significantly larger intensities than 114x146 pixels The converged FP intensities are in very good agreement with MS or BW in the local approximation the intensities obtained by the non-local approximation (BW) are slightly larger For the MS approach the results are independent of the pixel resolution as long as the requirements given by the size of the detector are fulfilled Figures 2cd show the angle distribution of the intensity on the detector For MS contributions from Bragg scattering and TDS scattering can be separated revealing that the contribution from Bragg scattering becomes negligible for angles larger than 40 mrad Comparison of the total intensity with the results from FP shows a small deviation In the FP approximation multiple scattering of TDS electrons is fully taken into account leading to a redistribution of the intensity However this has only a small influence on the integrated intensity on the detector as becomes obvious from Figs 2ab Figures 2ef give line scans performed along the left vertical border of the STEM unit cell Due to long computation times only the FP result for the smaller resolution is given in Fig 2e

a) b)

STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging 171

Fig 2 a) Intensity on the detector vs specimen thickness for ZnS for the probe position in the center of the STEM-unit cell shown in Fig 1a The detector covered an area of 40-80 mrad The different curves correspond to the frozen phonon approximation (FP) the Bloch wave method in local (BW-AP local) and non-local (BW-AP non-local) approximations and the multislice (MS-AP local) method using TDS absorptive potentials The FP computations were performed with two different sizes of the STEM unit cell 54x74 pixels (FP 54x74) and 114x146 pixels (FP 114x146) b) shows the corresponding result for InSb For c) and d) the detector covering 40-150 mrad was subdivided into 20 rings and the intensity of each of the rings is plotted vs its inner angle for ZnS c) and InSb d) The curves show the total intensity obtained with frozen phonon (FP) or multislice using TDS-absorptive potentials (MS-AP total) together with the contributions from only Bragg scattering (MS-AP Bragg) or only TDS-scattering (MS-AP TDS) e) and f) depict line scans along the left vertical border of the STEM-unit cell shown in Fig 1a

172 A Rosenauer and M Schowalter

3 An Approximation to Compute TDS-Intensities for Parallel Illumination As the local approximation shows very good agreement with the FP approach we tried to use it to compute the TDS contribution to the image intensity in the parallel illumination mode of conventional transmission electron microscopy An exact solution which is computationally much more demanding has been given by Wang [2] Figure 3a shows the TDS intensity in the object exit plane at a specimen thickness of 20 nm obtained for InSb by the FP method by computing 500 wave functions corresponding to different thermal displacement configurations excluding Bragg reflections from the Fourier-transformed wave functions inverse Fourier transform and finally summing the resulting TDS intensities Fourier coefficient amplitudes of the total intensity are shown as dark curves in Fig 3d These Fourier coefficients significantly deviate from coefficients computed by a conventional MS approach where the TDS intensity is lost and cannot contribute to the total intensity shown in Fig 3c In our approach we apply the MS method and use the TDS scattered intensity generated at position R in the exit surface of slice m as given by the integrand in equation (2) A point source with intensity one is put at position R which is assumed incoherent with respect to all other point sources generating TDS intensity The image intensity )( RRG at position Rrsquo in the image plane generated by the point source in R is computed with the MS method The TDS intensity in the object exit plane at position Rrsquo then is given by

sum int=

∆=M

mBTDS GIzI

1

2

m slice of plane centre

L d)()()(V)( RRRRRR σ (4)

The resulting TDS-intensity for InSb is shown in Fig 3b which is in very good agreement with the TDS-intensity obtained by the FP method Fourier components of the total image intensity obtained by adding Bragg-scattered and TDS intensities are depicted as grey colored curves in Fig 3d The curves are in good agreement with the FP approximation and thus yield a significant improvement of the conventional MS approach References 1 Weickenmeier A and Kohl H 1991 Acta Cryst A47 590 2 Wang Z L 2003 Micron 34 141 3 Allen L J Findlay S D Oxley M P and Rossouw C J 2003 Ultramicroscopy 96 47 4 Ishizuka K 2002 Ultramicroscopy 90 71

Fig 3 a) TDS intensity in the object exit plane computed with the FP method as explained in the text b) TDS intensity computed by our local TDS-absorptive potential approach c) Fourier components of the image intensity obtained with the MS approach without taking into account TDS intensity d) Fourier components of the image intensity obtained by the FP method in comparison with our local TDS-absorptive potential approach

On the Role of Specimen Thickness in Chemistry Quantification by HAADF V Grillo E Carlino G Ciasca1 M De Seta1 and C Ferrari2 Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 KM1635 34012 Trieste Italy 1 Dipartimento di Fisica Universitagrave Roma Trersquorsquo via della Vasca Navale 84 00146 Roma Italy 2 IMEM CNR Parco area delle scienze 37A 43010 LocFontanini Parma Italy Summary We present a study of the influence of specimen thickness on the accurate quantification of specimen chemistry by scanning transmission electron microscopy and high angle annular dark field imaging It has been observed that the influence of uncertainty in specimen thickness produces errors in the chemical quantification which depend on the material system under study The work was performed by comparing experiments and simulations for different materials systems 1 Introduction Scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) imaging allows us to derive detailed structural information in a direct and intuitive manner [1] Furthermore the high sensitivity of HAADF image contrast to the average Z-number of the specimen atomic columns has allowed the use of this methodology to study the presence of single atoms of dopants in a crystal structure [2] or to derive the chemical profile of buried layers in a host matrix [3] The sensitivity of HAADF to the specimen chemistry makes it a powerful tool to measure the chemistry of materials at the highest spatial resolution by quantifying the contrast of atomic resolution images of the specimen oriented along a low index zone axis This feature is of particular interest when applied to the study of the composition of a film grown on a substrate by epitaxial techniques It should be pointed out that to achieve this result it is necessary to understand in detail the subtle role of the STEM specimen thickness on the HAADF image contrast as a function of the sample chemistry and for a given specimen orientation For example it has been recently demonstrated that in the case of the GaAsSi system with [110] zone axis the image contrast has a relatively weak and linear dependence on specimen thickness and the uncertainty on the specimen thickness can produce a maximum relative error of 6 in the evaluation of alloy composition Here it will be shown by HAADF experiments and simulations how the lack of knowledge on the specimen thickness can produce for some alloys severe errors in the chemistry measurement It will be also discussed why the specimen structure and chemistry influence the shape of the law of variation of the HAADF contrast as a function of the sample thickness For these aims SiGe multilayers grown on SiGe on insulator (SGoI) (001) substrate and InGaAs alloy grown on GaAs (001) have been studied in detail 2 Experimental Ten multiple quantum well (MQW) samples of Si080Ge020Si were grown by means of the ultra high vacuum chemical vapour deposition technique onto [001]-oriented Si080Ge020-on-insulator substrates provided by SOITEC [4] Si and Ge concentration were measured by X-ray photoemission spectroscopy (XPS) and X-ray diffraction (XRD) with an accuracy of 1 [5]

174 V Grillo et al

Three QW samples of InGaAs with In concentrations is of (5plusmn1) (12plusmn1) and (24plusmn1) respectively were grown by molecular beam epitaxy (MBE) on GaAs (001) [6] InGaAs compositions were measured by a combination of photoluminescence (PL) and XRD

The specimens for TEM and STEM experiments have been prepared in lt110gt cross section geometry by mechanical grinding and ion milling following a well established procedure The relevant experiments have been performed by using a JEOL 2010F equipped with field emission gun and objective lens with a measured spherical aberration coefficient Cs = (047plusmn001) mm capable of a resolution in HAADF of 0126 nm All the HAADF images were acquired by using an illumination convergence angle of 14mrad and a detector collection angle of 84 le 2ϑ le 224mrad HAADF images in lt110gt zone axis were acquired for different STEM specimen thicknesses Sample thicknesses were measured both by projections methods [7] and by comparing the simulated dependence of the HAADF image intensity on buffer thickness with the intensity measured on the experimental images

HAADF images were simulated by the multi-slice method in the frozen phonons framework [8] according to the experimental conditions for both structures in a range of thicknesses from 04 to 40 nm for SiGe and from 04 to 100nm for the InGaAs The role of the tetragonal distortion in the films was calculated and considered in the simulations For an accurate sampling in both real and reciprocal space 1024x1024 pixel potentials and an artificial 5x4 unit cell were used To tackle the large amount of calculations we used a parallel code named STEM_CELL specially designed for the HAADF image simulations [9] capable of reducing the computing time by orders of magnitude with respect to standard serial calculation Bloch Waves calculations were performed by JEMS [10] 3 Results Figure 1 shows two experimental images of the series acquired as a function of the STEM sample thickness along with the relevant intensity profile 1a) refers to SiGeSi MQW whereas 1b) refers to InGaAs The image intensity measured on SiGe ( Ialloy ) has been divided by the intensity measured on the relevant Si layer considered as reference layer ( Iref ) In a similar way the intensity measured on the InGaAs ( Ialloy ) layers has been divided by the intensity of the relevant GaAs ( Iref ) layer

Fig 1 Experimental HAADF images a) SiGe b) InGaAs along with the relevant intensity profile

Let C = Ialloy Iref The values of C have been calculated for all the experimental and simulated images and are reported in the curves in Fig 2 Dotted curves for SiGe in Fig 2a are the C values as

On the Role of Specimen Thickness in Chemistry Quantification by HAADF 175

Fig 2 Experimental (solid lines) and simulated (dotted lines) C values as a function of the sample thickness for a) SiGe and b) InGaAs

derived from the calculations whereas the solid squares represent the intensity measured on the experimental HAADF images The same criteria were used for InGaAs in Fig 2b 4 Discussion It should be noted that the simulated and the experimental values have a very good agreement as concerns the functional shape but are rigidly shifted along the y-axes This effect is more evident for the SiGe and for the InGaAs with 25 of In content Some recent results [11] obtained in our laboratory indicate how most of the disagreement observed in the absolute concentration measured by HAADF with respect to XRD and PL could be due to the static displacement in the alloy The curves in Fig 2a vary with monotonic behaviour in the considered thickness range whereas oscillations with periodicity of about 55 nm are observed for InGaAs with In = 25 and are due to dynamical interactions of the Bloch waves excited in the materials by the primary beam [12] In particular the JEMS calculations show that dynamical interactions occurred among the most excited block waves that in all the cases here considered are two 1s and one 5p type [13] It should be pointed out that the behaviour reported in Fig 2 is due to the ratio C = Ialloy Iref as the intensities simulated for GaAs and InGaAs separately do not show comparable features as demonstrated in Fig 3

Fig 3 Simulated HAADF intensities calculated by multi-slice method for InGaAs alloy (In = 25) and GaAs in the lt110gt zone axis

It is also worthwhile remarking that the presence of the oscillation in Fig 2 can produce a large error in InGaAs (∆x x = 25) and SiGe ( ∆x x = 22) whereas the uncertainty in the case of GaAsSi (∆x x = 6) is relatively small as the

oscillations are so smooth that they can be considered with a good approximation to be constant Other authors also reported the differences in the contrast variation as a function of the specimen thickness In particular a quasi-linear behaviour was observed in GaAsAl [14] whereas an oscillatory behaviour was observed in InAsP [15] In the latter case the oscillations of the HAADF image intensity as a function of the thickness were simulated by considering the interaction of two 1s and one 5p Block states the same mostly excited in our case study It is evident as a result of the

176 V Grillo et al

reported work that a reliable chemistry measurement by HAADF imaging does require a reliable knowledge of the STEM specimen thickness 5 Conclusions STEM HAADF imaging coupled to the relevant computer simulations is a powerful tool to measure at high spatial resolution the chemistry of heterostructures buried layers interfaces etc in a wide range of thicknesses of the STEM specimen The thickness itself is an important parameter to be considered for reliable and accurate chemistry measurements In fact the dynamical interactions not negligible for relatively thick specimens could introduce strong oscillations in the intensity ratios measured in two close regions of the sample as a function of the specimen thickness The presence of these intensity oscillations without a reliable knowledge of the STEM specimen thickness can produce a large error in the relevant chemistry measurements The comparisons of simulations and experiments performed here indicate a very good agreement between the behaviour of calculated and measured data even if a systematic discrepancy of the chemistry measurements by HAADF with respect to the chemistry evaluation by XRD and PL have been observed for SiGe and InGaAs with an In content of 25 Some preliminary calculations indicate that such a discrepancy could be due to the presence of static displacements in the considered alloy Acknowledgements The authors wish to thank Fausto Martelli Silvia Rubini Giorgio Bais Laura Lazzarini Giovanni Capellini and Francesca Rossi for providing the specimens References [1] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New

York [2] Kaiser U Muller D A Grazul J L Chuvilin A and Kowasaki M 2002 Nature Materials 1 102 [3] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [4] Notargiacomo A Di Gaspare L Scappucci G Mariottini G Evangelisti F Giovine E and

Leoni R 2003 Appl Phys Lett 83 302 [5] Bocchi C Franchi S Germini F Baraldi A Magnanini R De Salvador D Berti M and Drigo

A V 1999 J Appl Phys 86 1298 [6] Rubini S Bais G Cristofoli A Piccin M Duca R Nacci C Modesti S Carlino E Martelli F

Franciosi A Bisognin G De Salvaddor D Sciavuta P Berti M and Drigo A V 2006 Appl Phys Lett 88 141923

[7] Williams D B and Carter C B 1996 Transmission Electron Microscopy Plenum Press New York 630

[8] Kirkland E J 1998 Advanced Computing in Electron Microscopy Plenum Press New York [9] Grillo V and Carlino E 2005 Proceedings of MCM VII Portoroz 163 [10] Stadelmann P A 1987 Ultramicroscopy 21 131 [11] Grillo V and Carlino E to be submitted to Phys Rev Lett [12] Metherell A J F 1976 Diffraction of electrons by perfect crystals in Electron Microscopy in

Materials Science Ed U Valdregrave and E Ruedl ndash EUR 5515e Brussels and Luxemburg [13] Buxton B F Loveluck J E and Steeds J W 1978 Phil Mag A 38 259 [14] Anderson S C Birkland C R Anstis G R and Cockayne D J H 1997 Ultramicroscopy 60 83 [15] Liu C P Preston A R Boothroyd C B and Humphreys C J 1999 J Microsc 194 171

Accurate and Fast Multislice Simulations of HAADF Image Contrast by Parallel Computing E Carlino V Grillo and P Palazzari1 TASC-INFM-CNR National Lab Area Science Park SS 14 Km 1635 34012 Trieste Italy 1 ENEA and Ylichron Srl Casaccia Research Centre Computing and Modelling Unit Via

Anguillarese 301 00123 S Maria di Galeria (Roma) Italy Summary A new method for fast and accurate multislice calculation in the frozen-phonon approximation for high angle annular dark field scanning transmission electron microscopy images is presented The improvement with respect to the existing approaches is in a strong reduction of the time necessary for image contrast simulation without losing accuracy The method is based on the development of optimized parallel computer codes for the multislice calculations in the frozen-phonon approximation 1 Introduction Transmission electron microscopy (TEM) has been widely used in the study of solids at the highest spatial resolution Computer simulations of TEM experimental results have been often used to understand and to quantify the information contained in images and spectra In particular phase contrast high resolution TEM (HRTEM) benefits from the development of fast and accurate simulation routines allowing one to understand the complex structure of the relevant interference fringes due to the dynamical interactions of several Bloch states excited in the specimen by the primary electrons A further direct approach to the imaging of the structure of a specimen at atomic resolution is given by scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) imaging [1] STEM HAADF imaging gives a true structural image of the specimen and the positions of the atomic columns in the specimen projected on the observation plane can be easily and intuitively interpreted by looking at the typical bright spots of the atomic resolution HAADF image Furthermore contrary to HRTEM the main features of the HAADF image are maintained in a large range of variation of the thickness of the STEM specimen ranging from a few nm to hundreds of nm One of the substantial differences between HRTEM and HAADF imaging is due to the mainly incoherent nature of the image formation process of the latter The lack of coherent effects in the image allows one to establish the position of an interface in a material with the highest spatial resolution by a proper set up of the HAADF experiment [2] Furthermore the intensity in the HAADF image is strongly related to the atomic number of the species contained in the specimen allowing one to distinguish between two different atomic columns with different average atomic number For this reason HAADF imaging is also known as Z-contrast imaging The sensitivity to the chemistry allows one to derive at atomic resolution the distribution of secondary species in a host matrix by properly setting the experimental HAADF conditions [3]

Despite the capability of HAADF to give important information in a direct and intuitive way quantitative important details of the specimen like for example the bond configuration at an interface [4 5] or the quantitative chemical profiling at atomic resolution [6] can also be obtained but requires accurate simulation of both Bragg reflections and incoherent thermal diffuse scattering (TDS) in the crystal The importance of the TDS is recognized also in HRTEM image formation [7 8] but has a definitive influence in the HAADF image formation as most of the contribution to the image intensity is due to the further interaction of the Bragg-scattered electrons

178 E Carlino V Grillo and P Palazzari

with the phonons of the specimen In fact the electrons scattered at high angles in the crystal have a larger cross section for scattering by phonons [9] Atomic resolution HAADF image contrast can be simulated by Bloch-wave [10] methods or multislice approaches [11] The Bloch-wave based methods are relatively fast and represent a good tool to understand basic and subtle details of the physics of the interactions involved in the HAADF image formation process TDS is not naturally included in the calculation based on Bloch-wave approaches even though several authors have developed Bloch-wave methods which try to take into account the effect of TDS [12 13 14] Furthermore Bloch-wave methods are not convenient whenever a non-periodic object is to be considered as in the case of the description of a defect or an interface in the material In this case and in the case of HRTEM image simulation the use of multislice methods has been recognized as more effective TDS effects have been introduced into multislice calculations by several authors [15 16 17] and recently a new method for calculating HAADF image contrast by real-space multislice theory has been presented Nevertheless STEM HAADF image contrast simulation by multislice with the ldquofrozen-phononrdquo model proved to be the most accurate simulation method [18] Unfortunately the latter approach is extremely time-consuming and image simulation for models with several atoms as in the case of large supercells or realistic STEM specimen thicknesses becomes practically not feasible as it could require hundreds of hours of computing time Indeed calculation of the image contrast by the multislice method in the frozen-phonon approximation has a structure which is naturally parallel and here it will be shown how the multislice codes of Kirkland [19] can be modified and written for parallel computing reducing the time necessary for accurate and reliable HAADF image simulation by orders of magnitude 2 Experimental The multislice approach in the ldquofrozen-phononrdquo model is based on the assumption that the high-energy electrons are so fast that each electron sees a snapshot of the atomic thermal movement The calculated intensity is therefore the incoherent superposition of the images formed for each atomic configuration in the range of atomic positions given by the Debye-Waller factors According to test simulations and in agreement with data in the literature [20] 20 configurations are necessary to converge to a precision better than 2 in simulating HAADF image contrast As a consequence multislice simulations have to be repeated for each atomic configuration and for each point of the image Hence as the sample thickness reaches realistic values for a comparison with the experiments or the sampling requirements become more stringent to obtain good accuracy in the simulations the computing time tends to become very large of the order of hundreds of hours This problem can be overcome by noting that the structure of HAADF image simulation using the multislice method in the ldquofrozen-phononrsquo approach is naturally suited to be parallelized Dividing the calculation in an appropriate way among a cluster of CPUs achieves the highest accuracy in a reasonable time

Parallel calculation can be used for two steps of the HAADF image simulation The first is related to the use of the frozen phonon model In fact as mentioned above the intensity has to be calculated for about 20 configurations and summed incoherently Each atomic configuration is independent on the others and hence each CPU can calculate the effect of each configuration This ldquoembarrassing parallelrdquo scheme [21] allows the adoption of a form of parallelism based on the MPI message passing library and its free implementation MPICH [22] The MPICH message passing protocol exchanges arrays of data among different CPUs running the same program The simulations for each configuration are performed separately on the different processors When all simulations are completed the final results are collected As a result the computing time scales linearly with the number of CPUs with a maximum advantage when all desired runs are assigned to a CPU

A second parallelization can be applied to the simulations for each pixel in the HAADF image The image is divided into different sets of non-consecutive pixels and each pixel is assigned to a

Accurate amp Fast Multislice Simulations of HAADF Image Contrast by P Computing 179

CPU The CPUs fill the relevant part of the matrix with the simulation results Hence the data are transferred by means of the MPI protocols to a single processor that reconstructs as a puzzle the final image This scheme has the advantage of being scalable on the number of pixels of the image and a large number of CPUs allows a strong reduction in the computing time especially if the size of the image is chosen to have a number of points equal to the number of CPUs available In the next section the results of simulations performed by using a computer with a cluster of 16 Intel(R) Xeon(TM) 64 bit CPUs running at 306GHz with 1 Gbyte RAM for each processor will be compared with the corresponding simulation performed by serial calculation The graphical interface for the computer simulation named STEM_CELL [23] has also been realized in our laboratory 3 Results Due to the scheme of the parallel computing the reduction of the calculation time is in principle as large as determined by the number of CPUs available It is worth noting however that even a small cluster of CPUs makes the computer simulation of HAADF images of complex structures of practical use whenever extensive calculations are necessary to quantify the structural and chemical information contained in the HAADF experiments Figure 1 shows the HAADF image simulation of a nano-particle of ZnSe by using the cluster of 16 CPUs The size of the multislice calculation is 1024x1024 pixels for 10 different atomic configurations The simulation by parallel code takes 450 min whereas a standard calculation requires 6500 min Further examples of comparison of parallel and serial calculation are reported in the Table

Fig 1 Simulation of ZnSe particle by parallel code On the left the cluster model is shown slightly off zone axis whereas on the right the simulation in lt110gt zone axis is displayed

Structure ZnSe nanoparticle

(diameter=26 nm) Stacking fault in GaAs lt110gt z a

GaAs lt110gt z a

Stacking fault in GaAs lt110gt z a

Number of pixels in the final image

76x88 pixels 80x56 pixels 24x32 pixels 80x56 pixels

Number of slices 13 slices 4 slices 52 slices 52 slices

Optimized serial computing

6500 min 155 min 590 min 2030 min

16 CPUs parallel computing

450 min 10 min 40 min 175 min

Table1 Comparison between parallel and serial computing for different structures

180 E Carlino V Grillo and P Palazzari

4 Conclusions Simulation of HAADF images by the multislice method in the frozen phonon framework is recognized as the most accurate approach to quantify the contrast but it requires long computing time Here we present a parallel code for the multislice calculation which allows the computer time to scale linearly with the size of the computer cluster available Moreover the examples shown here demonstrate how complex structures can be successfully calculated with a strong reduction of the computing time even by using a small cluster of 16 CPUs This approach makes possible extensive simulations required to deduce and quantify the structural and chemical information obtainable by HAADF imaging References [1] Pennycook S J 2002 Advances in Imaging and Electr Physics 123 Academic New York 140 [2] Diebold A C Foran B Kisielowsky C Muller D A Pennycook S J Principe E and Stemmer S

2003 Micros Microanal 9 493 [3] Carlino E Modesti S Furlanetto D Piccin M Rubini S and Franciosi A 2003 Appl Phys

Lett 83 662 [4] Yan Y F Al-Jassim M M Chisholm M F Boatner L A Pennycook S J and Oxley M 2005

Phys Rev B 71 041309 [5] Carbone L Kudera S Carlino E Parak W J Cingolani R and Manna L 2006 J Am Chem

Soc 128 748 [6] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [7] Croitoru M D Van Dyck D Van Aert S Bals S and Verbeeck J 2006 Ultramicroscopy 106

933 [8] Rossouw C J Allen L J Findlay S D and Oxley M P 2003 Ultramicroscopy 96 299 [9] Kittel C 1996 lsquoIntroduction to Solid State physicsrsquo 7th ed Wiley New York 81 [10] Metherell A J F 1976 lsquoDiffraction of Electrons by Perfect Crystalsrsquo in Electron Microscopy

in Materials Science Eds U Valdrersquo and E Ruedl ndash EUR 5515e Brussels and Luxemburg [11] Goodman P and Moodie A F 1974 Acta Cryst A30 280 [12] Pennycook S J and Jesson D E 1991 Ultramicroscopy 37 14 [13] Allen L J and Rossouw C J 1993 Phys Rev B 47 2446 [14] Watanabe K Yamazaki T Hashimoto I and Shiojiri M 2001 Phys Rev B 64 115432 [15] Wang Z L 1999 Phil Mag B 79 37 [16] Ishizuka K Ultramicroscopy 2002 90 71 [17] Dinges C Berger A and Rose H 1995 Ultramicroscopy 60 49 [18] Xu P Loane R F and Silcox J 1991 Ultramicroscopy 38 127 [19] Kirkland E J 1998 in lsquoAdvanced Computing in Electron Microscopyrsquo Plenum Press New

York [20] Loane R F Xu P and Silcox J ActaCryst 1991 A 47 267 [21] httpwwwcsmuozau498notesnode40html [22] httpwww-unixmcsanlgovmpimpich and references therein [23] Grillo V Verecchia P Rosato V and Carlino E 2005 Proc VII MCM Josef Stefan Inst 163

Z-contrast STEM 3D Information by Abel transform in Systems with Rotational Symmetry V Grillo E Carlino L Felisari L Manna1 and L Carbone1 Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 Km 1635 34012 Trieste Italy 1 National Nanotechnology Laboratory of CNR-INFM 73100 Lecce Italy Summary Image tomography of clusters in transmission electron microscopy is a recent and highly interesting field of study for its capability to explore the 3-dimensional shape and the structure of nanoparticles Z-contrast imaging is an ideal technique for nanometre scale tomography and could give also 3-dimensional information on the variation in the chemical composition within the particles Unfortunately 3-dimensional reconstruction with high-resolution information requires time-consuming series of high-resolution images and long data analysis times Here it is shown how 3D reconstructions can be obtained from a single high resolution Z-contrast image if the particle under study has a rotational symmetry In this case the reconstruction can be performed by using a procedure based on the Abelrsquos integral Here the method is explained and applied to simulated and experimental images of core-shell nanocrystals showing the capability of detecting compositional variation as distinct from particle thickness variation 1 Introduction Scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) based tomography is at the state of the art the ultimate tool for the chemical characterisation of complex nanostructures [1] As an effect of the instrumental and methodology improvements tomography is reaching nanometre size accuracy allowing the study of structure and chemistry of the particles in three-dimensional space

STEM experiments for the tomography of a particle require the acquisition of images of the same object seen in different projections and hence special high tilt specimen holders are necessary requiring also instruments with wide gap objective lens pole pieces Furthermore the number of images increases as the resolution requirement of the 3-dimensional reconstruction increases [2] and also the reconstruction of the final 3-dimensional model is very time consuming Despite these inconveniences the chemical and structural information contained in the final model allows an understanding of the particles properties not easily reachable in other ways

However if some a priori information on the structures is known it is possible to avoid a large part of the experimental and calculation burden In particular if the particle has rotational symmetry a 3-dimensional reconstruction can be performed from a single high resolution HAADF image by using an approach based on the Abel inversion formula [3] This equation has been also successfully applied to solve inversion problems in different fields like for example electrodynamics and plasma physics [4]

In this preliminary work the reconstruction technique has been applied to experimental and simulated HAADF-STEM images of core-shell particles showing the capability to deconvolve the thickness and chemistry information contained in the Z-contrast images

182 V Grillo et al

2 Method Using a set of reference axes with y coordinates along the beam direction and z-axis parallel to the particle rotation-symmetry axis the image intensity can be written as [5][6]

I = Z αδ(p minus p ky minus yk )sum[ ]int otimes J( p proy) 1)

where p = x sdot ˆ x + z sdot ˆ z and the subscripts lsquokrsquo and lsquoprorsquo indicate the atomic position of the kth atom and the probe position The parameter α ranges between 16 and 21 depending on the atomic species [7] If the minimum detail to be observed is larger then the probe size and the probe intensity is normalized to 1 equ1 for thin samples and a perfectly incoherent HAADF imaging process

becomes intminus

=t

t

dyrzxI )()( φ where dxdzrrr

cellunit celli

iint sum

minus

Ω=

isin

αδφ Z)(1)( Ω is the volume of

the unit cell and i the index for the atoms in the crystal cell As a consequence of the symmetry the continuum approximation of )(rφ is rotationally symmetric and can be written as )( zρφ

where 22 yx +=ρ Hence )( zxI is the projection along z of the density )( zρφ The aim

of the reconstruction problem is to find )( zρφ starting from )( zxI Abel in 1826 gave the solution to this kind of problem For each value of y the radial distribution is given by

int minuspartpart

minus=1

22max

)(1)(P RX

dXX

zXIzPπρ

φ where X=xρmax max ρρ=R and ρmax is a cut-off

distance beyond which the density goes to zero It should be noted that in this method only half of the image is used to create a three dimensional model A generalisation of this method to include a small asymmetry in the rotational axis will be discussed in a further coming article [8] A routine for the numerical calculation [9] of )( zρφ has been implemented in the STEM_CELL program [10] To evaluate properly even an abrupt density change in the experimental profile it was first approximated with an appropriate fitting function and hence inverted 3 Experimental The specimens for STEM HAADF experiments were prepared by depositing onto a Cu grid covered with a thin C film a drop of toluene in which core-shell CdSeCdS nanocrystals were dispersed [11] The relevant experiments have been performed using a JEOL JEM2010F equipped with a field emission gun and an objective lens with a measured spherical aberration coefficient Cs = (047plusmn001) mm capable of a resolution in HAADF of 0126 nm All the HAADF images were acquired by using an illumination convergence angle of 14mrad and detector collection angle of 84 le 2ϑ le 224mrad The HAADF image simulations were performed by using a parallel code developed in our laboratory for multislice calculation with the frozen phonon model running on a computer with a cluster of 16 CPUs Intel(R) Xeon(TM) 64 bits CPU 306GHz with 1 Gbit RAM for each processor The routine is embedded in the graphical interface of STEM_CELL 4 Results and Discussion The approach has been tested on a simulated structure of a core-shell CdSeCdS nanocrystal and hence applied to experimental images The test on the simulated image is shown in Fig 1 Fig 1a is the geometry of the STEM experiment with the CdSe in [01-10] zone axis In the inset of

Z-contrast STEM 3D Information by Abel transform in Systems with RS 183

Fig 1b the model of the core-shell structure is shown with the particle of CdSe buried towards one of the ends of the CdS nanorod

Fig 1 a) Geometry of the HAADF experiment b) Simulated HAADF image The inset shows the model of the core-shell nanocrystal c) Radial profile obtained by fitting and reconstruction

As a first step the atomic resolution HAADF image in Fig 1b was filtered to remove the

atomic fringes as they violate the rotational symmetry The image intensity profile was then fitted with a function and Abel transformed in order to obtain the reconstructed density profile in Fig 1c It should be noted that the procedure gives a distribution of density as expected from the considered particle 3 has a higher density as it corresponds to the CdSe particle embedded in the CdS matrix and hence with higher density with respect to the region 2 where only the CdS is present and finally the region of the vacuum

Fig 2 a) Experimental HAADF image of one CdSeCdS core shell particle b) 3D model obtained by a complete series of radial functions c) Normalized radial density function obtained by Abel transform with fitting (solid line) and without fitting (dotted line) in the 3 regions marked in (a) The radial function as derived from the simulated image in Fig 1c is also plotted for comparison

Figure 2a is the experimental HAADF image in the [01-10] zone axis of a CdSeCdS core

shell particle The presence of the CdSe core with average Z number higher with respect to the CdS particle produces the brighter area in the left-part of the nanorod The HAADF image was filtered to remove the atomic fringes and the intensity background was subtracted Hence intensity

184 V Grillo et al

profiles along the x-axis averaged along z-axis were obtained in the three windows marked in Fig 2a The density profiles were obtained in the three regions marked by direct application of the Abel transform and by applying the transform after the fitting procedures The results are shown in Fig 2c together with the profile measured on the simulated structure In the experimental profiles 1 and 2 the presence of the core CdSe particles is well detected but its size is different It is worthwhile to remark that the profile in the CdSe region is in all cases relatively constant in spite of the variation of the particle thickness Hence the approach clearly allows the deconvolution of the roles of thickness and chemistry in the HAADF image The size differences between the profiles 1 and 2 are due to the shape of the particle The results have been used to reconstruct the 3-dimensional particle shown in Fig 2b yielding a bullet shape for the CdSe particle The irregularities in the shape of the particle could be due to spurious effects related to noise in the experimental image 5 Conclusions Here we have shown the preliminary results of a method of 3-D reconstruction of a nanoparticle based on the use of a single HAADF image and deriving the object density from the image intensity by applying Abelrsquos integral The method requires application to the HAADF image of a particle with rotational symmetry and allows the evaluation of the density of the particle whilst deconvolving the role of the thickness in the HAADF image intensity from the role of the chemistry of the object However spurious effects due to experimental noise can affect the quality of the reconstruction The approach has been tested on simulated images and applied to experimental HAADF images Further improvement of the fitting procedures could allow a refinement of the procedure which is at present also limited by the need for rotational symmetry in each analysed particle Some efforts are now being dedicated to partly attenuate the symmetry requirement of the approach References [1] Arslan I Yates T J V Browning N D and Midgley P A 2005 Science 309 2195 [2] Arslan I Tong J R and Midgley P A 2006 Ultramicroscopy 106 994 [3] Abel N H 1826 Journal fuumlr die Reine und Angewandte Mathematik 1 153 [4] Tomassini P and Giulietti A 2001 Optics Communications 199 143 [5] Voyles P M Grazul J L and Muller D A 2003 Ultramicroscopy 96 251 [6] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [7] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New

York [8] GrilloV and Carlino E to be submitted [9] Deutsch M and Beniaminy I 1992 Appl Phys Lett 41 27 [10] Grillo V Verecchia P Rosato V and Carlino E 2005 Proceedings MCEM VII Portoroze (Sl)

163 [11] Talapin D V Koeppe R Goetzinger S Kornowski A Lupton J M Rogach A L Benson O

and Feldmann J and Weller H 2003 Nano Letters 3 1677

Quantifying the Top-Bottom Effect in Energy-Dispersive X-Ray Spectroscopy of Nanostructures Embedded in Thin Films T Walther Dept Electronic amp Electrical Eng University of Sheffield Mappin Street Sheffield S1 3JD UK Summary Energy-dispersive X-ray spectroscopy is a standard tool for microanalysis in transmission electron microscopy If the structure to be analysed is much smaller than the sample thickness it becomes important for quantification whether it is located in the top of the foil near to the X-ray detector or at the bottom of the foil where absorption and fluorescence effects will be stronger This so-called top-bottom effect is investigated in detail for InGaAsN quantum wells embedded at different depths within a GaAs thin foil specimen by modelling electron scattering and X-ray generation using Monte Carlo simulations A new procedure is presented to determine the distance of an embedded feature from the top surface of the foil which is a prerequisite for more accurate quantification of its chemistry 1 Introduction Energy-dispersive X-ray spectroscopy (EDXS) is a standard tool for chemical microanalysis in a transmission electron microscope (TEM) Its accuracy depends on the knowledge of the relative X-ray yields of the corresponding elements (Z-effect) absorption (A) and fluorescence (F) which are commonly modelled using the so-called ZAF-correction for a given specimen thickness If however the structure to be analysed is much smaller than the sample thickness two other factors become relevant but are often neglected because they are usually unknown parameters firstly the position of the feature in the foil plays a rocircle as X-rays going through a thicker part of the sample before they reach the detector will be more strongly absorbed This means that without or with improper absorption correction a detector placed above the specimen plane (which is the common set-up) will measure the composition more accurately if the feature is located closer to the top surface while a detector placed below the specimen would receive a correspondingly lower signal from the feature Usually only one detector above the specimen plane is available and the further the feature of interest is located within the foil towards the bottom surface the fainter the X-ray signal from it will appear This top-bottom effect is investigated in the following for compound semiconductors based on gallium arsenide (GaAs)

Secondly if the structure to be analysed is confined also laterally to an extent smaller than the amount of probe broadening within the foil then the peak composition near its centre will apparently be the smaller the deeper the structure is located within the foil independent of where the detector is placed The effect of electron beam broadening for such embedded nanostructures has been modelled extensively [1] eg for compound semiconductors in [2] 2 Monte Carlo Simulations Monte Carlo simulations of the electron scattering and X-ray generation as a function of foil thickness have been carried out using the freely available software code CASINO (version 242) introduced in [3] with default settings as described in the user manual using tabulated values of the Mott scattering cross-section [4] and new calculations of the stopping power at low energies with a cut-off at 50eV [5]

The set-up of a model specimen for simulations consisted of successive layers of from top to bottom 1nm carbon then GaAs of thickness d InxGa1ndashxAs1ndash-yNy of thickness z GaAs of thickness

186 T Walther

200nm ndash d and finally another 1nm of carbon at the bottom surface The total sample thickness was thus 202nm+z The X-ray detector was assumed to form a take-off angle with the top specimen plane of either 40deg or 90deg (vertical take-off) Another adjustable parameter considered was the high voltage U of the primary electron beam The geometry is sketched in Fig 1 and a sketch of the angles and distances considered in the following mathematical treatment is provided in Fig 2

Fig 1 Basic sketch of set-up geometry Fig 2 Sketch of angles and distances

In025Ga025As0475N0025 bulk

0

10

20

30

40

50

60

70

80

0 50 100 150 200 250 300specimen thickness t [nm]

inte

nsity

[cou

nts]

As_KAs_LGa_KGa_LIn_KIn_LN_K (x10)

20nm InN thin film in 200 nm GaAs

0

10

20

30

40

50

60

70

80

0 50 100 150 200depth d [nm]

inte

nsity

[cou

nts]

As_KAs_LGa_KGa_LIn_K (x10)In_L (x10)N_K (x10)

Fig 3 Generation of characteristic X-ray counts Fig 4 Simulation of characteristic X-ray yield in a bulk In025Ga025As0475N0025 sample simulated from a sample 222nm thick (1nm C d nm GaAs for different specimen thicknesses 20nm InN 200nmndashd GaAs 1nm C cf Fig 1)

Figures 3 and 4 both show the results from Monte Carlo simulations for 200kV acceleration voltage and 40deg take-off angle The curvatures of the signals from the low energetic X-rays (As and Ga L-lines and N K-line) in Fig 3 can be explained by self-absorption and are usually automatically taken into account in many commercial quantification programs Usually one has to provide an estimate of density and thickness which is then iterated to provide an optimum fit to relative line intensities stored in libraries The decrease in Fig 4 of the intensities of the In L-line (ndash13 from left to right) and the N K-line (ndash92 from left to right ie a drop to 113) is also due to absorption but cannot be corrected for by such a procedure because the top-bottom effect depends on the depth of the thin layer within the sample for fixed sample thickness Note the weak decrease in In L-line intensity is matched by corresponding increases of As and Ga L-lines due to fluorescence excitation if the GaAs layer between the InN and the detector is sufficiently thick Also note the strong exponential decay of the N K-line intensity This shows quantification of the In L-line signal will be inaccurate Quantification of the N signal will fail completely

Quantifying the Top-Bottom Effect in EDXS of Nanostructures in Thin Films 187

3 Determination of Depth Within a Specimen Foil Figure 5 depicts plots of the variation of the X-ray intensity of lines of elements for the hypothetical case of a 2nm thin pure layer of the corresponding element at different depths d within 200nm of GaAs All elements that can occupy substitutional lattice sites in the IIIV semiconductor GaAs and are technologically relevant have been included The decay due to absorption of the GaAs overlayer has been modelled for U=200kV and θ=90deg but the form is universal For other acceleration voltages the vertical scaling would change but not the decay length for other angles than vertical take-off the effective thickness would be enlarged by 1sinθ and the apparent decay length thus shortened by a factor of sinθ I have then performed linear regression analysis to the plots of lnI(d) and determined the attenuation wavelength λ in the formula I = A exp(ndashDλ) (1) The wavelengths and linear correlation coefficients obtained are listed in Table 1 The two hard X-ray lines In K and Sb K are not attenuated at all but stay at constant intensity over the whole range studied here

2nm thin film in 200 nm GaAs (x10)

0123456789

101112

0 50 100 150 200depth d [nm]

inte

nsity

[cou

nts]

Al_KP_KSb_KSb_LIn_KIn_LN_K

Fig 5 Plot of signal attenuation for a 2nm thin Table 1 List of attenuation wave- layer of a pure element such as N Al P In or lengths λ obtained from performing Sb if there is GaAs of thickness d between it linear regression analysis to the log and the X-ray detector Take-off angle θ=90deg naturalis (ln) of the plots of Fig 5 From Fig 2 it can be seen that the distance the X-rays from the thin film have to travel through the sample to reach the detector is given by D=dsin θ so for two different take-off angles θ1 and θ2 D1 sin θ1 = d = D2 sinθ2 (2) From this one obtains for the path length difference D2ndashD1 = d (1sinθ2ndash1sinθ1) (3) Fig 5 and Table 1 prove that the X-ray intensity I for a given element decays exponentially with the effective path length D with some attenuation wavelength λ ie for two measurements at different take-off angles In = A exp(ndashDnλ) (n=12) (4)

Element Line λ [nm] R2

N K 122plusmn1 ndash099996Al K 319 ndash099998P K 618 ndash099989In K infin In L 2323 ndash099897Sb K infin Sb L 3009 ndash099865

188 T Walther

The intensity ratio then is given by I1I2 = exp[(D2ndashD1)λ] (5) This can be easily solved for D2ndashD1 = λ ln(I1I2) (6) Comparing equations (3) and (6) can finally be solved for the depth in the foil d d = λ ln(I1I2) (1sinθ2ndash1sinθ1) (7) A little bit of trigonometry then yields d = 4λ ln(I1I2) sin[(θ1ndashθ2)2] cos[(θ1+θ2)2] [cos[(θ1ndashθ2)]ndashcos[(θ1+θ2)] (8)

This last equation is particularly useful as it allows us to calculate the depth of a feature in a foil from the above attenuation wavelength and the X-ray intensity ratio at two different take-off angles whose difference (θ1ndashθ2) can be directly read off from the goniometer and whose average value (θ1+θ2)2 can be obtained from the manufacturer of the X-ray detector With the knowledge of d the absorptionfluorescence correction for the top-bottom effect can be directly taken from Fig 5

For the special case that the attenuation is weak (hard X-rays) a Taylor expansion of the term

exp(ndashDλ) asymp 1ndashDλ (9) shows that equation (6) becomes D2ndashD1 asymp λA (I1ndashI2) (10) which leads to a linear fit of the I(d) plot for weak attenuation with a slope of ndashΑλ (eg for the P K-line In L or Sb L in Fig 5 linear correlation coefficients of ndash099933 ndash099889 and ndash099863 respectively are obtained This is justified because typical specimen thicknesses in TEM are an order of magnitude smaller than the corresponding attenuation wavelengths calculated in Table 1) 4 Discussion It has been shown that absorptionfluorescence corrections to energy-dispersive X-ray micro-analysis for nanostructures embedded deep within a specimen (top-bottom effect) are important for accurate quantification in particular for soft X-rays This has been applied to model compound semiconductor systems A new procedure based on a comparison of X-ray count rates at two different take-off angles has been suggested It has been shown that this will improve quantification as it is possible to analytically calculate precisely the depth of the feature within the foil ie its distance from the top specimen surface References 1 Goldstein J I Costley J L Lorimer G W and Reed S J B 1977 Scanning Electr Microsc 1

Proc AEM (IIT Res Inst Chicago IL USA) 315 2 Crozier P A Catalano M and Cingolani R 2003 Ultramicroscopy 94 1 3 Hovington P Drouin D and Gauvin R 1997 Scanning 19 1 4 Drouin D Hovington P and Gauvin R 1997 Scanning 19 20 5 Hovington P et al 1997 Scanning 19 29

Effect of Temperature on the 002 Electron Structure Factor and its Consequence for the Quantification of Ternary and Quaternary III-V Crystals T J Titantah D Lamoen M Schowalter1 and A Rosenauer1

Theoretical study of matter Universiteit Antwerpen Groenenborgerlaan 171 2020 Antwerpen 1 Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee1 28359 Bremen Germany Summary In this work we study the effect of the relaxation of the atomic positions and the lattice parameter of mixed Ga1-xInxAs systems on the electron atomic scattering amplitudes (ASA) The ASAs are shown to vary strongly with lattice parameter The resulting relationship between ASA and lattice parameter is then used in a finite temperature zero pressure Monte Carlo relaxation of the mixed crystal The consequence of the use of this modified atomic scattering amplitude (MASA) for the calculation of the 002 structure factor of ternary (Ga1-xInxAs ) and quaternary (Ga1-xInxAs1-yNy) semiconductors is a reduction of the structure factor leading to an increase in the In concentration at which the structure factor vanishes This method directly includes the effect of temperature on the ASA and on the structure factors and therefore does not require an explicit knowledge of the Debye-Waller factor 1 Introduction The quantification of Ga1-xInxAs crystals using transmission electron microscopy (TEM) requires an accurate knowledge of the structure factors (SFs) which are obtained from the atomic positions and the scattering amplitudes (ASAs) of the atoms of the system Several sets of ASAs have been considered ranging from the isolated atom values [1] to those obtained from density functional theory (DFT) calculations on the binary crystals [2] The latter set of parameters is more accurate since it accounts for charge redistribution in the system as a result of bonding In addition the static atomic displacement which is present in these mixed crystals as a result of atoms with different atomic radii sharing a mixed sub-lattice also influences the SF [3] These atomic displacements were first observed by Mikkelsen and Boyce in 1983 [4] using the extended x-ray absorption fine structure (XAFS) and have been further confirmed by other workers [5] By incorporating static atomic displacements via the Keating [6] valence force field (VFF) Rosenauer et al [2] used DFT-based modified atomic scattering amplitudes (MASA) to calculate the 002 SF of strained and bulk Ga1-xInxAs crystals for various In concentrations These 002 weak reflections for mixed crystals are known to contribute to most of the high resolution TEM images and to be composition sensitive and therefore useful in composition analysis [7]

So far little or no attempt has been made to include temperature effects in the ASAs This effect can be included in the SF through the Debye-Waller factor [8] The knowledge of this factor for III-V binary and mixed crystals is very scanty Even if these factors are known accurately for the binary systems they cannot be used in the mixed systems because they would be influenced by the chemical environment The few experimental [9] and theoretical [910] data that exist differ greatly from each other Even when experimental values exist they are available only for a very limited temperature range

Using the DFT-based MASAs Rosenauer et al [2] calculated the 002 electron SF for bulk Ga1-xInxAs crystals and found that when the static displacements were not accounted for it vanishes for an In content of 175 but when these displacements were included the SF vanishes at 164 These numbers are quite close to the experimentally reported values of 17 [11] and

190 T J Titantah et al

18 [12] as compared to the values of 224 and 222 [3] obtained when the isolated atom ASAs [1] are used without and with the inclusion of static displacements respectively However the underestimation of the more accurate result of 164 has been ascribed to the absence of temperature effects 2 Method In this paper we calculate the 002 SF of Ga1-xInxAs crystals accounting for temperature effects on the MASAs and subsequently on the SF The DFT calculations of the MASAs are performed using the all-electron full-potential-linearized augmented-plane-wave (LAPW) DFT code WIEN2k [13] This code partitions the unit cell into non-overlapping muffin-tin spheres and an interstitial region In the muffin-tin spheres the potential and the wave functions are described by a series of spherical harmonics and in the interstitial region they are described by plane waves The exchange and correlation energy is treated using the local density approximation [14] For our calculations muffin tin radii RMT of 20 bohr are used 63 k-points in the irreducible Brillouin zone and a plane wave cut-off of kmax=7RMT yielded converged MASAs The method of the extraction of the MASAs from the x-ray structure factor has been described in details in ref [2] We retained an acceleration voltage of 200 keV and considered the 002 MASAs

Fig 1 Left pair correlation function of DFT-relaxed Ga1-xInxAs systems Middle pair correlation function of MC relaxed Ga1-xInxAs systems Right bond length distribution

3 Results One may ask whether the atomic displacements do influence the ASAs in these mixed crystals To answer this question we relaxed an 8 atom supercell of Ga1-xInxAs (for x=025 05 and 075) using the DFT method The left panel of Fig 1 shows the nearest-neighbor pair correlation function for these systems A bimodal distribution of bond lengths is seen revealing Ga-As bond lengths that range between 243 Aring and 25 Aring while the In-As bond lengths show up between 258 Aring and 263 Aring These results are in very good agreement with XAFS measurements [45] and our recent calculations [15] using the Tersoff potential [16] which are reproduced in the middle and right panels of Fig 1 We calculated the MASAs of the 8 atoms supercells for the unrelaxed and relaxed atomic positions Relaxation only resulted to a decrease of 02 in the MASAs For the x=025 05 and 075 Ga1-xInxAs systems with relaxed atomic coordinates and for the binary counterparts

Temperature Effect on 002 ESF and its Quantification Consequence 191

x=0 and x=1 we performed DFT calculations in order to obtain the electron MASAs for a series of lattice parameters As Figs 2 and 3 show it should be noticed that the effect of lattice parameter changes on MASA is important The atomic environment of each atom becomes important when the non metal site is shared by different atom types The lines are linear fits of the DFT results accaf 002

10020

002 )( ννν += υ being the atom type and a is the lattice parameter in Aring

Fig 2 The MASAs of the relaxed Ga1-xInxAs and GaAs1-xNx crystals for various lattice parameters Notice that all points lie on a unique curve irrespective of the value of x

Fig 3 The MASAs of GaAs1-xNx crystals for various lattice parameters Notice that all points do not lie on a unique curve as was the case for the Ga1-xInxAs system

The temperature effect on MASA can therefore be introduced through the lattice fluctuations as follows At each instant the lattice parameter is determined and the MASAs corresponding to this instantaneous lattice parameter is obtained using the analytical functions obtained from the fit of the DFT results The thermal relaxation is performed via a Classical Metropolis Monte Carlo scheme

We have recently parameterized the Tersoff potential [16] for the Ga-As and the In-As interactions in zinc-blende structures and the new set of parameters were shown to reproduce the elastic properties the melting point of the binary crystals and the structural properties of Ga1-

xInxAs mixed crystals [15] Using a classical Metropolis Monte Carlo code that was recently developed by us for relaxing binary ternary and quaternary crystals we have relaxed 1728 atoms systems of Ga1-xInxAs mixed crystals for various In content and for temperatures ranging from 5K to 500K The mean square displacement (MSD) of each atom type was calculated for all possible In fractions and for several temperatures as shown in Fig 4 As expected the MSD increases with temperature and has a nonlinear and asymmetric dependence on the In fraction Its

192 T J Titantah et al

Fig 4 Mean square displacement of bulk Ga1-xInxAs as a function of In fraction x and for temperatures of 50K (lowermost curve) through 150K 250K 350K to 450K (uppermost curve) The top panel corresponds to the Ga atoms middle to In and bottom to As atoms

intensity is enhanced for intermediate values of x The available measurement of this quantity for these mixed crystals is that by Petkov et al [5] based on the pair correlation function (PDF) extracted from XAFS A systematic study of its temperature dependence whether experimental or theoretical is lacking

The strong dependence of the MSD on the In fraction and temperature suggests that the inclusion of temperature effects on the SFs may not be straightforward Within the Metropolis method the SFs per unit cell were calculated for each In fraction x and temperature T by performing the thermal averaging

( )xT

N

jj

hklhklj

hkl ragiafN

TxS1

)(2exp)(8)( sum=

bull= π

where a is the short hand notation for ai i=123 and

=

001010100)( alakahahklg

)(af hklj is the MASA of atom j for the instantaneous lattice parameter a Firstly the SFs were

calculated for temperatures as low as 5K in order to compare with DFT calculations on 64 atoms DFT relaxed Ga1-xInxAs systems The calculations at 5K show that the In content at which the 002 SF vanishes is 169plusmn02 Extrapolation to 0K yields a cross-over concentration of 167plusmn02 This value compares well with the DFT result which shows that the SF vanishes for an In content of 170plusmn02 and 164plusmn02 for the unrelaxed and relaxed systems respectively (see left panels of Fig 5) Both values are in excellent agreement with the results obtained using the DFT-based MASA without and with the inclusion of the static atomic displacements (accounted for using the VFF) [2]

The T=0K results can be interpreted writing the 002 SF as

minusminusminus+minusminus=

a

zAsu

ixaAsfa

zInu

ixaInfxa

zGau

ixaGafxxS πππ 4exp))((002)4exp())((002)4exp())((002)1(4)(002

where zuν is the average In-concentration-dependent z-component of the static displacement (SD) vector of atom υ Considering the fact that it is the anions lattice that is strongly influenced by the SD while the cations lattice remains almost unaffected [17] we can set z

Gau and zInu to zero Also

Temperature Effect on 002 ESF and its Quantification Consequence 193

since in these mixed crystals the Ga-As bonds stretch while the In-As bonds contract the displacement of As is dominantly along the As-In bond vector Letting ε (x) be the In-As bond strain which varies linearly with x between ε0=002 and 0 while approximating the lattice parameter by the VCA expression a(x)=(1-x)aGaAs+xaInAs and using the coefficients for the linear dependence of the MASAs with the lattice parameters we get

( )[ ]))(1(24)1()1()( 0021

0020

20021

0021

002002002 ∆+minus+minus∆minus++minusasymp AsGaAsAsInGaInAsGaAs xcfxccxxxSSxxS επ which can be easily cast into the form given in ref [3] with the so-called bowing parameters given explicitly ∆=aInAs-aGaAs is the lattice mismatch Using the calculated SF of the binary systems (-0209 nm for GaAs and 1029 nm for InAs) together with the fit parameters obtained above we find that according to this formula the SF vanishes at an In concentration of 170

For higher temperatures we have calculated the SF for all possible In concentrations and the trend is that the SF decreases as the temperature increases with a consequence that the In concentration at which the SF vanishes shifts to higher values (see Fig 5) The insertion of a small amount of N into Ga1-xInxAs is found to lead to an increase in the 002 SF thereby shifting the In concentration at which the SF vanishes to lower values A temperature behavior similar to that of the Ga1-xInxAs system is seen for the quaternary system Ga1-xInxAs 095N005 which shows that at a temperature of 5K the In concentration at which the 002 SF vanishes is 114 while at 300K it is 118 4 Conclusions We have shown that the modified atomic scattering amplitudes are strongly affected by variations in the lattice parameter suggesting that the SF of materials under extreme conditions such as high pressure should be interpreted taking account of the dependence of the atomic scattering amplitudes with pressure (or lattice parameter) The effect of temperature on the 002 structure factor of Ga1-xInxAs bulk systems has been incorporated accounting for the effect on the MASAs the static atomic displacements and temperature induced atomic displacements The MSDs of the various atoms in the mixed systems have been shown to be a nonlinear asymmetric function of the In concentration This complexity in the relationship between the MSD and In concentration on the one hand and temperature on the other hand makes the introduction of temperature effects on the SF via the Debye-Waller factor a non trivial issue The method suggested here does not require an explicit knowledge of the Debye-Waller factor for finite temperature structure factor calculations and can be easily generalized for other diffraction spots

Fig 5 Left DFT calculated SF of 64 atom Ga1-xInxAs system Right finite temperature SF of Ga1-xInxAs system

194 T J Titantah et al

Acknowledgements This work was supported by the FWO-Vlaanderen under project G042505 and by the Deutsche Forschungsgemeinschaft under contract number RO20574-1 References 1 Doyle P A and Turner P S 1968 Acta Cryst A 24 390 2 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 3 Glas F 2004 Phil Mag 11 2055 4 Mikkelsen J C and Boyce J B 1983 Phys Rev B 28 7130 5 Petkov V et al 1999 Phys Rev Lett 83 4089 6 Keating P N 1966 Phys Rev 145 637 7 Rosenauer A Fischer U Gerthsen D and Forster A 1998 Ultramicroscopy 72 121 8 Stahn J Moumlhl M and Pietsch U 1997 Acta Cryst B 54 231 9 Reid J S 1982 Acta Cryst A 39 1 10 Vitelino J F Gaur S P and Mitra S S 1972 Phys Rev B 5 2360 11 Cagnon J Buffat P A Stadelmann P A Leifer K 2003 Inst Phys Conf Ser 10 203 12 Patriarche G Largeau L Harmand J C and Gollub D 2004 Appl Phys Lett 84 203 13 Blaha P et al 2001 WIEN2k 14 Perdew J P and Wang Y 1992 Phys Rev B 45 13244 15 Titantah J T et al 2007 Appl Phys Lett submitted 16 Tersoff J 1986 Phys Rev Lett 56 632 17 Bonapasta A A and Scavia G 1994 Phys Rev B 50 2671

Calculation of Debye-Waller Temperature Factors for GaAs M Schowalter A Rosenauer J T Titantah1 and D Lamoen1

Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee 1 28359 Bremen Germany 1 Theoretical study of matter Department of Physics Universiteit Antwerpen Groenenborgerlaan

171 2020 Antwerpen Belgium Summary In this work we calculated the Debye-Waller factors (DWFs) of GaAs in the temperature range from 0001 K up to 1000 K The resulting temperature dependence is fitted using an approach outlined in the paper For the calculation of the DWFs the phonon frequencies in GaAs were deduced from Hellmann-Feynman forces computed from supercells within the density functional theory approach The calculated frequencies are compared with experimentally measured frequencies 1 Introduction Quantitative high resolution transmission electron microscopy (HRTEM) and Z-contrast imaging are based on the comparison of experimental and simulated images The simulations require an accurate knowledge of the Fourier components of the Coulomb potential For GaAs the Fourier component hkl is given by

sumsum= =

prop2

1

4

1 )2exp(

υυυυ π

nn

hklhklGaAs

hklGaAs

hklGaAs rgifDV rr

(1)

where hklGaAsf υ is the atomic scattering amplitude of atomtype υ and

)41exp(

hklhklhklGaAs gBgD vv

υυ minus= is a damping factor containing the DWF υB The index n

is running over all equivalent atoms of atom υ in the unit cell Typically atomic scattering amplitudes were calculated for isolated atoms (eg Ref [1]) and therefore do not take into account the redistribution of charge due to bonding Such effects can be considered by eg the lsquomodified atomic scattering amplitudesrsquo [2] However values of the DWF are not known accurately for many materials or are only known for some temperatures

The DWF υB is related to the static correlation function of the displacements

gt=lt υυυ jiij UUu of atom υ by υυ π 2

8 ijij uB = where υiU is the displacement of atom υ in direction i The static correlation function and the Debye-Waller factor in general are matrices but simplify due to symmetry to scalars for GaAs

In principle the DWFs can be measured using x-ray scattering neutron scattering or the electron precession technique [3] For GaAs the Debye-Waller factors were measured recently by Stahn et al [4] using x-ray scattering at a temperature of 287 K They found that the DWF of Ga is larger than the DWF of As The DWFs of GaAs were calculated using shell models for some temperatures by Reid [5] He found that the DWF of Ga is smaller than the DWF of As whereas equal DWFs were found by Vetelino et al [6] For some sphalerite type semiconductors Gao and Peng interpolated the values of Reid [5] using two 4-th order polynomials for temperature below 80 K and above They also gave DWFs of elemental crystals from experimentally determined phonon densities of states )(ωg using the relation

196 M Schowalter et al

intinfin

=0

2 )2

coth()(4 ω

ω

ω

ωπ dTkgm

B B

h

h (2)

where m is the mass of the atom h is Planckrsquos constant divided by π2 Bk is Boltzmannrsquo s constant and T is the temperature

In this paper we derive the phonon density of states (PDOS) from Hellmann-Feynman forces calculated within a density functional theory (DFT) approach and we use Eq (2) for the calculation of the DWFs of GaAs The temperature dependence of the DWFs is fitted by an approach with only one fit parameter 2 Calculation of the Phonon Density of States The PDOS was derived from the Hellmann-Feynman forces which were computed using the WIEN2k code [8] according to the method of Parlinski et al [9] The WIEN2k code uses atomic like functions as basis set within spheres with radius MTR (muffin-tin spheres) around the atom positions and plane waves outside The sampling of the irreducible Brillouin zone was performed using 360 k-points for the primitive unit cells and the plane wave cut-off maxK was set in such a way that 7max =KRMT The local density approximation (LDA) was used for the exchange and correlation part of the potential

In order to compute accurate Hellmann-Feynman forces the total energy of GaAs unit cells as a function of the lattice parameter was calculated It exhibited a minimum of the total energy around 057 nm In the vicinity of the minimum the total energy depends quadratically on the lattice parameter and the fit of the total energy by a quadratic function yielded a lattice parameter of 05617 nm Note that LDA results in overbinding and therefore the calculated lattice parameter is slightly smaller than the experimental one

Using the optimised lattice parameter 2x2x2 supercells were generated In a each supercell a Ga atom or alternatively an As atom was displaced by 3 pm Due to the cubic symmetry it is enough to displace the atom just in one direction eg the z-direction However in order to eliminate small residual forces that could be present we also displaced the atoms by -3 pm For each of the four resulting supercells we computed a set of Hellmann-Feynman forces on each atom in the supercell due to the displaced atom using the Wien2k code The k-mesh was adjusted according to the size of

the unit cell used for the lattice parameter optimization in order to provide the same quality of the k-mesh for the computation of the forces The forces corresponding to the displacement of 3 pm and -3 pm respectively were symmetrized

From the Hellmann-Feynman forces and the corresponding displacement force constants were derived for all atoms in the supercell and therefore exhibit a relation between the bond length and the force constant The dynamical matrix )(qrΞ corresponding to a phonon wave vector qr can be derived by a Fourier transformation of the force constants In order to obtain the phonon frequencies

Fig 1 Comparison of the calculated phonon dispersion curve (lines) and with measured phonon frequencies (dots) [10]

Calculation of Debye-Waller Temperature Factors for GaAs 197

)( lqrω corresponding to the phonon wave vector qr and the phonon branch l the eigenvalue problem

)()()()( 2 lqelqlqeq rrrrrr ω=Ξ (3)

was solved To check the accuracy of the

calculated phonon frequencies we derived phonon frequencies along certain directions in the irreducible Brillouin zone The selected q-path was

WZXLX minusminusminusminusΣminusminus∆minusΓ )()()(In Fig 1 the calculated values are compared with measured values of Strauch and Dorner [10] The calculations are in good agreement with the experiments

The partial PDOS was derived by calculating the phonon frequencies for a finite number n of phonon wave vectors qr by

)()())((1)(

υυωωδ

ωω ωυ lqelqelq

ndg ji

lqij

rrrrrrsum minus

∆= ∆ (4)

where )(xωδ ∆ is 1 if 22ωω ∆

lelt∆

minus x and 0 otherwise d is the dimensionality of the dynamical

matrices the indices ij indicate the component of the eigenvector and υ is the atom type The total PDOS can be obtained from the partial PDOS just by summing up all partial PDOS Note that all the partial PDOS are normalized in such a way that the integral over the partial PDOS results in 1r where r is the number of the degrees of freedom of the primitive unit cell From the partial PDOS the matrix of the Debye-Waller factor can be easily obtained from Eq (2) by substituting the PDOS by the partial PDOS It turned out that 100 000 phonon wave vectors were enough to get a PDOS producing converged values for the DWFs Figure 2 shows the temperature dependence of the static correlation function 11u of Ga together with the fit by the Einstein model for the PDOS The DWF increases linearly for large temperatures and tends to a constant value for low temperatures 3 The Fitting Procedure for the Debye-Waller Factors In order to provide the DWFs to the reader a suitable fit function has to be found In Fig 2 the static correlation function was fitted applying an Einstein model for the PDOS by

)2

coth(2

TkMu

B

c

c

υ

υυυ

ωω

hh= (5)

where cω is the characteristic frequency at which the δ -function is situated and υ indicates the atom type For large temperatures the DWF is fitted very well but slight deviations can be observed for small temperatures However this already shows that Eq (5) exhibits already a rather suited function for the fit of the DWFs For the derivation of the fit function we apply the mean value theorem to Eq (2) The mean value theorem states

Fig 2 The static correlation function u11 in Aring2

of Ga in GaAs as a function of temperature and the fits using the Einstein model for the PDOS and our procedure

198 M Schowalter et al

int int=b

a

b

a

dttgcfdttgtf )()()()( (6)

where f(t) and g(t) are continuous functions and altcltb Associating g(t) with the PDOS and f(t) for the other terms and applying the mean value theorem to the Eq (2) yields for the static correlation function Eq (5) where

)( Tcc υυ ωω = is a function that is only slightly depending on the temperature (see Fig (3)) The temperature dependence of )( Tc υω was fitted using a Gaussian like function

BTATc +minus= )exp()( 2 σω υ (7)

For the fit only σ was used as a fit parameter since the parameters A and B can be determined from the limits of

)( Tc υω for infinrarrT and 0rarrT Fit parameters and the limits are given in Tab 1 The fit of

)( Tc υω is not very good but is sufficient to fit the DWFs (see full line in Fig 2) The fit of the DWFs yields deviations smaller than 1 At a temperature of 287 K Stahn et al [4] measured a mean square displacement of uGa=000844 Aring and uAs=000716 Aring Our calculations result in values of uGa=000845 Aring and uAs=000726 Aring at the same temperature This clearly shows that our values are more accurate than those presented by Reid et al [5] and Gao and Peng [7] where the DWF of Ga is smaller than that of As

4 Conclusion We derived DWFs for GaAs based on Hellmann-Feynman forces computed within the DFT approach Calculated values were in good agreement with recent experiments Finally an efficient fit method was derived and applied to fit the temperature dependence of the DWFs References 1 Doyle P A and Turner P S 1968 Acta Cryst 24 390 2 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 3 Midgley P A Sleight M E Saunders M and Vincent R 1998 Ultramicroscopy 75 61 4 Stahn J Moumlhle M and Pietsch U 1998 Acta Cryst B54 231 5 Reid J S 1983 Acta Cryst A39 1 6 Vetelino J F Gaur S P and Mitra S S 1972 Phys Rev B 5 2360 7 Gao H X and Peng L-M 1999 Acta Cryst A55 926 8 Blaha P 2001 Wien2k ISBN 3-9501031-1-2 9 Parlinski K Li Z Q and Kawazoe Y 1997 Phys Rev Lett 78 4063 10 Strauch D and Dorner B 1990 J Phys Condens Matter 2 1457

Ga As

σ [103K2] 2005 2063

)0(υωc [THz] 2449 2595

)( infinυωc [THz] 2033 2117

Tab 1 Fit parameterσ and limits

)0(υωc and )( infinυωc

Fig 3 Calculated )( TGacω and fit by Gaussian as a function of temperature

The Use of the Geometrical Phase Analysis to Measure Strain in Nearly Periodic Images J-L Rouviere CEA-Grenoble DRFMCSP2MLEMMA GEM-Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary The geometrical phase analysis (GPA) is a simple and efficient method to measure strain in nearly periodic images and especially high resolution transmission electron microscopy (HRTEM) images In a few steps GPA averages and fits the best lattice parameter in a given region The accuracy of GPA is determined by analyzing Si perfect crystal HRTEM images This precision varies with the mask size employed that is to say with the size of the region over which the measure is averaged When averaging on large areas (9x9nm2) variations of 01 pm on lattice fringe periods d of about 02nm that is to say ∆dd ~ 5 10-4 can be detected The correction of the distortions introduced by the imaging system (lenses or CCD camera) is presented It is shown that for a given JEOL4000FX microscope and a given magnification the correction images did not change significantly during a 3 year period and were similar at 400kV and 300kV On the contrary the absolute measure of the (111) Si lattice parameter (in pixels) varies significantly during time (it is certainly a function of the fine tuning of the objective lens) The method is applied to Moireacute images where large strains (more than 100) are accurately measured by GPA 1 Introduction In microelectronics there is a great need to measure accurately the strain and stress locally in nanometric devices Several methods have been used to assess the strain values in such devices [1] HRTEM seems well adapted in terms of magnification but seems to suffer from precision in lattice parameter measurement or from thin foil relaxation In this paper only the first of these issues will be analysed by studying the precision of strain measurement in HRTEM images The GPA method introduced independently by [2] and [3] is used 2 Experimental Details For a detailed presentation of the method we refer to [3] and [4] Here we just recall the few main parameters of the method which are the mask shape size and central position and in the standard analysis the choice of a reference region R0 In this work a Gaussian mask centred at position g exp(-05(x-g)2σ2) and having a soft cut at 3σ is used σ which is the standard deviation is referred to as the mask radius For an estimation of the precision we find it easier to analyse how the lattice parameter in pixels varies on a given image of a perfect crystal In that case the choice of the reference region R0 is not necessary as the local lattice parameter d(r) does not depend of the reference region Indeed d(r) is just equal to the inverse of the gradient of the Geometrical Phase d(r) =1g(r) = 2π nablaPg (r) (Equ 1) the phase Pg

varying between 0 and 2π Experimental images were acquired on a 2kx2k Gatan CCD mounted on a JEOL 4000EX

microscope Perfect silicon crystals were mechanically thinned with a tripod tool

200 J-L Rouviere

Fig 2 Half width ∆d at half height of the histogram of the (220) interplanar distance in function of the reciprocal mask radius σ (in pixel)The associated direct radius rdir is given in nm

3 Precision of GPA In order to evaluate the precision on the local lattice parameters high resolution images of perfect silicon crystal were taken either in a lt110gt or lt100gt direction The atomic columns must have a rather uniform aspect all over the CCD camera Double frequency contrast was avoided The constraint on the thickness is less important as we check by analysing simulated images that in Si observed along the lt001gt and lt110gt direction the phase changes only slowly with thickness (typically a change of phase of 003 radian for a change of thickness of 20nm) This is due to the symmetry of the Si structure Abrupt changes in the phase only occur when double frequency and reverse contrast happens (for instance atomic column contrast changes from black to white) In Fig 1a although not visible at this magnification (see inset Fig 1b for the visualisation of the columns) the atomic columns have a uniform shape all over the picture although the top left corner is slightly darker because it is slightly thicker The (220) interplanar distance d220 as deduced from equ 1 is shown in Fig 1d Interplanar distances are not constant all over the image d220 average values vary from 816 pixel to 82 pixels Fig 1f and 1g show the displacements ux and uy in pixels respectively along the horizontal and vertical directions Displacement of 7 pixels nearly one (220) interplanar distance can be found between different places of the image We use these displacement images to correct the initial phase image Pg

corr (r) = Pg (r) minus 2π (gxux (r) Nx + gyuy (r) Ny ) (Equ 2) This equation is slightly different from the one in [5] but it is equivalent In equation 2 ux uy gx and gy are expressed in the pixel unit of the image x being horizontal and Nx and Ny being respectively the horizontal and vertical image sizes (here Nx = Ny=N)The corrected (220) interplanar

distance image (Fig 1e) is more uniform than the uncorrected one and lower variations in d220 average values are found between the different areas of the image

Figure 1c is the histogram obtained from the square region of Fig 1d It allows the definition of the uncertainty ∆d with which d is measured It can be checked that ∆d is a function of the mask radius σ (Fig 2) The narrower is the reciprocal mask (σ small) the wider is the region over which the signal is

Fig 1 a) HRTEM image of perfect silicon taken in [001] direction b) Zoom of Fig 1a c) Histograms of (220) interplanar distance d220 taken in the centre of Fig 1d (curve defined by the filled surface) and Fig 1e (solid line) Horizontal units are in pm and pixels d) d220 image obtained from the uncorrected phase (σ=20) The grey scale is in pixel unit The square indicates where the histograms of Fig 1c are taken e) Corrected d220 image f-g) ux and uy displacement images (pixel units)

The Use of the GPA to Measure Strain in Nearly Periodic Images 201

Fig 3 a) Uncorrected (111) interplanar distance map d111 Given values in pixel are averaged over the underlying white rectangles Values are not uniform in the Si066Ge034 layer b) Corrected (111) interplanar distance map giving more uniform values

averaged in the direct space We estimate to rdir=3N(2πσ) the radius of the region over which average is realised [4] The pixel

size being equal to 002352 nm in Fig 1 rdir is equal to 23 nm (978 pixel) 115 nm (489 pixel) and 057 nm (244 pixel) for mask radius σ respectively equal to 10 20 and 40 pixels (Fig 2) Using this and Fig 2 we find that GPA has a precision of ∆d= plusmn047 pm (002 pixel) that is to say ∆dd = 25 10-3 for an average on a 23x23 nm2 region (23 = 2rdir) and a precision of 008pm (00033 pixel) ie ∆dd = 4 10-4 for an average on a 9x9 nm2 region (this is the case of σ = 5 pixel in Fig 2)

Having acquired reference images for several months we observe that at a given magnification the correction displacement images are quite stable with time and that it is not necessary to acquire new correction images for every sample We have also noticed that the correction images do not vary significantly from 300kV to 400kV and that the same files can be used at both tensions On the contrary the absolute measurement can change from one image to another one For instance d220 values can be equal to 81 pixel one day and 83 pixel another one We suspect that this difference is due to slight different excitations of the objective lens In contrast these different excitations produce a negligible change in the correction displacement images because intrinsic deformations are mainly introduced by the projection lenses (as cited in [5]) and by the CCD camera Fig 3 illustrates the necessity of the correction when strain is analysed on the whole image Only when the phase is corrected the distance maps in the different materials are uniform It can be noticed that in Fig 3b the difference between d111Si and d111SiGe is about 0028 pixel that is to say 06pm Without the correction (Fig 3a) such differences are already observed in the SiGe layer 4 Some GPA Characteristics In order to better understand the characteristics of GPA we measure the precision in function of the number of pixel in the interplanar distance d220 The d220 lattice parameter of a given image was thus changed from 8179 pixels (original 2048x2048 image) to 20448 pixels (binned 512x512 image) (Fig 4) The relative precision ∆dd is as good in the two images even if the silicon lattice is difficult to see in Fig 3b Any peak finding algorithm would certainly fail when analyzing Fig 4b However acquiring experimental images where periods are about 2 pixels is rather tricky

Fig 4 a) Partial zoom of a 2Kx2K HRTEM image of Si observed along [001] b) Partial zoom of the same image but binned to a 512x512 image c-d) (220) interplanar distance maps obtained respectively from Fig 4a and Fig 4b Average values in the square rectangle and uncertainties ∆a (in pixel and pm) are given e-f) Power spectrum of Fig 4a and Fig 4b White circles indicate the position and size of the Gaussian mask The radius of the circle is equal to 3σ = 60 pixels

202 J-L Rouviere

We also check that GPA can handle large strain analysis if great care is taken in the definition of strain (Lagrange or Euler strain see [4]) The only restriction is that all the frequencies corresponding to the analysed distances are present in the reciprocal lattice mask Fig 5a is a plan view image of a stressed silicon wire of 3 microns width on top of a Si substrate covered with a thick oxide layer [6] The moireacute patterns are created by double diffraction in the wire and in the silicon substrate The stressed silicon wire is supposed to have the lattice parameter of a Si08Ge02 alloy in the plane of its interface with oxide [6] On Fig 5b it can be checked that for strain as high as a 100 GPA gives the same result as a project method The advantage of GPA is to give quickly and simply a 2D image of the strain

Fig 5 a) Moireacute plan view image of a stressed Si wire on top on a Si substrate covered with a thick SiO2 layer b) Strain analysis of the vertical projection of Fig 5a The top wavy curve is the average vertical projection of Fig 5a The grey solid curve is the strain profile obtained by GPA The curve with steps is the strain profile obtained by measuring the distances between adjacent minima

5 Conclusion We have assessed the precision of GPA and determined correction displacement images by analysing HRTEM experimental images of perfect Si crystals When averaging over large regions a very good precision is obtained This result is particularly interesting when long range stress in a crystalline substrate is analysed However such precision cannot be obtained near interfaces or in tiny nanocrystals Several interesting characteristics of GPA have been pointed out For instance it is not necessary to have large number of pixels in the analysed lattice fringe spacing 3 or 4 pixels per period is as good as using more pixels We recall that GPA can handle the measurement of large displacements References 1 Cleacutement L Rouviere J-L Cacho F and Pantel R this Proceedings volume 2 Takeda M and Suzuki J 1996 J Opt Soc Amer A13 1495 3 Hytch M Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 4 Rouviegravere J-L and Sarigiannidou E 2005 Ultramicroscopy 106 1 5 Hue F Johnson C L Lartigue-Korinek S Wang G Buseck P R and Hyumltch M J 2005 J Electron

Microsc 54 181 6 Beacutecheacute A Rouviegravere J-L Barbeacute J-C Andrieu F Eymery J Mermoux M and Rouchon D this

Proceedings volume

Cross Section High Resolution Imaging of Polymer-Based Materials D Delaportas P Aden C Muckle1 S Yeates2 R Treutlein3 S Haq4 and I Alexandroua Electrical Engineering amp Electronics University of Liverpool Liverpool L69 3GJ UK 1School of Biological Science University of Liverpool Liverpool L69 7ZB UK 2School of Chemistry University of Manchester Manchester M13 9PL UK 3HUECK FOLIEN GesmbH 4342 Baumgartenberg Austria 4Advanced Technology Centre BAE Systems Filton Bristol BS34 7QW UK Summary This paper describes a methodology for preparing cross sections of organic layers suitable for transmission electron microscopy (TEM) at high resolution Our principal aim is to prepare samples that are tough enough to allow the slicing into sub-150 nm sections We also need strong contrast at the organic layer area to make it identifiable during TEM Our approach is to deposit organic layers on flexible substrates and prepare thin cross sections using ultra-microtomy We sandwich the organic layer between two metal thin films in order to isolate it and improve contrast Our methodology is used to study the microstructure of polymernanotube composites allowing us to accurately measure the organic layer thickness determine nanotube dispersion and assess the effect of nanotube clustering on film structural stability 1 Introduction Even though organic electronics technology has improved impressively in the last decade [1-3] and large scale circuits are routinely built there has been little progress in methodologies for imaging the devices formed In organic devices wetting of successive layers is important in an effort to minimise potential barriers at junctions In an effort to improve device performance device parameters shrink continuously and soon methods for imaging cross sections of organic layers or multi-layers at high resolution will be needed Cross section methodologies should avoid distorting the sample structure and also strengthen the sample so that sections thin enough for transmission electron microscopy (TEM) can be prepared in short times and at low cost

We have examined the suitability of ultra-microtomy for the production of thin cross sections of organic layers The main challenge is to find substrates compatible with ultra-microtomy which also accentuates the contrast from the layer under examination In this work we have deposited low contrast polymer-nanotube composites on flexible substrates pre-coated with Al thin film In order to avoid buckling of the film under slicing using an ultra-microtome we embedded the sample in resin Mixing between resin and the organic layer was prevented by depositing a second Al thin film over the sample surface Locating our organic layer near Scherzer defocus is easy due to the presence of a metallic film above and below it The prepared samples allowed us to examine polymer adhesion on the nanotube surface the dispersion of nanotubes and its effect on the strength of the composite 2 Experimental The organic composites of interest were prepared by mixing solutions of P3HT polymer with carbon nano-particles both materials dispersed individually in Xylene The carbon nanoparticles were produced using an electric arc in water [45] P3HT was prepared in house at the Organic Materials Innovation Centre (OMIC) in Manchester Composite layers were deposited by drop casting onto 1x3 cm flexible substrates Two different kinds of substrates were tested (1) Resin blocks and (2) thin polymer sheets coated with a thin layer of Al (Hueck Folien) Thin cross sections for TEM were prepared using a Reichert Ultracut Ultra-microtome [6] All TEM work was carried out using a FEI 120kV Tecnai G 2 Spirit BioTWIN instrument

a Corresponding author e-mail ioannislivacuk

204 D Delaportas et al

3 Results and Discussion Our initial efforts concentrated on thin polymer-nanotube films encapsulated in resin The poor contrast between the resin and our featureless samples made it almost impossible to locate our material We therefore tested a flexible substrate (Hueck Folien GmbH amp Co) covered with a very thin layer of Al the latter used as a strong contrast agent

If the prepared samples are strengthened by encapsulation in resin they will be suitable for slicing using ultramicrotomy However the user would need to seek the strongly diffracting Al layer amidst several microns of featureless material (flexible substrate organic layer resin) a difficult task due to the existence of stress lines created during cutting Another issue is that the resin layer needs to be cured at high temperature (asymp60oC) During this process the polymernanotube composite will mix with the resin and structural information about the surface of the composite will not be reliable Therefore we have deposited a second Al layer on our composite film prior to resin encapsulation This approach presents two advantages Intermixing between resin and composite is avoided and the pattern of the sample becomes more distinctive Instead of one thin line with strong contrast the user seeks two strongly diffracting almost parallel lines The distance between them is constant and equal to the thickness of the composite film Figure 1 shows the importance of resin encapsulation A 50wt polymer-nanotube composite was drop cast on the Hueck Folien substrate and a 150nm layer of Al was then evaporated on top Figure 1a shows a section of the sample without resin encapsulation Moving from the left bottom corner of the image diagonally upwards we can distinguish the Hueck Folien flexible substrate coated with Al the polymer nanotube composite and finally the top evaporated Al layer Figure 1b on the other hand shows a section from the same sample prepared by encapsulating the structure of Fig 1a in resin before cutting Moving downward on the image we see the flexible substrate the Al layer

Fig 1 a) Medium thickness section (110nm) of the sample without encapsulation in resin b) 90nm section of the same sample encapsulated in resin Stress inducedcompression of the composite layer is clearly avoided byencapsulation in resin

Fig 2 With our methodology 90 nm appears to be the optimum cross section thickness When we attempted60 nm sections the sample buckled under cutting stresses

Cross Section High Resolution Imaging of Polymer-Based Materials 205

the polymer-nanotube composite and the resin Even though both sections represent the same composite layer its thickness appears very different The absence of resin in sample (a) allows the cut-induced stress to shrink the composite layer Comparing images Fig 1a and Fig 1b we see that the Al layer appears flat in Fig 1b showing that cut-induced stress is less when the sample is encapsulated in resin The film thickness calculated from images similar to that shown in Fig 1b was about 1 microm a reasonable value for drop cast samples Finally when we attempted to prepare thinner sections (60 nm) the sections were too thin to withstand the cut-induced stresses Figure 2 shows that the sample was damaged during cutting We have found that for our materials the optimum section thickness is 90 nm

As soon as we established our sample preparation methodology we used the produced sections to investigate the structural properties of our P3HTnanotube composites It is widely accepted that the toughness and stability of polymers improve markedly when they are mixed with carbon nanotubes [78] Mechanical fortification of polymers by nanotubes results from strong covalent bonds between the two materials and uniform dispersion of the nanotubes is required for best results However our

nanotube powder includes wide clusters which are usually not dispersed even after prolonged ultrasonic agitation Figure 3a shows one such nanotube agglomerate proving that unfortunately blending of the nanotube powder with polymer does not improve dispersion of the agglomerates Even though the interaction of polymer with the nanotubes at the periphery of the clusters might still be strong the absence of polymer in the interior of clusters means that the cohesion of the material in such areas is poor Therefore Fig 3b depicts a frequently observed situation the composite has split right through the nanotube agglomerate Images at higher magnification show that the nanotubes at the centre of the composite are not covered with polymer proving that indeed polymer does not penetrate into the heart of the agglomerate However when one images nanotubes at the edges of agglomerates the nanotubes appear wrapped in polymer Figure 4 shows two cases of nanotubes clearly wrapped in polymer It is interesting to note that even though the composite has split the nanotubes protruding from the film are still wrapped in polymer This shows that wherever polymer

Fig 3 Structurally nanotube agglomerates appear to be the weakest points of the composite a) nanotube cluster b) composite incision into a nanotube cluster

206 D Delaportas et al

has penetrated the composite the adhesion on carbon nanotubes is very strong 4 Conclusions

Here we have presented a methodology for preparing cross sections of organic layers for imaging at high resolution using TEM The right choice of substrate material and encapsulation with thin metallic layers ensures that the layers of interest can be protected and kept intact during the process Encapsulation of the final structure in resin seems necessary if one wants to minimise structural deterioration during sectioning Importantly the enclosure of the material under examination between two metallic layers improves contrast around the examined material Our approach constitutes a method that allows the examination of a large number of samples within a couple of days Putting our methodology to use we have successfully examined P3HT nanotube composites Our preliminary results show that wherever the two materials mix P3HT adheres well on the nanotubes a perquisite for creating polymer-nanotube functional materials References 1 Shaw J M and Seidler P F 2001 IBM J Res amp Dev 45 3 2 Chua L L et al 2005 Nature 434 194 3 Kymakis E Alexandrou I and Amaratunga G A J 2002 Synthetic Met 127 59 4 Sano N Wang H Chhowalla M Alexandrou I et al 2001 Nature 414 506 5 Alexandrou I Wang H Sano N and Amaratunga G A J 2004 J Chem Phys 120 1055 6 Park G S 1999 Surf Coat Tech 115 52 7 McCarthy B et al 2002 J Phys Chem B 106 2210 8 Lahiff E Leahy R Coleman J N and Blau W J 2006 Carbon 44 1525

Fig 4 Carbon nanotubes imaged around a hole in the sample Even though the bulk of polymer has retracted the nanotube is still wrapped in polymer proving good adhesion between polymer and nanotubes

Direct Observation of Carbon Nanotube Growth by Environmental Transmission Electron Microscopy H Yoshida T Uchiyama and S Takeda Department of Physics Graduate School of Science Osaka University 1-1 Machikaneyama Toyonaka Osaka 560-0043 Japan CREST Japan Science and Technology Agency Kawaguchi Saitama 332-0012 Japan Summary We have succeeded in direct observations of the growth of a multi-walled carbon nanotube (MWNT) in an ethanol gas by environmental transmission electron microscopy A short MWNT has been grown by chemical vapor deposition from ethanol using Co as a catalyst The catalyst nanoparticle has lifted off the substrate and at the same time a MWNT has been grown After the interruption of the growth the MWNT has been gradually transformed to a carbon onion We consider that the transformation has been induced by knock-on displacement and Stone-Wales rearrangement 1 Introduction Recently various nanomaterials have been grown via solid-gas reactions For example carbon nanotubes (CNTs) [1 2] one of the most promising nanomaterials for future nanotechnology are grown from metal catalysts in source gases Controlled growth of their structure is required in order to apply their outstanding properties to future nanodevices This suggests that solid-gas reactions need to be understood at the atomic level In this respect transmission electron microscopy (TEM) using a gas-filled specimen chamber which is occasionally called environmental-TEM (ETEM) is one of the best techniques [3-5] In this paper we show in situ observations of the growth of a multi-walled CNT (MWNT) and transformation from the MWNT to a carbon onion 2 Experimental Procedure A Si disk 3 mm in diameter was taken from a Si wafer The centre of the Si disk was mechanically thinned using an ultrasonic drill and then chemically perforated in a mixed solution of HFHNO3=16 The edge of the hole was very thin and transparent to fast electrons After that the surface of the Si disk was oxidized by heating in the air at 1000 ˚C for 2 hours The surface oxide layer was necessary to prevent silicidation of the catalyst A Co thin film about 01 nm thick was deposited on the one side of the disk

The specimen was set in a TEM heating holder and inserted in the newly designed ETEM instrument (FEI Tecnai F20 equipped with E-cell) in Osaka University operated at 120 kV After that the specimen was heated to 650 ˚C and then ethanol gas was introduced for the ETEM as a source gas of CNTs The ETEM pressure was 01 mbar Successive TEM images were recorded using a CCD camera with a rate of 1 frame per 035 s 3 Results and Discussion 31 Growth of MWNT We show an in situ observation of MWNT growth by ETEM in Fig 1 Before the growth the shape of the catalyst nanoparticle is a sphere (Fig 1a) Then the shape changes into an elongated

210 H Yoshida et al

shape (Figs 1b to 1d) At a certain moment the catalyst nanoparticle lifts off the substrate and contracts to a spherical shape (Figs 1e and 1f) At the same time a MWNT grows Similar observation of the growth of a MWNT have been reported by Helveg et al [4] though their experimental conditions were different from our conditions for example they used a mixture of methane and H2 as a source gas and Ni as a catalyst

The shape of the catalyst nanoparticle changes drastically during the growth of the MWNT There are several possible states of the catalyst nanoparticle First the catalyst nanoparticle is molten during the growth The melting point of Co is 1495 ˚C and the equilibrium eutectic temperature of the Co-C alloy is about 1320 ˚C [6] These temperatures are higher than the growth temperature in this experiment ie 600 ˚C It is well known that the melting points of materials depend on their size [7] As the size becomes smaller the melting point becomes lower Considering the size effect it is very likely that the equilibrium eutectic temperature of the Co-C alloy with nanometre size is reduced to below 1000 ˚C Actually the melting point of Co nanoparticles of 30 nm diameter is reduced to 600 ˚C in a methane ambient [8] Thus it may be possible to melt the catalyst nanoparticle at 600˚C in the ethanol ambient Second the catalyst nanoparticle may be solid It is well known that the shape and the structure of particles fluctuate

Fig 1 Successive TEM images showing the growth process of a MWNT The time lapse from (a) is shown in the bottom left of each image

Direct Observation of Carbon Nanotube Growth by ETEM 211

when the size of particles is very small [9 10] The other possibility is that the catalyst nanoparticle is partially molten After the growth diffraction contrast can be observed frequently as shown in Figs 1g to 1i and therefore the catalyst nanoparticle is solid This diffraction contrast is observed at various positions This means that the orientation of the crystal relative to the beam changes The most probable reason is that the catalyst nanoparticle rotates Another possibility is that the atomic arrangements change Moreover it is possible that the catalyst repeats melting and recrystallizing rapidly In order to clarify the state of the catalyst nanoparticle more detailed observations are necessary 32 Transformation from MWNT to Carbon Onion We continued to observe the short MWNT shown in Fig 1 Then the MWNT shrank gradually and finally transformed to a carbon onion [11] as shown in Fig 2 At the first stage the number of graphitic layers is unclear as shown in Fig 2a After a certain moment the graphitic layers become observable clearly as shown in Fig 2b We consider that the amorphous carbon on the MWNT was graphitized Since more amorphous carbon adhered around the root the number of graphitic layers is larger at the root The MWNT which consists of 3 to 5 layers transforms to a carbon onion with 5 shells

We discuss the mechanism of the transformation briefly Closed-cage structures such as carbon onions and fullerene can be formed by replacing some six-membered rings with five- or seven-membered rings geometrically In this experiment ETEM was carried out at 120 kV By such intense electron irradiation C atoms are ejected from the CNT by knock-on displacement [12 13] Molecular dynamics simulations [12] show that high-membered rings are formed in single-walled CNTs by the ejection of C atoms and then such unstable rings disappear while leaving five- six- and seven-membered rings by the Stone-Wales rearrangement [14] The same phenomena probably occur in the short MWNTs Consequently the MWNTs shrink and transform to a carbon onions Ugarte has reported that soot containing tubular or polyhedral graphitic particles is deformed to carbon onions by intense electron beam irradiation (at an electron energy of 300 keV)[15] Though his experiment was performed in vacuum it seems that the observation region was C rich since many graphitic particles

Fig 2 Successive TEM images showing the transformation process of a MWNT into a carbon onion The time lapse from (a) is shown in bottom left of each image

212 H Yoshida et al

existed and were irradiated by the intense electron beam Similarly our experimental condition was C rich ie ethanol gas of 01 mbar We speculate that such a C rich environment and electron irradiation contribute to the transformation Acknowledgements The authors are indebted to Prof Yoshikazu Homma who suggested this study to us This work was supported by CREST-JST References 1 Iijima S 1991 Nature 354 56 2 Iijima S and Ichihashi T 1993 Nature 363 603 3 Yoshida H and Takeda S 2005 Phys Rev B 72 195428 4 Helveg S Lόpez-Cartes C Sehested J Hansen P L Clausen B S Rostrup-Nielsen J R Ablid-

Pedersen F and Noslashrskov J K 2004 Nature 427 426 5 Sharma R and Iqbal Z 2004 Appl Phys Lett 84 990 6 Massalski T B 1986 Binary Alloy Phase Diagrams (American Society for Metals) 7 Buffat P and Borel J-P 1976 Phys Rev A 13 2287 8 Homma Y Kobayashi Y Ogino T Takagi D Ito R Jung Y J and Ajayan P M 2003 J Phys

Chem B 107 12161 9 Iijima S and Ichihashi T 1986 Phys Rev Lett 56 616 10 Smith D J Petford-Long A K Wallenberg L R and Bovin J-O 1986 Science 233 872 11 Iijima S 1980 J Cryst Growth 50 675 12 Ajayan P M Ravikumar V and Charlier J-C 1998 Phys Rev Lett 81 1437 13 Smith B W and Luzzi D E 2001 J Appl Phys 90 3509 14 Stone A J and Wales D J 1986 Chem Phys Lett 128 501 15 Ugarte D 1992 Nature 359 707

Band-Gap Modification Induced in HgTe by Dimensional Constraint in Carbon Nanotubes Effect of Nanotube Diameter on Microstructure J Sloan R Carter1 A Vlandas1 R R Meyer1 Z Liu2 K Suenaga2 P J D Lindan3 G Lin3 J Harding4 E Flahaut5 C Giusca S R P Silva J L Hutchison1 and A I Kirkland1 Advanced Technology Institute School of Electronics and Physical Sciences University of Surrey Guildford GU2 7XH UK 1 Department of Materials University of Oxford Oxford OX1 3PH UK 2 AIST Research Centre for Advanced Carbon Materials Tsukuba Ibaraki 3058565 Japan 3 School of Physical Sciences University of Kent Canterbury CT2 7NR UK 4 Department of Engineering and Materials Mappin Street Sheffield S1 3JD UK 5 Centre Interuniversitaire de Recherche drsquoIngeacutenierie sur les Mateacuteriaux Universiteacute Paul Sabatier

UMR CNRS 5085 Bacirct 2Rl 31062 Toulouse Cedex 9 France Summary A new tubular form of HgTe grown in narrow single walled carbon nanotubes is described with Hg and Te in reduced coordination Two unique projections obtained by HRTEM from two separate crystal fragments enabled reconstruction of the atomic arrangement of the new form DFT confirmed the stability of the new structure and that it has a modified band gap transforming HgTe from a semimetal to a semiconductor (band gap +13eV) HRTEM shows that as the nanotube diameter increases the new form is no longer obtained and for diameters of 16-2 nm disordered HgTe is obtained for diameters gt2 nm sphalerite HgTe is obtained 1 Introduction The synthesis of dimensionally restricted semiconductor materials in particular quantum dots quantum wires and quantum wells has been an intense area of research over the past decade For semiconducting particles precise control over particle size and dimensionality is directly correlated with the control over the band gap as can be shown by the effective mass approximation which has been used for predicting this for nanocrystals down to the 2 to 3 nm particle size range Similarly the conduction properties of metallic nanowires may be controlled by the precise number of atomic columns that constitute the nanowire Kondo and co-workers demonstrated that gold nanowires suspended between electrodes mounted in the pole piece of a high-resolution transmission electron microscope (HRTEM) exhibit quantized conduction properties according to shell thickness [1] Recently we have shown that a wide variety of inorganic solids form 1D crystals within single walled carbon nanotubes (SWNTs) that can be integral numbers of atomic layers in thickness regulated precisely by the pore diameter of the encapsulating nanotube [2] Here we describe a SWNT-encapsulated 1D crystal structure which is atomically regulated and which also displays a wholly novel structure and coordination scheme [3] The determination of the 3D structure of two 1D crystals formed within SWNTs of approximately equal diameter from a pair of restored images obtained from two encapsulated and twisted HgTe 1D crystals is described These two crystals can be related to each other by tilt and rotation symmetry operations We further describe the effect of nanotube diameter on crystallinity showing the effect of crystallisation in both wider single walled carbon nanotubes and also double-walled carbon nanotubes

The starting material for this experiment is HgTe or coloradoite (Fig 1 (I)) which adopts predominantly the F 4 3m sphalerite structure in the bulk although the P3121 cinnabar form is obtained under pressure The ambient pressure phase is a diamond-like structure with 50 of the

214 J Sloan et al

atom positions being occupied by Hg in a strictly alternating fashion with the remaining 50 Te atoms resulting in a net tetrahedral coordination for both elements while the latter structure resembles distorted rocksalt

Fig 1 I ndash bulk coloradoite form of HgTe in which both Hg and Te exist in tetrahedral coordination II a) End on view of lsquoTubularrsquo 2-layer Hg4Te4 motif corresponding to the new form of HgTe (θ) tilt angle of motif relative to the electron beam φ angle of orientation of motif with respect to the electron beam γ Te-Hg-Te internal bond angle b) simulation of short sections of motif for two fixed values of θ variable φ and γ = 70˚ c) exit wave reconstruction of first HgTe crystal d) and e) corresponding simulation and model corresponding to (c) f) exit wave reconstruction of first HgTe crystal g) and h) corresponding simulation and model corresponding to (c) III DFT optimised HgTe fragment for new tubular form

2 Experimental HgTe was introduced into SWNTs by capillarity utilizing a high-yield filling procedure [4] and then examined in a 300kV JEOL 3000F HRTEM at 600000times magnification The phase of the complex exit plane wavefunction was subsequently reconstructed from a 20-image through focal series obtained from a SWNT containing a 1D HgTe nanocrystal according to an established procedure [56] This enabled the overall image resolution to be improved from beyond the conventional point resolution (ca 016 nm for our instrument) to the information limit (ie 01 nm) Further images were obtained on a Cs-corrected (CEOS) JEOL 2010F microscope in which C3 was tuned to 0001 mm 3 Results and Discussion 31 Imaging and Simulation of HgTe in SWNTs We were able to produce numerous restored phase images of 1D HgTe 1D crystals such as the two reproduced in Fig 1(II) From one of these images it was possible to derive the Hg4Te4 tubular motif of the new structural form (Fig 1 IIa) in which we define the orientation of the 1D crystals as imaged with respect to their angle of tilt with respect to the electron beam (θ) and also the relative orientation angle (φ) of the motif with respect to the electron beam Exhaustive image simulation revealed that an optimum Te-Hg-Te intralayer angle (γ = 70˚) could successfully reproduce the image contrast for both imaged fragments starting from a common motif and taking into account standard Hg-Te bond distances This was possible even taking into account the small

Band-Gap Modification Induced in HgTe by Dimensional Constraint 215

amount of twist observed in each 1D crystal In Fig 1 IIb a tableau of simulations is reproduced for two fixed values of θ and different values of φ In this way the microstructures of both fragments could be derived and simulated as shown in Figs 1 IIc-h The two experimental crystal fragments are found to be related by two symmetry operations (ie a tilt and a rotation) but belong to the same structure In Fig 1 III we see the DFT optimised version of the structure in side-on view which reveals clearly the new coordination scheme for Hg (ie trigonal planar) and Te (ie half-octahedral)

In the new 1D HgTe crystal (Fig 1 III) the predicted net coordination of Hg is three Te atoms in a nearly coplanar configuration with Te-Hg-Te bond angles of ca 127˚ These are bonds coplanar as the Hg atoms reside on the faces of the HgTe 1D crystal By contrast there are two Hg-Te-Hg bond angles of ca 91˚ and one of 106˚ as the Te atoms lie on the edges of the 1D crystal This model and these bonding angles can be rationalised in chemical bonding terms by comparing the bonding of bulk diamond-like HgTe with bonding likely to be found in this 1D crystal In the neutral elements the electron configurations are Hg [Xe] 5d106s2 and Te [Kr] 4d10 5s2 5p4 In the bulk structure Te therefore gives 2e to Hg and both Hg and Te promote one s electron to p so that both have 4 half-filled sp3 orbitals giving rise to the strong tetrahedral covalent bonds In the confined geometry of the nanotube both the Hg and Te atoms have only three nearest neighbours and sp3 hybridization would therefore lead to one dangling bond per atom A more likely scenario would have Te donating 1e to Hg with the result that Te would have one filled s orbital and three half-filled p orbitals Hg would promote one s electron to p therefore forming three half-filled sp2 orbitals This bonding scheme would facilitate co-planar bonding for Hg within the 1D crystal facets and also permit orthogonal bonding for Te at the edges of the crystal (cf Fig 2) DFT confirms this model and reveals a new band gap for the new tubular form of ca +13 eV which contrasts strongly with the band structure of bulk sphalerite HgTe which is a semimetal with a band gap of -03 eV

32 Crystallisation of HgTe in Wider SWNTs and in DWNTs As shown in the previous section there is a strong link between the local structure of HgTe and the resulting physical properties of the material With this in mind we have attempted to survey the variation in microstructure of the HgTe as a function of nanotube diameter SWNTs show a natural variation in diameter size according to the method of synthesis Further we can also introduce HgTe into double walled carbon nanotubes (ie DWNTs) which in general have a wider internal diameter than SWNTs

In discrete and narrow sim1-14 nm SWNTs ordered HgTe crystals are obtained (Fig 2a and b) which conform to the same microstructure as in Fig 1 (II) above In wider diameter (gtsim14-2 nm) SWNTs disordered HgTe crystals are obtained (Fig 2c and d) In the widest SWNTs (diameter gtsim2nm) we sometimes observe crystalline HgTe (Fig 2e) The ordered form observed in Fig 2e resembles more closely the bulk form of sphalerite HgTe This material will presumably have similar electronic properties to the bulk material

Similar crystallisation effects are seen in DWNTs In the moderately wide DWNT (internal diameter ~16 nm) in Fig 2f - h HRTEM reveals a partially crystallised microstructure (a) If each dark spot (b) constitutes a Hg-Te-Hg column or similar (b and c) then we can build up an overall picture of the local microstructure Fig 2g and f and then simulate (c) The resulting microstructure (Fig 2f) appears as a domain-like structure in which there are regions of distorted rocksalt (ie similar to the cinnabar form) but in which there is relatively little long-range order So far the ordered form of HgTe seen in the 2 nm diameter SWNTs (Fig 2e) was not observed The reason for this is unclear It is likely that the disordered metastable form of HgTe will have an intermediate band gap relative to the bulk and tubular forms of HgTe and this is the subject of further work

216 J Sloan et al

Fig 2 a) and b) single and bundled SWNTs filled with crystalline lsquotubularrsquo HgTe conforming to the new version of HgTe formed in sim14 nm diameter tubules c) and d) disordered HgTe crystals observed in wider SWNTs e) crystalline HgTe formed within a 2 nm diameter SWNT f) disordered HgTe observed in a sim165 nm (internal) diameter DWNT g) column plot h) multislice simulation i) and j) schematic space-filling and ball-and-stick representations of obtained microstructure

4 Conclusions Within SWNTs with a diameter of sim1-14 nm a new tubular form of HgTe is observed for which it has been possible to construct a structure model by the application of exit plane reconstruction image simulation and DFT simulations The stability of this new form appears to be closely linked to the diameter of the encapsulating nanotubes and in either wider SWNTs or DWNTs disordered or more bulk like forms of HgTe are observed References 1 Duan X Niu C Sahi V Chen J Parce J W Empedocles S and Goldman J L 2003 Nature 425

274 2 Sloan J Kirkland A I Hutchison J L Green M L H 2002 Chem Commun 2002 1319 3 Carter R Sloan J Kirkland AI Meyer R Lindan P J D Lin G Green M L H Vlandas A

Hutchison J L Harding J 2006 Phys Rev Lett 96 215501 4 Sloan J Wright D M Woo H G Bailey S R Brown G York A P E Coleman K S Hutchison

J L Green M L H 1999 Chem Commun 699 5 Coene W Janssen G Op de Beeck M and Van Dyck D 1992 Phys Rev Lett 69 3743 6 Kirkland A I and Meyer R 2004 Microsc Microanal 10 401

Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wires M I den Hertog J L Rouviere F Dhalluin1 2 P Gentile P Ferret2 C Ternon1 and T Baron1

CEA-Grenoble DRFMCSP2MLEMMA GEM-minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France 1 LTM CNRS UMR-5129 17 rue des Martyrs 38054 Grenoble Cedex 9 France 2 CEA-Grenoble LETIDOPT 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary Silicon nanowires were grown by the vapour-liquid-solid (VLS) mechanism using gold as the catalyst and silane as the precursor Although the crystalline quality of the wires is very high sometimes defects can be observed Some examples are shown Gold clusters were observed on the lateral sides of the wires by means of scanning transmission electron microscopy (STEM) energy dispersive X-ray (EDX) analysis and scanning electron microscopy (SEM) images An approximate calculation shows that the nanowire sidewalls are covered by one monolayer of gold during growth De-wetting of the monolayer after growth results in a homogenous distribution of gold clusters on the lateral surfaces of the wires 1 Introduction Silicon nanowires (SiNWs) have been actively studied over the last decade as they have held the promise of becoming key building blocks in future electronic and opto-electronic devices They are compatible with silicon technology and could be most elegantly grown directly on their final position in a device on a wafer However successful integration of nanowires in devices will depend ultimately on the degree of control that can be obtained over structure and physical properties Nanowires were for a long time regarded as perfect crystals with straight sidewalls Only recent publications have shown that faceting is actually a rather common phenomenon This can be caused by regular twinning [1] or by the absence of a vertical low energy plane [2] Defects in the nanowires or traces of (gold) catalyst on the nanowire sidewall can change its physical properties The wires studied here generally contained few defects Because the observation of a defect was limited to incidents we will characterize these defects but are unable to provide a more general model

In the second part of this paper we show evidence of gold rich clusters on the lateral surfaces of silicon nanowires and characterize these clusters Convincing indirect evidence was presented by Hannon et al [3] proving the presence of gold on lateral surfaces of silicon nanowires Furthermore Werner et al [4] showed gold clusters on silicon wires grown by molecular beam epitaxy (MBE) However the wires described in these publications were all grown under ultra high vacuum conditions partially to allow in situ observation the wires presented in this work are not grown under UHV Pan et al [5] reported the presence of gold-rich precipitates on boron doped silicon nanowires These precipitates seem to be preferentially present on the highly doped regions of the wires The gold precipitates are explained by instabilities at the liquidsolid interface caused by the addition of the dopant gas flow Since we regard only undoped samples this explanation is insufficient Our experiments do not provide evidence that gold also contributes to the faceting as suggested by Ross et al [2] we just note that gold is unambiguously present on the lateral faces of all observed nanowires

218 M I den Hertog et al

2 Experimental Details SiNWs were grown by chemical vapor deposition via the VLS process on a (111) Si substrate at temperatures between 450 degC and 650 degC in a low pressure chemical vapor deposition (LPCVD) reactor at a base pressure held constant at 20 mbar Gold was used as the catalyst and silane (SiH4) as the Si source Hydrogen (H2) was used as a carrier gas Small pieces of 10 mm times 10 mm substrates were first deoxidized in a HF solution 2 nm of gold were then deposited on these surfaces at a vacuum pressure of 10-6 Pa The substrates were then loaded in the reactor and annealed under a H2 flow in order to form nanocatalysts by de-wetting of the Au layer After cooling down the substrate to the growth temperature SiH4 was introduced Wires were grown at different flow rates 10 15 and 25 sccm The SiNWs were observed by SEM in a ZEISS ultrascan microscope equipped with an in-line detector STEM images in combination with EDX measurements were realized on a FEI TITAN microscope working at 300kV High resolution and conventional transmission electron microscopy images were realised on a JEOL 4000FX equipped with a GATAN 2Kx2K CCD camera For (S)TEM observations SiNWs were broken by ultrasound in a propanol solution and deposited on holey carbon grids from the propanol suspension or were directly deposited on the grid For TEM observations in cross section a slice of the sample was mechanically polished Polishing was finished by argon ion milling 3 Results 31 Defects Generally the crystalline quality of the wires is high and few defects can be observed Large wires (radius r gt 20 nm) grow along lt111gt directions [6] and can sometimes contain twins near the base Fig 1a or at a kink Fig 1d In Fig 1a a weak beam image of a wire is shown with a series of horizontal twin faults at the base In Fig 1b a high resolution zoom is shown The three equivalent lt111gt directions are indicated for both grains family I of equivalent lt111gt directions in grey and family II of equivalent lt111gt directions in black In Fig 1c a SEM top view image is shown Normally only 3 upward equivalent lt111gt directions should be observed The fact that 6 directions are observed can be explained by the presence of a twin at the base of the wire Fig 1a which flips the first 3 equivalent lt111gt directions (family I indicated by white arrows in Fig 1b) to another set of 3 equivalent upward lt111gt directions (family II indicated by grey arrows in Fig 1b) In Fig 1d a typical example of a kinked nanowire is shown The kink is caused by a Σ = 3 twin grain boundary on a lt111gt plane After the kink growth continues on a different lt111gt plane A reconstruction of the lattice shown in Fig 1e demonstrates the kink is caused by a twin fault The 3 equivalent lt111gt directions are shown in grey In Fig 1f a conventional two beam image with a stacking fault on an inclined 111 plane is shown This was very seldom observed

Faceting caused by a regular series of twin faults was shown recently to be a quite common phenomenon for GaP wires [1] Twin planes have been observed in silicon nanowires made by laser ablation [7 8] To our knowledge a series of horizontal twins has not been observed before in silicon nanowires controllably grown in the lt111gt direction following the epitaxial orientation of the substrate We suppose the kinks observed here are caused by instabilities at the beginning of growth caused by a change in partial pressure of silane (under our growth condition it takes five minutes to change the gas composition of the growth chamber) Clearly the energy barrier of twin nucleation [1] is sufficiently high in silicon that under our growth conditions twinning is unlikely to happen if we have reached steady state growth One kink was observed without a grain boundary In this case the kink was caused by growth along one of the three inclined lt111gt direction

Smaller wires (r lt 20 nm) sometimes contain a vertical defect A vertical twin in a [112] oriented nanowire and an ldquoincommensurateradic2rdquo Si(100)Si(0-11) domain in a [011] oriented nanowire were incidentally observed (not shown)

Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wire 219

Fig 1 a) TEM weak beam image having horizontal (111) twin faults at its base b) HRTEM image zooming on the fault of Fig 1a The upward nearly horizontal 111 plane normals are represented by arrows when they are in the plane by triangles when the normal points out of the plane and by dotted lines when it points into the plane I corresponds to the upper grain II to the lower one c) SEM top view image showing a few kinked wires The arrows indicate the 6 possible lt111gt directions of growth after the kink Family I is in grey surrounded by white Family II is in black d) Conventionnal two beam TEM image of a kinked wire e) Atomic model of the 111 Σ = 3 fault at the kink f) Conventionnal two beam TEM images of a wire having a stacking fault on an inclined 111 plane

32 Gold Clusters on the Wire Sidewall In Fig 2 STEM images of a Silicon nanowire and EDX measurements on the same wire are shown Brighter regions can be observed on the wire and facets can be distinguished An arrow indicates where the EDX spectra has been taken The EDX measurements on different parts of the wire show that the brighter particles are gold rich clusters Analysis of the clusters reveals an average diameter of 3-4 nm and a thickness of 2 nm being approximately 4 to 5 monolayers of gold The clusters are

present under a native SiO2 layer (not visible in STEM) These clusters can be observed in SEM as well (not shown) STEM and SEM images show that approximately 20 of the wire surface is covered by gold clusters This implies that during growth the lateral faces of the wire will be covered by approximately one monolayer of gold this is in good agreement with the value found by Hannon et al [3] De-wetting of the monolayer occurs after growth resulting in observed clusters Fig 2 EDX spectra and STEM images of a silicon nanowire An arrow indicates where the EDX spectrum has been taken The brighter particles are unambiguously gold rich clusters as three typical gold lines appear in the EDX spectrum around 2200 eV

220 M I den Hertog et al

Fig 3 High resolution TEM image showing sawtooth faceting [2] Zooming in on a small area allows identification of alternating (-111) and (-311) planes using vector calculation as shown The viewing direction is [0-11] the scale bar is 10 nm

In Fig 3 a high resolution TEM image of the wire sidewall faceting is shown as also observed by [2] Vector calculation allows identifying the alternating planes as shown

The nanowires exhibit tapering angles corresponding to wetting of the lateral surfaces by one monolayer of gold Tapering angles are approximately 03-04deg for wires with a diameter around 150 nm As our wires generally have a larger diameter than as described by Hannon et al [3] the tapering angle is generally smaller and wires can grow longer 4 Discussion It was already suggested by several authors [2 9] that the presence of oxygen traces during growth could inhibit the diffusion of gold over the nanowire surface and substrate This assumption was proved only very recently by Kodambaka et al [10] by showing that only a slight amount of oxygen effectively inhibited tapering of the wires The present results seem to be specific to the Au-catalyzed VLS growth system without the presence of oxygen As we do not work under UHV conditions we cannot exclude the possibility of traces of oxygen present during growth however it is clear that the amount is insufficient to block the diffusion of gold over the wire surface 5 Conclusion We have shown some examples of defects that can incidentally be observed in silicon nanowires As defects were rare a detailed analysis of their formation mechanism was not possible

We conclude that the nanowire sidewall is always covered by a monolayer of gold during growth under the studied growth conditions Adapted SEM and STEM equipment proved to be valuable tools to detect the presence of gold This implies a constraint on the nanowire length and a tapered shape as the volume of the catalyst particle decreases during growth Furthermore the presence of gold on the sidewalls of nanowires will undoubtedly alter their physical properties References 1 Johansson J Karlsson L S Svensson P T Martensson T Wacaser B A Deppert K Samuelson L

and Seifert W 2006 Nature Mater 5 574 2 Ross F M Tersoff J and Reuter M C 2005 Phys Rev Lett 95 146104 3 Hannon J B Kodambaka S Ross F M and Tromp R M 2006 Nature 440 69 4 Werner P Zakharov N D Gerth G Schubert L and Goumlsele U 2006 Int J Mat Res 97 1008 5 Pan L Lew K Redwing J M and Dickey E C 2005 J Crystal Growth 277 428 6 Schmidt V Senz S and Goumlsele U 2005 Nanolett 5 931 7 Zhou G W and Zhang Z 1998 Appl Phys Lett 73 677 8 Zhou G W Zhang Z and Yu D 1999 J Crystal Growth 197 129 9 Goumlsele U 2006 Nature 4402 34 10 Kodambaka S Hannon J B Tromp R M and Ross F M 2006 Nano Letters

Electron Microscopy Analysis of AlGaNGaN Nanowires Grown by Catalyst-Assisted Molecular Beam Epitaxy L Lari R T Murray M Gass1 T J Bullough and P R Chalker C Chegraveze2 L Geelhaar2 and H Riechert2 Department of Engineering University of Liverpool Liverpool L69 3GH UK 1 SuperSTEM Laboratory CLRC Daresbury Daresbury WA4 4AD UK 2 Qimonda D-81730 Munich and NaMLab D-01099 Dresden Germany Summary Scanning transmission electron microscopy has been used to investigate the composition of nickel seeds which promote the columnar growth of AlGaN GaN nanowires deposited by molecular beam epitaxy (MBE) on sapphire The nickel distribution along the nanowires was investigated by both X-ray and electron-energy-loss spectroscopy Gallium was observed in nickel seeds at the nanowires growth tips No aluminium was detected and a minimal presence of nitrogen was observed in the nickel seeds which exhibit a nickel oxide surface attributed to oxidation following removal from the MBE growth system 1 Introduction Semiconductor nanowires are quasi-one-dimensional structures which can be tailored to exhibit unique electrical and optical properties Extensive research activity has been devoted to the synthesis of gallium nitride-based nanowires as GaN has become a technologically important large band gap semiconductor [1] In particular AlXGa1-XN GaN semiconductor structures are being developed for applications in optoelectronics [2] and high frequency devices such as heterostructure field-effect transistors [3]

Catalyst-assisted mechanisms of growth such as the vapour-liquid-solid (VLS) phase [4] and vapour-solid-solid (VSS) phase [5] processes have been widely used to explain the nanowire growth habits arising from various growth processes [6-9] and a wide range of different catalysts [9]

In this paper we have used the chemical resolution of an aberration-corrected scanning transmission electron microscope to investigate the composition after growth of nickel particles used to promote the growth of AlGaN GaN nanowires 2 Experimental Details Two nanowire samples grown by MBE here labelled A and B were investigated The nominal structures were respectively AlGaN and GaN layers alternating along the nanowire growth axes for sample A and uniform Al02Ga08N for sample B The nanowires were deposited on Al2O3 (0001) substrates treated with an annealed thin nickel film used to promote the columnar growth of nanowires The details of the growth process are described elsewhere [10]

The analyses were performed by a VG HB601UX scanning transmission electron microscope with a cold-field emission gun (FEG-STEM) operating at 100 kV equipped with a windowless Si(Li) energy-dispersive X-ray (EDX) spectrometer (Oxford Instrument) Samples were also examined using an aberration-corrected STEM at the SuperSTEM Laboratory This instrument is based on a 100 keV VG HB501 with a cold-field emission source equipped with a Gatan Enfina parallel channel electron energy loss spectroscopy (EELS) system and a Nion Mark II spherical aberration corrector The angular range of the high angle annular dark field (HAADF) detector is from 70 to 210 mrad

222 L Lari et al

3 Results and Discussion The presence of seed particles at the growth tip of the nanowires is indicative of either a VLS or VSS phase growth mechanism Fig 1a - 5a show the nickel seeds found at the tips of both samples

Electron energy loss spectroscopy point analysis (not shown) of the seed in Fig 1a exhibited both oxygen K edge and nickel L23 edges Lattice fringes are clearly visible within the seed particle Fast Fourier Transform analysis of the bright field image as in Fig 1b shows that the fringe spacing is (211plusmn002) Aring This value closely matches 20972 Aring the inter-planar spacing of the (200) plane for NiO ([Bunsenite FM3-M]) [11] The data does not conclusively confirm the stoichiometric NiO phase as the fringes could also arise from Ni-Ga alloys with lattice spacing close to 211 Aring

Further investigation using EDX analysis yielded spectra from the tip of different NWs exhibiting intense Ni and Ga signals The data shown in Fig 2b were quantified using the thin film approximation which gives a value of about 1 for the Ni Ga atomic ratio within the seed area whilst in NW body the Ni signal disappears into the background In Figs 3a 4a and 5a HAADF images show nanowire tips The resolution is limited by the short tilting range of the sample holder in the microscope In each case the nanowire (0001) axes were aligned within 1ordm perpendicular to the electron beam which is confirmed by the transverse lattice fringes Semi-quantitative distributions of elements present in the droplets are shown in the graphs of Fig 3b 4b and 5b EELS spectra were analysed after background subtraction using a Gatan Digital Micrograph fitting routine assuming a single power law decay The EELS edges were integrated choosing spectral windows accordingly to Liu and Brown [12] In all cases the N signal decreases from its value in the AlGaN NW to become negligible throughout the Ni seed This is attributed to the relatively poor solubility of N in Ni In fact the most important compounds of N-Ni systems are Ni3N which decomposes at temperatures above 600 ˚C into Ni and N2 (unless under very high N2 pressures) and Ni4N which decomposes into Ni and Ni3N at temperatures above 250 ˚C [13] These are values well below the growth temperature

Fig 2 a) Sample B STEM BF image with marks of EDX point analysis positions b) Continuous line EDX spectrum from position 1 dotted line spectrum from position 2 (spectra normalized at the Cu peak artifact from the TEM grid) 70 75 80 85 90 95

0

1

2

GaCu

Ni

Inte

nsity

(au

)

Energy (KeV)

20 nm

1

2

a) b) 12

Fig 1 a) Bright field image with lattice resolution of Ni based seed at the tip of a nanowire of sample A in the inset the FFT of the image b) Inverse FFT of the seed region using the selected spots in the FFT

211Aring

b) a)

EMA of AlGaNGaN Nanowires Grown by Catalyst-Assisted MBE 223

Fig 3 a) Sample A HAADF image with EELS line scan mark (02 nm spatial resolution 05 eV energy resolution 20-690 eV energy range) b) Energy loss integrated signals along the line scan corresponding to Ni M23 O K N K and Ga M23 edges (Al edge L23 not detected)

Fig 4 a) Sample B HAADF image with EELS line scan mark (016 nm spatial resolution 07 eV energy resolution range 310-1250 eV) b) Energy loss integrated signals along the line scan corresponding to Ni L23 O K N K and Ga L3 edges (Al edges outside of the energy range)

The nickel distribution is well defined by atomic number contrast in the brighter regions in the

HAADF images which is also reflected in the line scan graphs Gallium remains at about half of the value with respect to that in the nanowire body for seeds in Fig 3 and 4 Oxygen reaches its maximum in the extreme tip of the droplet were nickel and gallium fall to half of the value with respect to their maxima These data indicate that the droplet has an oxide shell which could have been formed after growth and exposure to the ambient The observed elemental distributions in the seed of Fig 5a show a different behaviour (Fig 5b) The Ni and O profiles closely correlate and no metallic core is evident This behaviour found in a relatively small seed was attributed to the fact that the smaller volume surface ratio permits a complete oxidation 4 Conclusions Scanning transmission electron microscopy has been used to explore the composition of nickel catalyst seeds formed during the growth of AlGaN GaN nanowires on sapphire substrates The distribution of nickel catalyst within the nanowires has been characterised by EDX and EELS analyses

0 2 4 6 8 10 12 14

0

1

Inte

grat

ed E

ELS

edg

es (a

u)

Position (nm)

Ni O Ga N

a) b)

0 2 4 6 8 10 12

0

1

Inte

grat

ed E

ELS

edg

es (a

u)

Position (nm)

Ni O Ga N

b) a)

224 L Lari et al

Fig 5 a) Sample B HAADF image with EELS line scan mark (02 nm spatial resolution 07 eV energy resolution range 310-1250 eV) b) Energy loss integrated signals along the line scan corresponding to Ni L23 O K N K and Ga L3 edges (Al edges outside of the energy range)

Gallium was observed in nickel seeds at the growth tips of the nanowires where nitrogen

presence was minimal The seeds also exhibited a gallium doped nickel oxide surface which was attributed to oxidation following removal from the MBE growth system Acknowledgements This work was supported by EU Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) and the IST project NODE 015783 We wish to acknowledge EPSRC for the access to SuperSTEM facilities and the use of the EPSRCs Chemical Database Service at Daresbury References 1 Nitride Semiconductors - Handbook on Materials and Devices 2003 eds Ruterana P Albrecht

M and Neugebauer J (Wiley-VCH Berlin) 2 Johnson J C Heon J C Knutsen K P Schaller R D Yang P D and Saykally R J 2002 Nat

Mater 1 106 3 Huang Y Duan X Cui YX and Lieber C M 2002 Nano Lett 2 101 4 Wagner R S and Ellis W C 1964 Appl Phys Lett 4 89 5 Dick K A Deppert K Maringrtensson T Mandl B Samuelson L and Seifert W 2005 Nano Lett 5

761 6 Wang X Song J Li P Ryou J H Dupuis R D Summers C J and Wang Z L 2005 J Am Chem

Soc 127 7920 7 Zhou X T Wang N Lai H L Kim M H Peng Y Bello I Wong N B Lee C S and Lee S T

1999 Appl Phys Lett74 3942 8 Kim T Y Lee S H Mo Y H Shim H W Nahm K S Suh E K Yang J W Lim K Y and Park

G S 2003 J Crystal Growth 257 97 9 Chen C C Yeh C C Chen C H Yu M Y Liu H L Wu J J Chen K H Chen L C Peng J Y and

Chen Y F 2001 J Am Chem Soc 123 2791 10 Geelhaar L et al (2007) to be published 11 Taylor D 1984 lsquoThe United Kingdom Chemical Database Servicersquo Trans Brit Ceram Soc

83 5 12 Liu D R and Brown M 1987 J Microsc 147 37 13 Wriedt H A in Phase Diagrams of Binary Nickel Alloys edited by P Nash (ASM

International Materials Park OH 1991) pp 213ndash216

0 2 4 6 8 10 12

0

1

Inte

grat

ed E

ELS

edg

es (a

u)

Position (nm)

Ni O Ga N

a) b)

Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 Th Kehagias Ph Komninou G P Dimitrakopulos S-L Sahonta C Chegraveze1 L Geelhaar1 H Riechert1 and Th Karakostas Department of Physics Aristotle University of Thessaloniki GR-54124 Thessaloniki Greece 1 Qimonda D-81730 Munich and NaMLab D-01099 Dresden Germany Summary Well-aligned single crystalline GaN nanowires were epitaxially grown on Al2O3 by molecular beam epitaxy Controlled growth of the nanowires is achieved by tuning the VIII ratio during growth Oxidised single crystalline catalyst droplets of a cubic symmetry are observed on the top surface of the nanowires Adaptation of the cubic lattice on the wurtzite tip is realized via the introduction of a dense network of misfit dislocations at the interface The 100 lattice spacing of the oxide droplets is found to be very close to its strain-free value indicating almost full relaxation by the misfit dislocation network 1 Introduction One-dimensional GaN nanowires (NWs) have recently received a lot of interest due to their potential applications in high quality laser diodes UV light emitting diodes (LEDs) and other photonic nanodevices [1-5] Bottom-up growth methods seem to be much more cost effective and easier for the growth of one-dimensional nanostructures than top-down fabrication techniques Therefore growth techniques that have been used to produce GaN NWs such as metal-organic chemical vapour deposition (MOCVD) hydride vapour phase epitaxy (HVPE) or chemical vapour transport (CVT) and lately molecular beam epitaxy (MBE) are widely spread [6-9] NW preparation is usually based on the vapour-liquid-solid (VLS) growth mechanism mediated by evaporation or sputtering of a catalytic metallic layer (Au Ni Fe) on the substrate Growth of GaN NWs on Si without the presence of a metal catalyst layer has been also reported lately

In this study we report on the catalytic growth of GaN NWs by plasma-assisted MBE on Al2O3 (0001) substrates by employing conventional transmission electron microscopy (TEM) and high-resolution TEM (HRTEM) The crystal properties of GaN NWs and the post-growth structure of the catalyst layer are investigated 2 Experimental A 03 nm-thick layer of Ni was ex-situ sputtered onto the sapphire surface and annealed in the MBE chamber for 15 min at 750degC yielding tiny droplets GaN NWs were then grown initially under N-rich conditions and oriented along the [0001] (axial) growth direction whereas under initial Ga-rich conditions a compact layer of GaN was grown despite the presence of Ni After 300 nm of N-rich growth the VIII-ratio was changed to Ga-rich conditions and NWs were grown mostly in a radial (lateral) manner and thus were thickened near the tip Therefore controlled growth of the NWs can be achieved by adjusting the VIII ratio during growth

In order to determine the type of GaN growth NWs were not harvested from the substrate but were prepared by the sandwich technique followed by the standard mechanical thinning and ion milling processes to reach electron transparency TEM and HRTEM observations were performed in a 200kV JEOL JEM2011 (019 nm point resolution Cs = 05 mm) electron microscope

226 Th Kehagias et al

3 Results TEM observations revealed the single crystalline nature of the GaN NWs which exhibited the wurtzite structure (Fig 1) The length of the NWs varied from 300 to 500 nm and their thicknesses were fairly uniform of the order of 40-50 nm which could reach 60-80 nm at the top of the NWs Several basal stacking faults were detected in most of the NWs deteriorating their crystal quality No other linear or extended crystal defects were observed within the NWs

Fig 1 TEM micrograph showing wurtzite GaN NWs grown on Al2O3 by MBE viewed along the [11 2 0] direction The NWs are well-aligned along the [0001] growth (axial) direction Thickening of the NWsrsquo diameter is observed near their tip due to the transition from N-rich to Ga-rich conditions during growth Basal stacking faults are the only crystal defect observed in the NWs

Electron diffraction analysis and direct imaging in high-resolution mode showed the epitaxial

growth of GaN on Al2O3 where [0001]GaN[0001]Al2O3 (1 1 00)GaN(2 1 1 0)Al2O3 (Fig 2) Small deviations of the order of 1o-3o from the exact axial direction are observed for some NWs

Fig 2 HRTEM micrograph of a single GaN NWndashsubstrate interface illustrating their epitaxial relationship viewed along the [11 2 0] direction The corresponding fast Fourier transform confirms the relationship found by the electron diffraction analysis

On the top surface of the NWs single crystalline droplets 5-20 nm in diameter were observed

(Fig 3) Two sets of equally spaced lattice planes at exactly 90o to each other are resolved in the droplets This suggests either a cubic or a tetragonal symmetry of the droplet lattice We have first examined the possibility that the droplets are the Ni catalyst seeds since pure Ni possesses an fcc lattice High-resolution direct measurements of the observed lattice spacing based on the well-known c lattice constant of Al2O3 resulted in a 0208plusmn0001 nm value that excludes the presence of pure Ni The observed lattice spacing value suits better either NiO which has an fcc lattice with d200=02088 nm or a mixed Ni-Ga oxide ie NiGa2O4 which has a bcc lattice and d400=02065 nm It appears that oxidation of the catalyst occurred prior to the placement of the sample in the MBE chamber and crystallisation took place during high temperature growth

Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 227

Fig 3 HRTEM images of the tip of a NW where a single crystalline droplet is observed viewed along the [11 2 0] direction The magnified part of the droplet illustrates two sets of 100 lattice planes of a cubic structure that was identified to be either NiO or a mixed Ni-Ga oxide

A [001]NiO[0001]GaN (010)NiO(1 1 00)GaN orientation relationship was determined between the cubic droplet and the wurtzite GaN lattices This orientation induces a high misfit strain due to the large differences of the in-plane lattice spacing of the two lattices Misfit strain is effectively accommodated by a network of misfit dislocations (MDs) at the interface plane The projected edge component of the MDs can be visualized as terminating lattice fringes by Fourier analysis using the corresponding spatial frequencies of the two lattices ie 010 NiO 1 1 00 GaN (Fig 4a) Furthermore the exact location of the cores of MDs can be revealed by the dislocation density tensor which is defined as a = curl (e) where e is the tensor of the dislocation strain field [10] The dislocation density tensor is calculated in two dimensions from experimental HRTEM images utilising the geometric phase analysis (Fig 4b) [11]

Fig 4 A magnified part of the dropletGaN interface a) Fourier image of a part of the interface showing the position of the edge component of 5 MDs b) two-dimensional plot of the calculated dislocation density tensor of the same area depicting the exact location of MD cores a rather rough dropletGaN interface is revealed and a 081 nm average MD spacing is measured

The integrity of the experimental observations on the misfit relaxation of the two structures is

strengthened by the structural interfacial model shown in Fig 5 which illustrates the viability of the observed orientation relationship between the two lattices Simulated HRTEM images based on the structural model exhibit remarkable similarity to the experimental images

228 Th Kehagias et al

Fig 5 Structural model of the dropletGaN interface along [100]NiO[11 2 0]GaN showing three MDs and the corresponding simulated HRTEM image

4 Conclusions Single crystalline GaN NWs were epitaxially grown on (0001) Al2O3 by MBE Axial or radial growth of the NWs can be obtained by tuning the VIII ratio during the growth process Oxidised single crystalline metallic droplets are observed at the tips of the NWs that were identified as cubic NiO or mixed Ni-Ga oxide having a 0208plusmn0001 nm 100 lattice spacing Adaptation of the oxide and GaN lattices is realized by the introduction of a dense network of MDs in the interfacial plane which permits almost full relaxation of the misfit strain The exact location of MDs and their cores is obtained by means of Fourier analysis and the calculated dislocation density tensor specifying a 081 nm average MD spacing Structural modelling of the dropletGaN interface and the resulting HRTEM simulated images soundly verified the experimental analysis Acknowledgement This work was supported by EU Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) References 1 Xia Y Yang P Sun Y Wu Y Mayers B Gates B Yin Y Kim F and Yan H 2003 Adv Mater

15 353 2 Hernaacutendez-Veacutelez M 2006 Thin Solid Films 495 51 3 Greytak A B Barrelet C J Li Y and Lieber C M 2005 Appl Phys Lett 87 151103 4 Cha H Y Wu H Q Chandrashekhar M Choi Y C Chae S Koley G and Spencer M G 2006

Nanotechnology 17 1264 5 Pauzauskie P J Sirbuly D J and Yang P D 2006 Phys Rev Lett 96 143903 6 Seryogin G Shalish I Moberlychan W and Narayanamurti V 2005 Nanotechnology 16 2342 7 Kipshidze G Yavich B Chandolu A Yun J Kuryatkov V Ahmad I Aurongzeb D Holtz M

and Temkin H 2005 Appl Phys Lett 86 033104 8 Bertnessa K A Roshkoa A Sanforda N A Barkera J M Davydov A V 2006 J Cryst Growth

287 522 9 Cerutti L Ristić J Fernaacutendez-Garrido S Calleja E Trampert A Ploog K H Lazic S and Calleja

J M 2006 Appl Phys Lett 88 213114 10 Nye J F 1953 Acta Met 1 153 11 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131

Structural Characterisation of GaP lt111gtB Nanowires by HRTEM L S Karlsson J Johansson1 C P T Svensson2 T Maringrtensson1 B A Wacaser1 J-O Malm K Deppert1 W Seifert1 L Samuelson1 and L R Wallenberg

Polymer amp Materials Chemistry Lund University P O Box 124 SE-221 00 Lund Sweden 1 Solid State Physics Lund University P O Box 118 SE-221 00 Lund Sweden 2 QuNano AB Stora Fiskaregatan 13E SE-222 24 Lund Sweden Summary GaP lt111gtB nanowires are dominated by (111) twins orthogonal to the growth direction and show well-developed 111 side-facets Based on this a 3D-model has been constructed with a cross-section of an octahedron used as a building block The twins can be of ortho- or para type ie by 60deg about the growth axis or 180deg in the twin plane The segment thickness variation follows an exponential distribution with a clear dependence on growth temperature Multislice simulations show different features of the twin types that are useful for further characterisation 1 Introduction Nanowires of semiconductor materials show promising properties for applications within life science physics photonics and electronics [1] To obtain optimal properties the quality of the crystal structure is of importance and imperfections like stacking faults and twin planes need to be avoided This is especially true when it comes to miniaturisation of transistors and memory devices Repeated stacking faults are often observed for nanowires of GaAs [2] InAs [2] and InP [3] in the lt111gtB growth directions Unfortunately this is also one of the most favourable directions for epitaxial growth To circumvent the formation of imperfections one must understand the mechanisms of growth for the nanowires We have earlier shown that the growth direction is of importance for GaAs [4] where lt111gtA nanowires exhibit single crystalline zinc blende structure and lt111gtB show partly periodic (111) twinning The cross-section of the GaAs lt111gtA is triangular with 1 1 2 facets and that of the lt111gtB hexagonal with 11 2 and 1 1 2 facets 2 Structural Characterisation In this study we present a crystal model for GaP lt111gtB nanowires with periodic twinning in the zinc blende structure Our model is based on HRTEM images of three samples grown at 440 470 and 500degC prepared by metal-organic vapour phase epitaxy (MOVPE) [5] with size-selected Au aerosol nanoparticles [6] as growth activation sites The metal-organic precursors used were trimethylgallium and phosphine PH3 Before growth is initiated the nanoparticle covered substrate is annealed at 650degC in PH3 atmosphere to remove residual oxides and contaminants without degrading the substrate The temperature is then ramped up to the chosen growth temperature

The TEM characterization was performed using a JEOL 3000F FEG-TEM with a point resolution of 016 nm in conventional mode Two viewing directions lt 1 10gt and lt11 2 gt achieved by using a double-tilt holder were used to construct the model When viewed in lt 1 10gt the nanowires exhibit edges with ripples (Fig 1b) where the trenches are 141deg ie coinciding with

230 L S Karlsson et al

(111) twinning Subsequently when viewed in lt11 2 gt the twinning planes and ripples completely align and the nanowires appear defect free with sharp edges (Fig 1d) This can only be true if all the stable faces are structurally related as 111 A 3D-model [7] based on hexagonal segments of alternating (111)A and (111)B facets explains these phenomena (Fig 2)

Depending on the type of twin [8] ortho- (Ga-P) or para- (Ga-Ga or P-P) the distribution of the termination of the nanowire facets can be changed (Fig 2) However the probability of forming a para twin is low since the Ga-P bond is energetically favourable

Fig 1 bd) HRTEM images of a GaP lt111gtB nanowire (a) The wire exhibits 141deg trenches in lt 1 10gt in accordance with (111) twinning The respective segments light (L) and dark (D) share a common (111) plane (c) In lt11 2 gt (d) the same wire appears defect free (e) First published in [7]

Fig 2 3D-model (a-c) of a nanowire exhibiting repeated (111) twinning The twin type ortho (d) or para (e) determines the distribution of 111A and 111B facets

Structural Characterisation of GaP lt111gtB Nanowires by HRTEM 231

3 Statistical Analysis The segment thickness along single nanowires was determined from HRTEM images for a number of (111) Ga-P layers It was found that the average thickness of the segments decreases with growth temperature (Fig 3) The segment thickness distribution of single nanowires showed exponential behaviour and could be fitted to 83 50 and 33 monolayers (ML) for growth temperatures of 440 470 and 500degC respectively [7] Hence the probability of forming a twin plane increases with temperature From the Arrhenius behaviour of this probability we could estimate the sizes of the critical nuclei of the twin and the normal planes We assume that growth occurs in a layer-by-layer fashion with 1 ML thick nuclei of half circular shape and critical radii r and r

T for normal and twin nuclei respectively The data gave a normal critical nucleus corresponding to r = 15 nm (43 Ga-P units) and a twin critical nucleus of r

T = 30 nm (164 Ga-P units) As the twin plane formation is less favoured energetically the twin critical nucleus will be larger

Fig 3 a) Variation in segment thickness along individual nanowires viewed in lt 1 10gt 1 ML = 1 Ga-P (111) b) The resulting histograms show exponential trends with average segment thickness decreasing with increasing growth temperature [7] However there is no dependence on wire radius r

4 Simulations Multislice simulations of the three different twin types (Fig 4) indicate that the para twins (P-P or Ga-Ga) are fully symmetrical over the twin plane the only difference being the bond length [8] Contrary to that the ortho twin type exhibits an out-of-phase relation similar to an anti-phase boundary due to the relative displacement of the Ga-P pairs However it is seldom straight forward to directly use this criterion to distinguish the predominant twin type from the HRTEM images as noise and misorientation largely affect the obtainable resolution Image reconstruction of a focal series or use of an aberration-corrected TEM could assist in obtaining even higher resolution and work is on-going in this direction It should be noted that previous STM studies [9] of cleaved embedded nanowires indicate that the twin operation is of the ortho type ie maintaining the epitaxial Ga-P bonding over the twin

232 L S Karlsson et al

Fig 4 Multi-slice simulations in lt 1 10gt of 20 nm nanowires models The para twins (Ga-Ga 0252 nm and P-P 022 nm) are completely symmetric over the twin plane while the ortho twins show an out-of-phase relation due to the relative position of the Ga-P pairs

References 1 Samuelson L Thelander C Bjoumlrk M T Borgstroumlm M Deppert K Dick K A Hansen A E

Maringrtensson T Panev N Persson A I Seifert W Skoumlld N Larsson M W and Wallenberg L R 2004 Physica E 25 313

2 Hiruma K Yazawa M Katsuyama T Ogawa K Haraguchi K Koguchi M and Kakibayashi H 1995 J Appl Phys 77 447

3 Bhunia S Kawamura T Fujikawa S Nakashima H Furukawa K Torimitsu K and Watanabe Y 2004 Thin Solid Films 244 464

4 Wacaser B A Deppert K Karlsson L S Samuelson L and Seifert W 2006 J Crystal Growth 287 504

5 Borgstroumlm M Deppert K Samuelson L and Seifert W 2004 J Crystal Growth 260 18 6 Magnusson M H Deppert K Malm J-O Bovin J-O and Samuelson L 1999 J Nanopart Res 1

243 7 Johansson J Karlsson L S Svensson C P T Maringrtensson T Wacaser B A Deppert K

Samuelson L and Seifert W 2006 Nature Mater 5 574 8 Cohen D McKernan S and Carter C B 1999 Microsc Microanal 5 173 9 Mikkelsen A Skoumlld N Ouattara L Borgstroumlm M Andersen J N Samuelson L Seifert W and

Lundgren E 2004 Nature Mater 3 519

Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs H Kirmse W Neumann S Kret1 P Dłużewski1 E Janik1 G Karczewski1 and T Wojtowicz1 Humboldt-Universitaumlt zu Berlin Institut fuumlr Physik AG Kristallographie Newtonstraszlige 15 D-12489 Berlin Germany 1 Institute of Physics PAS Al Lotnikoacutew 3246 02-668 Warsaw Poland Summary ZnTe nanowires produced by molecular beam epitaxy via the vapourliquidsolid mechanism were studied by transmission electron microscopy The wires grew along the lang111rang directions pointing out of the (001)-oriented GaAs substrate The length of the wires amounted to some microns depending on the growth time The mean diameter ranged between 30 and 60 nm depending on the size of the gold droplet The majority of the wires exhibited stacking faults with only a few 111 monolayers sequence perpendicular to the wire axis as revealed by high-resolution TEM Analysing the sidewall of the nanowires an enrichment of oxygen was detected by electron energy loss spectroscopy The gold spheres at the tips of nanowires additionally contained gallium and tellurium The gallium was incorporated during the initial formation of the eutectic droplets at the GaAs substrate 1 Introduction The growth of one-dimensional compound semiconductor structures attracted a lot of attention during the last few years [1] The basis of technology for the growth of nanowires (NWs) was inspired by the old idea of catalytic growth of silicon whiskers of micrometre dimensions proposed and elaborated by Wagner and Ellis in 1965 [2] This method is known as the vapor-liquid-solid (VLS) mechanism and uses a catalyst in the shape of nanoparticles There are several reports on NWs of different II-VI semiconductor compounds such as selenides and sulfides As for tellurides some reports on CdTe [3] and ZnTe [4] were presented where the NWs were obtained by chemical methods Our first results on ZnTe NWs grown by MBE on (001)-oriented GaAs with gold as catalyser have been recently presented [5] where the initial structural investigations prove the high perfection of the crystalline structure of the NWs which grow in lang111rang directions However the composition of the wire surface as well as of the gold particle was not studied so far 2 Experimental The growth of ZnTe NWs was performed in an EPI 620 MBE system equipped with solid-source Zn Cd Mg Mn and Te effusion cells We used epi-ready GaAs substrates oriented 2deg off the principal orientation Before the growth of NWs a thin layer of Au was deposited in a separate MBE (Riber) chamber dedicated for growth of metal-based structures Gold was deposited at 200 degC without prior removal of the surface oxides The substrate with Au layer was then transferred through air to the II-VI MBE growth chamber and heated in two steps first to about 580 degC and then to 550 degC where it was kept for 10 min The changes in the RHEED pattern provided information about the processes of formation of eutectic liquid alloy AuGa (with the eutectic temperature of 350 degC) on the substrate surface the desorption of GaAs oxides (at about ~580 degC) and finally the formation of Au droplets [5] The following MBE growth parameters were thoroughly investigated during NW growth the substrate temperature (380 degC - 440 degC) the impinging flux ratios ZnTe and growth time

234 H Kirmse et al

The samples were prepared for transmission electron microscopy (TEM) studies by harvesting the nanowires from the substrate on a holey carbon film supported by a copper grid TEM investigations were performed with a JEOL 2200FS (200 kV) equipped with an in-column energy filter Both diffraction contrast imaging and high-resolution TEM imaging at a spatial resolution of 019 nm were applied for structural analysis of the nanowires For the characterization of the surface of the wires electron energy loss spectroscopy (EELS) in scanning TEM spectrum mode was utilized A diameter of the electron probe of 07 nm was chosen for the experiments being the compromise with respect to spatial resolution and to the intensity ratio of signal and background The chemical composition of the gold droplets was inspected by means of energy-dispersive X-ray spectroscopy (EDXS)

Fig 1 High-resolution TEM a) Overview image showing two wires at a carbon film support The region marked by arrow was inspected by HRTEM b) HRTEM image showing stacking faults within the ZnTe nanowire The lattice fringes seen in the sidewall correspond to ZnO The supporting carbon film causes the background c) Magnified view of the edge of the wire The atomic structure of ZnTe (symbolized by black and white dots) is resolved for one of the two twin domains Lines mark the stacking fault planes

3 Structural Analysis of the ZnTe Nanowires The analysis of the atomic structure of the ZnTe nanowires was done by high-resolution TEM In Fig 1 a sequence of images acquired at increased magnification is given Figure 1a is an overview showing two harvested ZnTe nanowires The central one has a length of about 500 nm Since the mean length of the nanowires amounts to a few microns not the complete nanowire was separated from the GaAs substrate The lower part of the wire (upper right of Fig 1a) exhibits asymmetric shape One sidewall is comparably flat where the opposite one appears facetted The facets result from lateral deposition of ZnTe subsequently to the growth of an initial cylindrically shaped wire as predefined by the Au sphere When approaching the tip of the wire where the gold is seen as a dark sphere the sidewall is flat on both sides Here the lateral deposition did not start yet Inspecting this region at an atomic scale numerous stacking faults are visible (cf Fig 1b) The

Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs 235

distance between the stacking fault planes varies between two and about ten monolayers In the area close to the edge (see magnified view of Fig 1c) the Zn-Te dumbbells are resolved for one of the two twin domains (cf black and white dots marking the atom positions) The distance between Zn and Te in this projection is 015 nm being below the spatial resolution of 019 nm of the microscope The visibility of this dumbbell structure is due to the oscillations of the contrast transfer function for a field-emission gun microscope Carefully inspecting the sidewall of the nanowire an sim3 nm thick layer is found with sets of lattice planes different from those of ZnTe The distances of several fringes were measured as denoted in Fig 1b The values only agree with the lattice fringe distances tabulated for hexagonal ZnO This oxide can form during treatment of the wires subsequent to the growth experiment

a)

c)

b) Fig 2 Electron energy loss spectra recorded at the centre (C) and the surface (S) of a ZnTe nanowire

a) Te-M45 and O-K ionisation edge At the surface an enrichment of oxygen is found

b) Zn-L23 ionisation edge c) STEM dark-field image with

markers of positions of analysis 4 Chemical Analysis of the ZnTe Nanowires The chemical characterization was performed by EELS In Fig 2 the spectra acquired at the surface (S) and the centre (C) of a nanowire are given Due to the thickness gradient the integral intensity of the spectrum at the sidewall is lower compared to the centre of the wire The O-K edge at an energy loss of 532 eV (cf Fig 2a) shows a distinct higher peak for the surface compared to the centre This behaviour can be explained by a shell-like structure with a surface layer containing oxygen The O-K peak is detected in the spectrum of the centre as well but with lower intensity The intensity of the Te-M45 peak is increased At the centre the oxygen-containing layer is distributed on top and bottom of the nanowire as sketched in the inset of Fig 2a Consequently some oxygen has to be found there but with reduced intensity compared to Te present within the wire The peak ratio TeO at the centre is about 10 whereas it is about 15 at the surface The formation of zinc oxide was concluded from the lattice plane distances of Fig 1b) Hence zinc should be detectable within the surface layer In Fig 2b two spectra are given acquired at the positions C and S as for Fig 2a but here the energy loss interval between 950 and 1200 eV is registered including the Zn-L23 edge at 1020 eV Due to its high energy loss the Zn peak seen in the spectrum of the centre is not very prominent For the surface of the nanowire the Zn peak is decreased by a factor of 2 but it is not as much as for the Te peak (factor

236 H Kirmse et al

of about 3) at this position This means that besides oxygen also zinc is enriched in the surface layer Hence the formation of ZnO at surface of the ZnTe nanowire is verified also by a chemically sensitive method 5 Chemical Peculiarities of the Gold Droplets The ZnTe nanowires are assumed to grow via a vapourliquidsolid (VLS) process where the evaporated atomic species are dissolved in a liquid phase and transported to the growing crystal For a better understanding of this process the gold droplets at the top of wires were studied by EDXS with respect to their chemical composition The spectrum shown in Fig 3a was collected for the electron probe positioned at the central region of the sphere Gold is found as expected but in addition gallium as well The gallium is due to a dissolution process during the heating of gold directly on GaAs for the formation of eutectic droplets prior to the growth of ZnTe

Fig 3 Energy-dispersive X-ray spectroscopy of the gold droplet Left central region - the copper peak is due to fluorescence effect from the supporting grid Right surface region - increased content of Ga and Te is detected The spectrum of the surface of the droplet (see Fig 3b) shows an increased gallium peak ie

gallium is enriched at the surface of the gold droplet after solidification The content of zinc in both the volume and the surface of the droplet is low hinting to complete consumption of zinc after closing the shutters of the MBE sources Consequently the amount of zinc provided for the VLS process limits the growth of the nanowires Acknowledgements The research was partially supported by the Ministry of Science and Higher Education (Poland) through grant N507 030 310735 and by the Network New materials and sensors for optoelectronics information technology energetic applications and medicine References 1 Samuelson L at al 2004 Physica E 25 313 2 Wagner R S and Ellis W C 1964 Appl Phys Lett 4 89 3 Sochinskii N V Silveira J P Briones F Saucedo E Herrero C M Fornaro L Bermudez V and

Dieguez E J 2005 J Cryst Growth 275 1331 4 Liang Li Youwen Yang Xiaohu Huang Guanghai Li and Lide Zhang 2005 J Phys Chem B

109 12394 5 Janik E Sadowski J Dłużewski P Kret S Baczewski L T Petroutchik A Łusakowska E

Wroacutebel J Zaleszczyk W Karczewski G and Wojtowicz T 2006 Appl Phys Lett 89 133114

TEM Characterization of ZnO Nanorods R Divakar J Basu and C B Carter Chemical Engineering amp Materials Science University of Minnesota Amundson Hall 421 Washington Ave SE Minneapolis MN 55455 USA Summary ZnO nanostructures constitute an important component of certain nanostructured devices In most configurations aligned growth of the ZnO nanorods is required Aqueous-solution synthesis is used to synthesize oriented ZnO nanorods on a ZnO thin film prepared by pulsed-laser deposition The synthesis processes have been adapted to TEM-ready sapphire substrates to enable simultaneous crystallographic microchemical and high-resolution studies in the TEM Issues related to the growth mechanism and incorporated defects are addressed 1 Introduction ZnO is an important wide band-gap semiconductor that has applications in the nanorod form as sensors [12] piezoelectric transducers for optical devices and for solar cells [3] A number of synthesis routes have been used for the synthesis of ZnO nanorods including catalyst-assisted vapor transport hydrothermal synthesis and pulsed-laser deposition (PLD) Of these the hydrothermal reaction of Zn(NO3)2 and hexamethylenetetramine carried out in aqueous solutions at atmospheric pressure and below the boiling point of water offers the best control over the nanorod morphology and is also amenable to various substrates and device geometries Rods of a few tens of nanometers diameter and several micron long can be grown in relatively short times Despite the large number of publications on ZnO-nanorod synthesis by this route the growth mechanism is not properly understood In this paper we address some of these issues by a transmission electron microscope (TEM) study of ZnO nanocrystals and nanorods grown in a geometry that is particularly suited for in situ studies 2 Experimental Sapphire TEM specimens were prepared in the conventional way Sapphire substrates were cleaned in aqua regia and annealed at 1400ordmC for 12 h prior to thin-film deposition PLD films were synthesized using a Lambda Physik LPX 210i (KrF 248 nm) system with a laser energy of ~200 mJpulse at the target The substrate temperature was 750ordmC while the number of laser pulses was varied between 2500 and 10000 at a repetition rate of 5 Hz Deposition was carried out under an oxygen pressure of 20 mTorr Hydrothermal synthesis of ZnO nanorods on these films was carried out by the method reported earlier [4] Substrates with the PLD ZnO films were suspended face-down in the aqueous bath with a custom designed Teflon holder TEM studies were carried out on a Tecnai G2 F30 equipped with EDS and STEM HAADF detectors operated at 300 kV Scanning electron microscope (SEM) studies were carried out in a Hitachi S-900 FESEM The in situ heating stage experiments were carried out in a Tecnai T12 TEM operated at 120 kV 3 Results The ZnO nanorods were hydrothermally grown on various substrates including TEM carbon grids At the initial stages of growth hexagonal platelets are formed on the substrate (Fig 1a) and then the kinetically favored growth along the [0001] direction leads to the nanorod morphology

238 R Divakar J Basu and C B Carter

(Fig 1b) The nanorods appear to grow from the centre in two opposite directions and the [0001] growth direction of the nanorods can be confirmed from the diffraction pattern (Fig 1b (inset)) The (0001) surface of wurtzite ZnO is polar it is expected that the polarity of the nanorods would be different at the two ends The dark-field images of a nanorod with positive and negative 0002 reflections are given in Figs 1c and 1d Polarity reversal of the nanorod across the central section can be confirmed from the complementarity of the images The minor deviation in complementarity can be attributed to the change in extinction length thickness and the difference in the deviation parameter during dark-field imaging

Fig 1 a) Hexagonal platelets formed at the initial stage of nanorod formation b) Hydrothermally grown ZnO nanorod The diffraction pattern (inset) shows that the growth direction is [0001] c) and d) Complementary contrast in the dark-field images of the nanorod with non-centrosymmetric positive and negative 0002 reflections proving polarity inversion

The ZnO films that were synthesized on c-plane sapphire with 2500 pulses in the PLD showed

a c-plane orientation in the XRD Figure 2a is an SEM image showing a continuous film on a bulk c-plane-oriented sapphire substrate with nanorods embedded between the grains Such nanorods are rare with respect to number density and were formed directly in the PLD Also the size of the nanorods grown directly by PLD is very small compared with those that can be grown in a similar time by hydrothermal synthesis ZnO film synthesized under identical conditions in the PLD on a

a b

c d

TEM Characterization of ZnO Nanorods 239

TEM-ready substrate shows (Fig 2b) the thin-film to be continuous and composed of faceted grains of 30-40 nm size with irregular polygonal cross sections The corresponding diffraction pattern in Fig 2c shows rings which index to wurzite ZnO and spots from the sapphire The ZnO 0002 ring is missing indicating the complete c-plane orientation of the grains Along the edges where the substrate was thicker short 20-40 nm nanorods were seen (Fig 2d) to have formed similar to that seen in Fig 2a from the edge of the ZnO thin-film

Fig 2 ZnO thin-film on c-plane sapphire substrate synthesized by PLD (2500 pulses 750degC) a) Secondary electron image of film on bulk substrate b-d) ZnO thin-film on c-plane sapphire TEM sample b) Bright-field image c) SAD pattern 0002 ring from ZnO is missing indicating the c-axis orientation of the film and d) nanorod growth at the edge of the TEM sample

Thicker films grown by PLD with 10000 pulses at 750degC showed a continuous film with larger

grains and did not show a predominance of (0001) orientation of grains as detected by XRD When these were subjected to hydrothermal growth conditions some of the grains were seen to have grown nanowires on them while others showed faceted surfaces of irregular hexagonal shape (Fig 3a) The relatively smaller number density of the nanorods on the thin-film can be attributed to the smaller number of suitably oriented grains On the c-Al2O3 TEM sample near-perfect hexagonal grains were seen to grow after the hydrothermal synthesis This assembly of aligned ZnO nanorods on PLD-deposited ZnO thin films onto a TEM-ready substrate was subjected to

a b

c d

240 R Divakar J Basu and C B Carter

in situ heating in the TEM It was observed that at around a temperature of 600-700 degC ZnO tends to react with the sapphire substrate leading to spinel formation (Fig 3b)

Fig 3 a) SEM image of aligned ZnO nanorods which can be seen on the PLD film after hydrothermal synthesis b) TEM image indicating that the nanorods react with the substrate to form spinel at 700 degC during the in situ heating experiment

4 Discussion For aligned growth of ZnO nanorods on various substrates ZnO nanocrystals are seeded on the substrate by thermal decomposition of organic zinc salts Often a reaction layer is formed at the ZnO nanorod-seeded substrate interface degrading the functional properties The PLD-deposition of thin film for subsequent growth of aligned ZnO nanorods is a novel technique in the sense that the thermal decomposition step can be avoided though the in situ heating stage experiment suggests that the assembly will lose its functionality beyond a substrate-dependent temperature due to an enhanced solid-state reaction at the nanorod-substrate interface ZnO nanorod growth by the hydrothermal synthesis route closely resembles single crystal growth The seed nucleation and interplay of surface energies lead to this particular morphology Acknowledgements The authors would like to acknowledge funding from the 3M Heltzer Endowed Chair and partial financial support by the MRSEC Program of the NSF under Award Number DMR-0212302 References 1 Rout C S Hari Krishna S Vivekchand S R C Govindraj A and Rao C N R 2006 Chem Phys

Lett 418 586 2 Xu J Chen Y Li Y and Shen J 2005 J Mater Sci 40 (Supp 2) 2919 3 Leschkies K S Divakar R Basu J Enache-Pommer E Boercker J E Carter C B Kortshagen U

R Norris D J and Aydil E S Submitted for publication 4 Divakar R Basu J and Carter C B 2006 Microsc Microanal 12 (Supp2) 698CD

a b

Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy L Lazzarini G Salviati M Zha and D Calestani IMEM-CNR Parco Area delle Scienze 37A 43010 Fontanini-Parma Italy Summary Cathodoluminescence nanoscale spectroscopy performed before and after in-situ electron beam irradiation and ex-situ annealing experiments on single SnO2 and In2O3 nanowires and nanobelts is presented A broad and composite yellow-green band is revealed on both SnO2 and In2O3 single nanoribbons A correlation between oxygen vacancies and emissions at 580 nm and 460 nm in SnO2 and In2O3 respectively is found No near band edge emission is revealed in both the materials As for SnO2 this result is assigned to a major role for surface effects with respect to bulk properties 1 Introduction The development of new materials whose dimensions are below the de Broglie wavelength of electrons has given impetus to characterization techniques able to study the transport and optical properties on nanometre scale In particular metal oxides represent a class of materials with properties covering a range from metals to semiconductors and insulators which are appealing for chemical sensing [1] 4d metal oxide SnO2 and In2O3 are prototype transparent conductive solids When obtained in the form of nanowires (NWs) or nanobelts (NBs) due to the favourable surface to volume ratio they find application as gas sensors as the size becomes smaller than twice the Debye length the crystals are depleted and the sensor sensitivity is greatly increased Both of the oxides have been demonstrated to have good sensing for CO methane ethanol hydrogen hydrogen sulphide and oxidizing gases such as O3 or NO2 Many papers agree on a major role for oxygen vacancies (VO) regarding sensitivity selectivity and stability of the sensing devices [2 and references therein] however controversial results on the correlation between optical emissions and VO are still present in the literature

Here we present scanning electron microscope cathodoluminescence (SEM-CL) spectroscopy performed in-situ on single SnO2 and In2O3 NWs and NBs before and after electron beam irradiation We show that monitoring of the CL emission variations under irradiation is an alternative and reliable approach to study of the effect of conventional post-growth oxygen thermal treatments on the Vo behaviour

In addition to non resonant excitation conditions submicron pan- and mono-chromatic imaging nanometric in-plane [3] and depth-resolved spectroscopy [4] the CL technique also allows us to change the injected carrier density from 108 to 1012 cm-2 in a single experiment [5] to perform power dependent optical spectroscopy on nanostructures If used on single nanobelts it can reveal different point defect concentrations due to different growth conditions and can reveal anticorrelation of the integrated intensities of different emissions [6] Taking advantage of these peculiarities in this paper CL has been employed between 10 K and 300 K for

i studying the optical emissions of single nanobelts with different thicknesses and shapes ii comparing the shape variation of the CL bands before and after in-situ irradiation procedures as a function of the irradiation time and injected power

When performed at low magnification and at low injection power CL gives the same information as obtained by PL spectroscopy The only difference is the linewidth which is larger for CL because of the stronger phonon coupling due to the highly energetic impinging

242 L Lazzarini et al

electrons (the injected carriers have much larger kinetic energy than the host lattice thermal energy) 2 Experimental SnO2 and In2O3 NWs were obtained in a home-made tubular furnace which can be heated up to 1200degC (1300deg for In2O3) with a suitable gradient profile and in which different gaseous ambients can be used during the growth The NWs were grown on different commercial substrates (Al2O3 SiO2 Si) through a vapour-liquid-solid process Starting with an SnO powder source (that dissociates into Sn and SnO2 at high temperature) with the optimum treatment temperature and gas pressures (patent pending) SnO2 NWs have been obtained with n-type conductivity (n asymp 1017 cm-3) and a tetragonal Rutile-like structure More detailed information on the growth conditions can be found elsewhere in Refs 7 and 8 Cubic (a=10118 Aring) n-type (n asymp 3 1017 cm-3) indium oxide NWs have been grown starting from a 6N pure metallic In source with a timetemperature controlled vapour transport and oxidation process without the use of catalysts Some of the SnO2 and In2O3 specimens have been treated in an oxygen-rich atmosphere at 1000degC for 24h Both types of nanoribbons did not show any other spurious phases

A commercial MonoCL2 system from Gatan using a multi alkali halide photomultiplier detector attached to a 360 Stereoscan Cambridge SEM has been used for high power injection CL spectroscopy and monochromatic imaging in order to study the correlation between complexes of point defects and shape and energy position of the CL spectra 3 Results and Discussion To the best of our knowledge no other authors but the present ones have shown SEM-CL spectroscopy on single SnO2 and In2O3 NWs and NBs the majority of the reported results in the literature concerning the study of average optical emissions obtained by conventional PL spectroscopy Figure 1 shows two typical SEM images of the SnO2 and In2O3 NW and NB tangles

Fig 1 Comparison between SEM micrographs of SnO2 (left) and In2O3 (right) NWs and NBs

Literature data [9-11] report on broad PL optical bands from SnO2 NBs in the visible wavelength range from 400 nm to 600 nm assigned to nanocrystals inside each NB or to Sn or O vacancies occurring during the growth which can induce trapped states in the band gap [12] Other authors [13] show two distinct PL emissions at 400 and 430 nm which are ascribed to Sn interstitials or dangling bonds and to oxygen vacancies respectively No evidence of near band edge (NBE) PL emission at 320-340 nm is however reported

Our previous CL results [7] show that the main emission coming from single SnO2 NWs and NBs is a broad band centred at about 580 nm with a shoulder at about 460 nm at RT independently

5microm 5microm

Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy 243

on the substrate kind and growth conditions Theoretical work in the literature suggests the emission at 580 nm should be ascribed to VO andor tin interstitials (Sni) These two intrinsic point defects tend to form spontaneously having in most cases a very low formation energy [14] and result in the n-type character of the sample conductivity A faint NBE CL emission has been found only in NBs larger than 1000 nm This result is consistent with a major role of the surface with respect to volume properties and with a pinning of the Fermi level and of acceptor-like surface states in n-type samples as well as on the presence of a space charge layer [15] It has been recently shown how a depleted layer of ~ 300 nm from the surface at which excitons start giving the NBE emission in SnO2 NWs can be estimated [7] The appearance of a NBE transition only in wires and belts with a thickness larger than 1 microm is coherent with this picture

Concerning In2O3 several data about the optical properties are present in the literature mainly deduced from absorption or photo-luminescence spectra measured at room temperature on both thin films and nanostructures [16-23] While bulk In2O3 should not emit light at room temperature [24] more or less intense emission in the UV-visible region has been observed in In2O3 films or nanostructures Although this is considered in many papers the nature of the unexpected emission is not yet clear As for SnO2 in our In2O3 NWs we have found a broad CL band peaked at about 580 nm (see below) No band edge emission has been revealed 31 In-situ e-Beam Irradiation and CL Spectroscopy To better understand the influence of oxygen vacancies on the CL spectra two different experiments have been done The first aimed to reduce the VO concentration was a post growth annealing of the samples in an oxygen rich atmosphere The spectra shown in Fig 2 indicate that upon this annealing the 580 nm emission is noticeably reduced From Gaussian deconvolution procedures the integrated intensity ratio between the emissions at 460 and 580 nm changes from 14 to 120 before and after oxygen treatment respectively

The second experiment aimed to increase the VO concentration was the controlled e-beam irradiation of a single NW inside the SEM The CL spectra collected on the same NW before and after electron beam irradiation (Fig 3) show a net increase of the integrated intensity of the emission peaked at 580 nm supporting the assumption that the emission is related to VO

As a matter of fact it has been demonstrated [37] that the main effect of irradiation at least in SnO is not a knock-on damage but rather the formation of electron induced structural transformation (radiolytic processes) with the characteristic formation of V0 This must be even more likely in the case of SnO2 where an energy inexpensive transformation can lead to the formation of V0 [14]

300 400 500 600 700 800-2000

0

2000

4000

6000

8000

10000

12000

14000

16000

CL Eb=25 keV T=300 K Ib=45 nA25000 x t=400 nm

CL

Inte

nsity

(au

)

Wavelenght (nm)

as grown

annealed

Fig 2 CL spectra on the same SnO2 NB before and after thermal treatments in oxygen rich atmosphere

244 L Lazzarini et al

0 100 200 300 400 50010000

15000

20000

25000

30000

35000

40000

CL

Inte

nsity

(au

)

time (sec)

SnO2 nanobelt 50x 500 nm L=5 micromEb=20 keV Ib= 500 nA T=300 K 10000 xA emission at 580 nm B emission at 460 nm

A

B

This theoretical assumption is supported by our experimental results on the time evolution of the CL bands as shown in Fig 4 Here after an initial increase of the band peaking at 560 nm a continuous slow downward trend is shown due to NB structural damage induced by the electron beam irradiation On the contrary as expected the intensity of the emission at 460 nm after a first decrease remains constant in time

As mentioned before the same experiments have been carried out on In2O3 samples in

order to understand the nature of the bands forming the CL spectra Figure 5 reports on the CL spectra before and after ex-situ thermal treatments at 1000 degC for 24 h in an oxygen rich atmosphere A typical broad band centred at 590 nm (also observed in PL studies not shown here) was found in the as grown NWs After the oxygen treatment contrary to the SnO2 the total integrated intensity of the CL band increases suggesting however a connection with VO When CL spectroscopy after e-beam irradiation inside the SEM was performed the barycentre of the band in Fig 3 blue shifted and a shoulder peaked at 480 nm came out clearly induced by the irradiation procedure

Figure 6 presents the comparison between two CL spectra obtained at 77 K before and after e-beam irradiation on a 250 nm thick In2O3 NB at Ib=2 microA for 20 minutes keeping constant the beam energy and current In addition to the band at about 590 nm the larger integrated intensities of the emission at 480 nm after 20 minutes of irradiation is apparent The effect of the e-beam on the NW can be considered to be the opposite with respect to the annealing procedures in an oxygen atmosphere reported in Fig 2 This means that the integrated intensity increase observed in Fig 5 could lead to incorrectly ascribing the 590 nm emission to VO Actually only the transition at 480 nm is influenced by the irradiation procedure and by the consequent increased VO concentration By plotting the CL intensities vs time no changes in the peak value of the 480 nm band has been

300 400 500 600 700 800

18000

20000

22000

24000

26000

28000

30000

32000

34000

after irradiation

CL

Inte

nsity

(au

)Wavelenght (nm)

before irradiation

CL T=300 K Eb=25 keV Ibirr=1 microA Φ=900 nm

Fig 3 CL spectra on the same SnO2 NW before and after electron beam irradiation inside the SEM

Fig 4 CL intensity time evolution of bands B and C in an SnO2 NB under e-beam irradiation inside the SEM

Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy 245

300 400 500 600 700 800

600

800

1000

1200

1400

1600

1800

2000

2200

590 nm

CL

Inte

nsity

(au

)

Wavelength (nm)

490 nm

590 nm

780 nm480 nm

Eb=20 keV Ib=50 nA T= 77 K 50000x Φ=250 nmlower untreated nanobeltupper after 20 min of irradiation at Ib=2 mA

300 400 500 600 700 800

0

3000

6000

9000

12000

15000

CL

Inte

nsity

(au

)

Wavelength (nm)

In2O3 Φ=500 nm Eb=20 keV Ib= 200 nA T= 77 K 21000 x solid after thermal treatment in O2 rich atmosphere at 1000 degC for 24 hdotted untreated specimen

detected within the first 20 minutes of irradiation time This is probably due to structural damage (resulting in thinning of 50 of the diameter not shown here and previously observed also in SnO2 NWs) which affected the total intensity of emission during time

4 Conclusions CL spectroscopy has been performed on SnO2 and In2O3 single nanowires and nanobelts before and after electron beam irradiation inside an SEM The evolution of the CL band relative integrated intensities has been monitored in-situ as a function of injection power and irradiation time A broad band due to deep levels centred at about 580 nm is found in all samples The CL spectra of as grown and oxygen treated SnO2 and In2O3 NWs presented a strong similarity in shape and peak energy position However the comparison between oxygen treatments and in-situ e-beam irradiation experiments showed that the VO concentration variation affected the transitions at 580 nm and 460 nm in SnO2 and In2O3 respectively The results confirmed that monitoring the CL emission variations under e-beam irradiations in a single experiment on metal oxide single NWsNBs is an alternative and reliable approach to conventional ex-situ thermal treatments

Fig 5 CL emission bands before and after treatments on O2 rich atmosphere T = 1000 degC t = 24h

Fig 6 Comparison between CL spectra before (bottom line) and after (upper line) 20 min of irradiation of the same NB Rough data The spectra are shifted for clarity

246 L Lazzarini et al

References 1 Comini E 2005 Analytica Chimica Acta 568 28 2 Forleo A Francioso L Epifani M Capone S Taurino A M and Siciliano P 2005 Thin Solid

Films 490 68 3 Gustafsson A 2006 Journal of Microscopy 224 72 4 Salviati G Rossi F Armani N Grillo V Martinez O Vinattieri A Damilano B Matsuse A and

Grandejean N J 2004 Phys Cond Matt 16 S115 5 Armani N Rossi F Ferrari C Lazzarini L Vinattieri A Colocci M Reale A Di Carlo A and

Grillo V 2004 Superlatt Microstr 36 615 6 Yuan X L Lazzarini L Salviati G Zha M and Sekiguchi T 2006 Materials Science in

Semiconductor Processing 9 331 7 Calestani D Zha M Zappettini A Lazzarini L Salviati G Zanotti L and Sberveglieri G 2005

Mat Sci Eng C 25 625 8 Calestani D Zha M Salviati G Lazzarini L Zanotti L Comini E and Sberveglieri G 2005 J

Crystal Growth 275 e2083 9 Hu J Q Bando Y and Golberg D 2003 Chem Phys Lett 372 758 10 Wu X Zou B Xu J Yu B Tang G Zhang G and Chen W 1997 Nanostruct Mat 8 179 11 Pantelides S T Mickish D J and Kunz A B 1974 Phys Rev B 10 5213 12 Zheng F Zhang X Wang J and Zang L 2004 Nanotechnology 15 596 13 Gu F Wang S F Song C F Lu M K Qi Y X Zhou G J Xu D and Yuan D R 2003 Chem

Phys Lett 372 451 14 Kiliccedil Ccedil and Zunger A 2002 PhysRevLett 88 95501 15 Yu P Y and Cardona M 1999 Fundamentals of semiconductors Springer 2nd ed 448 16 Raza A Agnihotri O P and Gupta B K 1977 J Phys D Appl Phys 10 1871 17 Weiher R L and Ley R P 1966 J Appl Phys 37 299 18 Zhang J Qing X Jiang F and Dai Z 2003 Chem Phys Lett 371 311 19 Zheng M J Zhang L D Li G H Zhang X Y and Wang X F 2001 Appl Phys Lett 79 839 20 Peng X S Meng G W Zhang J Wang X F Wang YW Wang C Z and Zhang L D 2002 J

Mat Chem 12 1602 21 Liang C Meng G Lei Y Phillipp F and Zhang L 2001 Adv Mater 13 1330 22 Zeng F Zhang X Wang J Wang L and Zhang L 2004 Nanotech 15 596 23 Zhao Y Zhang Z Wu Z and Dang H 2004 Langmuir 20 27 24 Ohhata Y Shinoki F and Yoshida S 1979 Thin Solid Films 59 255

Determining Buried Wetting Layer Thicknesses to Sub-Monolayer Precision by Linear Regression Analysis of Series of Spectra T Walther Dept Electronic amp Electrical Eng University of Sheffield Mappin Street Sheffield S1 3JD UK Summary The strain driven evolution from surface undulations to quantum dots and finally islands can commence rather abruptly eg for InAs-on-GaAs at an average thickness of ~18 monolayers In this study two methods of analytical (scanning) transmission electron microscopy are described for measuring quantitatively the effective chemical thickness of such a wetting layer to sub-monolayer precision after the layer has been overgrown and is not accessible to surface analytical methods anymore Both methods are based on linear regression analysis of the plot of compositional ratio measured vs extent of area investigated An example of energy-dispersive X-ray spectroscopy in nanoprobe mode of a thin InAs layer embedded in InP is presented 1 Introduction The Stranski-Krastanow growth mode describes the transition from two-dimensional flat layer-by-layer growth to three-dimensional growth of islands The evolution from small surface undulations to quantum dots and then to successively larger islands is driven by strain This transition can commence rather abruptly eg for the system InAs-on-GaAs(001) at a thickness of about 18 monolayers as concluded from high-energy electron diffraction [1 2] This value represents a lateral average many surface analytical techniques indicate and which agrees with more direct atomic force microscopy [3] For alloys the surface islands observed are triggered by a high adatom population driven by vertical segregation in the initially flat wetting layer leading to enhanced incorporation of the larger atomic species within the core of the islands and a corresponding depletion in the remaining wetting layer [4 5] Thickness variations within the wetting layer are thus deemed crucial to understanding the Stranski-Krastanow growth transition When such surface structures are overgrown during the production of multiple layers for device applications [6] they are no longer accessible to surface analysis techniques Instead analytical transmission electron microscopy (TEM) or scanning TEM (STEM) have to be applied

In this study two methods of analytical (S)TEM are described for measuring quantitatively the effective chemical thickness of such a buried wetting layer to a precision of a fraction of a monolayer over an area about 50-100nm in lateral extension which is sufficient to reliably detect even small local inhomogeneities in a buried wetting layer Such differences on the sub-monolayer level cannot be detected directly by any imaging method even at atomic resolution because of the need to sample a large number of atoms for adequate statistics

Analytical (S)TEM is based on the acquisition of chemical signals from either characteristic energy losses (electron energy-loss spectroscopy EELS) or X-rays (energy-dispersive X-ray spectroscopy EDXS) Because of the ability to form small electron probes the spatial resolution can be high However multiple scattering of the electrons even within a moderately thick foil leads to beam broadening of typically a few nanometres [7] A high unspecific background signal reduces significantly the chemical signal obtained from a local defect in a thicker sample The accuracy in determining any chemical composition locally is directly related to the uncertainty in the knowledge of the interaction volume which can often not be determined with sufficient accuracy because of the combined influences of thickness (beam broadening) and orientation (channeling) Both effects are particularly pronounced relative to the electron beam size for well focused electron probes This makes chemical studies by high-resolution scanning TEM (STEM) inherently less quantitative than

248 T Walther

expected unless large scan windows are used The accuracy of an analysis in terms of both precision and reproducibility is thus often much poorer than the corresponding detection limit 2 Methods and Modelling A new technique for determining accurately small amounts of solute or dopant atoms incorporated into well-defined planar defects in solids has recently been developed [8] and successfully applied to inversion domain boundaries in zinc oxides [9-12] The new method is based on recording series of spectra with different beam diameters or different sizes of the area scanned from the defect which is oriented almost edge-on Without any broadening or channeling and for a defect plane much thinner than the electron beam width the chemical signal from the matrix atoms is expected to be directly proportional to the cylindrical volume of analysis given by the product of πr2t where r denotes the beam radius and t the specimen thickness The chemical signal from solute atoms incorporated into the planar defect on the other hand increases linearly with the part of the defect sampled by the electron beam given by the product 2rdt where d represents the effective chemical width of the defect ie its structural width multiplied by the fractional occupancy of lattice sites by solute atoms Hence the ratio of matrixsolute counts corrected by the corresponding EDXS k-factors or EELS ionisation cross-sections is given as (RmatrixRsolute)middot(ksolutekmatrix) asymp πr(2d)

A linear least-squares fit can then be performed to determine the segregation level from the slope of the best fit line The effects of beam broadening a finite chemical defect width compared to the initial electron beam size and solid solubility of solute atoms in the matrix have been modeled [8] as well as stochastic displacements or drift of the electron beam from the boundary position [13] all of which cause deviations from linearity The method can be applied to EELS or to EDXS Measurements can be performed in either nanoprobe TEM mode without the need for a scan unit see Fig 1 or in STEM mode by scanning square regions of different sizes as indicated in Fig 2 (in which case the factor π2 in the above equation is dropped and r must be substituted by the length L of the raster scanned area [14])

Fig 1 Sketch of the method using TEM nano- Fig 2 Sketch of the method using STEM probe mode with different beam diameters mode with scan windows of different sizes

Simulations suggested an accuracy in the determination of the solute excess at a special grain boundary down to plusmn1 of a monolayer or plusmn01 atomsnm2 The errors obtained experimentally for doped zinc oxide had uncertainties plusmn04 atomsnm2 [9 10] The linear correlation coefficient allows one to directly estimate the accuracy obtainable in an experiment because the relationship between the accuracy (relative difference between output and input chemistry) and the linear correlation coefficient turned out to be monotonic in simulations [8] In semiconductor epitaxy a buried wetting layer may be treated exactly as the fault plane considered above As the (002) plane of the zinc blende lattice represents a monolayer of one of the sub-lattices and the lattice constants

Determining Buried Wetting Layer Thicknesses to Sub-Monolayer Precision 249

of most semiconductors are of the order of 06nm such a monolayer has an atomic density of about 5-6 atomsnm2 and sub-monolayer precision will be obtainable easily 3 Experimental Application

Fig 3 Annular dark-field STEM image of a Fig 4 Bright-field TEM image of the lower part multiple InAs quantum dot (QD) structure on of Fig 3 showing the thin layer between the InP a thicker InGaAsP layer on InP(001) lt110gt layers with reverse contrast The region of ana- zone axis orientation 103nmpixel sampling lysis is marked by circles with r=6 and 81nm

As an application example a thin interlayer sandwiched between an InP substrate and InP buffer layer is investigated and is shown as the line structure marked by a question mark in Figs 3 and 4 The layers were grown by metal-organic vapour phase epitaxy and have been designed with InAs quantum dots (QDs) to emit at 13microm wavelength All electron microscopy was performed in a JEOL 2010F field-emission gun (FEG) TEM equipped with scan unit annular dark-field detector Gatan imaging filter charge-coupled device (CCD) camera and an Oxford Instruments SiLi X-ray detector with atmospheric thin window and ISIS analysis software The distance of the interlayer from the InPInGaAsP interface is 88plusmn1nm so electron beams from 6nm to 81nm radius as measured on the CCD camera have been used to investigate near cylindrical regions of the sample centered on the layer of interest The thickness of this layer determined from the image in Fig 3 is less than 35nm while direct bright-field imaging near the lt110gt zone axis gave a layer thickness ~1nm In Fig 4 the layer appears 4nm wide because the ~60nm thick sample has been tilted off the zone axis orientation towards the X-ray detector ~17deg about the interface normal and ~4deg about the in-plane axis which smears out the interface in projection

The EDXS data revealed a local increase of the arsenic concentration near the layer of interest Figure 5 demonstrates that a quantification of the ratio of either the phosphorus K-line to the arsenic K-line (diamond symbols with solid line) or of the indium L-line to the arsenic K-line (squares and dashed line) yields almost identical data It must be said that the k-factor of P_K was re-calibrated using the InP substrate as reference No suitable reference for In_L relative to As (eg pure InAs) was available Both data sets yield linear correlation coefficients of R2=0903 The slopes of m=180plusmn018 1nm from P_KAs_K and m=178plusmn018 1nm from In_LAs_K are in excellent agreement and consistently yield an effective chemical width of the layer of d=π2m=088plusmn001nm This corresponds to 30plusmn03 monolayers of As ie three (002) lattice planes within the zinc blende structure of InP covered fully by As or 144plusmn14 As atoms nm2 An attempt of using solely L-lines for quantification failed however with a linear correlation coefficient of only R2=0828 and a slope of m=060plusmn008 1nm which would indicate about 9 monolayers of As and thus a layer thickness around 26nm (triangles and dotted line in Fig 5) This can be excluded from on-axis imaging as mentioned Fig 6 shows for the spectrum with the largest discrepancy between the results measured from K- and L-lines that a wrong absorption

250 T Walther

correction alone cannot explain this It is thought that extensive fluorescence excitation of the soft As L-line by the In L-line is responsible for the In_LAs_L ratio being far too low As this spectrum was one of the last acquired from the area shown in Fig 4 also a build-up of carbon contamination could have increased the background and the apparent signal of the soft As_L line A lateral non-uniform thickness of the As containing layer on the other hand would have led to a wider scatter of the data points for smaller electron beam diameters instead

0

20

40

60

80

100

120

140

160

180

0 10 20 30 40 50 60 70 80 90

beam radius [nm]

atom

ic ra

tio o

f gro

up I

II A

s

P_K As_KIn_L As_LIn_L As_KLinear (P_K As_K)Linear (In_L As_L)Linear (In_L As_K)

0

50

100

150

200

250

300

0 100 200 300 400 500 600

specimen thickness [nm]

atom

ic ra

tio o

f gro

up I

II

As

P_K As_KIn_L As_LIn_L As_K

Fig 5 Plot of atomic concentration ratios vs electron Fig 6 Plot of the influence of the assumed beam radius for 13 EDX spectra Absorption was taken specimen thickness on the apparent atomic into account assuming as parameters a density of ratios for the spectrum with the largest discre- ρ=56gcm3 and a specimen thickness of t=60nm pancy reported in Fig 5 (68nm beam radius) 4 Conclusion A new analytical TEM method has been applied to quantify the chemistry of a very thin epitaxial arsenic-rich semiconductor layer sandwiched within InP A series of 13 EDX spectra recorded in nanoprobe TEM mode with different electron beam sizes was recorded From a linear regression analysis an effective coverage of 30plusmn03 monolayers of As atoms can been inferred Whether this layer is a ternary InAsP alloy or pure InAs is however not clear yet References 1 Houzay F et al 1987 J Cryst Growth 81 67 2 Munekata H Chang L L Woronick S C and Kao Y H 1987 J Cryst Growth 81 237 3 Sasaki A 1996 J Cryst Growth 160 27 4 Walther T Cullis A G Norris D J and Hopkinson M 2001 Phys Rev Lett 86 2381 5 Cullis A G Norris D J Walther T Migliorato M A and Hopkinson M 2002 Phys Rev B 66

081305 6 Solomon G S Trezza J A Marshall A F and Harris J S Jr 1996 Phys Rev Lett 76 952 7 Goldstein J I Costley J L Lorimer G W and Reed S J B 1977 Scanning Electr Microsc 1 Proc

AEM (IIT Res Inst Chicago IL USA) 315 8 Walther T 2004 J Microsc 215 191 9 Rečnik A Daneu N Walther T and Mader W 2001 J Am Ceram Soc 84 2657 10 Daneu N Walther T and Rečnik A 2002 Proc 15th Int Conf Electron Microsc Eds Cross R

Engelbrecht J and Witcomb M (Durban Microsc Soc of South Africa) 3 63 11 Walther T Daneu N and Rečnik A 2004 Interface Science 12 267 12 Walther T Rečnik A and Daneu N 2006 Microchim Acta 155 313 13 Walther T Rečnik A and Daneu N 2002 Proc 15th Int Conf Electron Microsc 1 535 14 Walther T 2006 J Microsc 223 165

Transmission Electron Microscopy Study of Sb-Based Quantum Dots B Satpati V Tasco1 N Deguffroy1 A N Baranov1 E Tournieacute1 and A Trampert Paul-Drude-Institut fuumlr Festoumlrperelektronik Hausvogteiplatz 5-7 10117 Berlin Germany 1 Universiteacute Montpellier 2 CNRS UMR 5214 Institut drsquoElectronique du Sud 34095 Montpellier cedex 5 France

Summary We have investigated the structural properties of InSb quantum dots (QDs) on top of GaSb and embedded in GaSb barrier layers The InSb QDs were grown by molecular beam epitaxy (MBE) applying conventional growth conditions as well as a new multi-step MBE growth process consisting of deposition at a very low temperature an annealing step and capping immediately after QD formation Conventional MBE growth produces plastically relaxed large islands with low density The modified MBE growth technique allows the growth of very dense coherently strained and tiny InSb QDs with high structural perfection 1 Introduction InSbGaSb heterostructures have been the subject of investigation due to their unique potential device applications InSb-based QDs represent a promising active medium for optoelectronic devices operating in the mid-IR (3-5 microm) region for the detection of various chemical explosive and biological agents which have pronounced absorption in this wavelength range [1 2] In order to realize high performance QD devices it is important to achieve a high density of QDs with a small size distribution Despite the large lattice mismatch of about 63 which is comparable to the well-known InAsGaAs case the realization of Sb-based nanostructures has not progressed so far The growth of high quality InSb QDs in GaSb has shown to be infeasible whatever epitaxial growth technique is used [3 4] Only few groups have studied InSbGaSb QD systems and so far very low QD densities have been reported with photoluminescence emission only at low temperature [5ndash7] The problem arises from the comparatively weak In-Sb binding energy being responsible for a long migration length of In adatoms on a Sb-terminated surfaces and thus for the formation of large and plastically relaxed InSb islands Recently we have introduced an innovative growth process consisting of InSb deposition at extremely low temperatures followed by a properly designed annealing step [8 9] 2 Experimental The samples studied in this work were grown on n-type GaSb (100) substrates in a solid-source MBE system equipped with As- and Sb-valved cracker cells Uncapped InSb islands and dedicated heterostructures with the QDs inserted in the centre of GaSb barrier layers confined on both sides by an AlGaSb cladding were investigated by transmission electron microscopy (TEM) The uncapped InSb islands are used to investigate the mechanisms of strain relief and to discuss its interplay with the island morphology In situ reflection high-energy electron diffraction has been used for monitoring the sample surface evolution and in particular the 2-dimensional (2D) to 3-dimensional (3D) transition during InSb deposition typical for the Stranski-Krastanow growth mode TEM studies were performed using a JEM-3010 JEOL microscope operating at 300 kV The TEM preparations of cross-section samples were carefully done in a conventional way by grinding dimpling and argon ion milling with 25 - 28 keV energy

252 B Satpati et al

3 Result and Discussion 31 Conventional MBE Grown Sb-Based Nanostructures

We will first report on our TEM investigation of the structural properties of InSb islands grown on GaSb (001) at a typical substrate temperature of 450degC As already reported [3-5] in this temperature range the 2D-3D transition occurs after the deposition of ~ 18 ML Figure 1(a) shows a two-beam bright-field XTEM image of an uncapped layer of nominally 24 ML InSb The micrograph reveals the presence of InSb islands with almost the same base length but different heights (bi-modal height distribution) All islands are characterized by a well-defined faceting Besides the (001) top facet the larger islands have 311 side facets while the smaller ones exhibit 111 side facets This facet formation reflects the anisotropy in surface energies and is driven by a minimization of the surface free energy leading to the equilibrium shape The average base length is about 50 nm and the height varies from 6 to 20 nm The cross-sectional HRTEM image in Fig 1b demonstrates that these InSb islands are plastically relaxed by the formation of misfit dislocations (MDs) located at the interface (indicated by white arrows) The MDs form a periodic array along both the [110] and [1-10] directions with an average distance of about 78 nm which is sufficient to relieve 84 of the lattice misfit Most of the MDs are of pure edge type (most efficient in the relaxation of strain) very few are 60deg-type with Burgers vector inclined to the interface

Figure 2 presents cross-sectional TEM images of buried InSb islands grown at 400 degC and embedded in AlGaSbGaSb layer pairs The bright-field TEM image in Fig 2a illustrates the high dislocation density in the heterostructure grown with nominally 26 ML InSb The buried islands are large (50 nm) and plastically relaxed similar to the uncapped case During island overgrowth the MDs tend to propagate into the upper layer generating threading dislocation arms A considerable number of these threading dislocations were bent into the interface to the compressively strained AlGaSb layer acting as a dislocation filter On the other hand Fig 2b shows a TEM image of a sample grown at the same temperature (400degC) but with only 2 ML InSb coverage Although this coverage is just beyond the 2D-3D transition the islands are again rather large and already plastically relaxed However in this case dislocation loops are detected around the islands (more evident from the inset in Fig 2b) Therefore there is no need for forming threading dislocations in this case In addition a distinct InSb wetting layer is observed in both samples These results demonstrate the rather long migration length of In being responsible for the formation of large relaxed InSb islands with shape close to thermodynamic equilibrium Variations of the growth temperature (370degCndash450degC) of the InSb growth rate (03ndash12 MLs) and of the

Fig 1 XTEM images a) InSb islands grown at 450 degC on GaSb substrate b) HRTEM image of InSbGaSb interface showing periodic array of misfit dislocations (marked with arrows)

Fig 2 Bright-field TEM images of two buried QD samples grown at same temperature (400degC) on GaSb with nominally a) 26 ML of InSb and b) 2 ML of InSb embedded in AlGASbGaSb layers Inset InSb dot with dislocation loops

Transmission Electron Microscopy Study of Sb-Based Quantum Dots 253

SbIn flux ratio (1ndash5) have not produced significantly different results in the QD morphology and structure

32 InSb QDs Grown by a Modified-MBE Growth Technique The results shown above indicate that an alternative route is needed to overcome these limitations and to obtain dot densities and properties suitable for device applications We have thus developed a new growth procedure that consists of an InSb deposition at the low temperature of ~300degC resulting in an amorphous layer followed by an annealing step at 390degC for 20ndash50 s for re-crystallization including dot formation Figure 3a displays a plan-view TEM image of an uncapped InSb layer of nominal 25 ML thickness grown under these modified conditions This (220) dark-field image reveals the presence of small InSb QDs with an average diameter of about 20 nm The size distribution appears in a mono-modal mode Based on the strain sensitive imaging condition we find that most of the islands are relaxed by introducing MDs at the interface The HRTEM image displayed in Fig 3b demonstrates the presence of such a MD in the center of the island being of pure-edge type The critical diameter of the InSb QDs defining the onset of plastic relaxation is determined to be about 12 nm This shows that the atom mobility is strongly restricted during the QD formation from the amorphous state resulting in smaller dot dimensions

A different situation compared to the uncapped island is observed when a GaSb layer has covered the InSb QDs immediately after their formation at 390degC Fig 4a shows a (002) bright-field TEM image of such a buried QD sample The micrograph demonstrates the existence of a wetting layer with a high density of tiny QDs as given by the strong contrast variations along the InSb layer compared to the homogeneous contrast of the adjoining GaSb Note that the complete structure is free of any extended defects No threading dislocations are observed indicating that there is no plastic relaxation process involved By tilting the sample around the [110] axis the interface becomes inclined to the electron beam which enables the observation of isolated InSb QDs Applying the g = 220 diffraction condition the strain field of the QDs is detected in this direction revealing an average size of about 10 nm (more evident in the inset of Fig 4b) This particular strain effect corresponds to coherently strained InSb QDs with an oval or almost a circular shape along this direction Further details about the QD structure and composition are obtained by the lattice distortion analysis (LADIA) taken from HRTEM image This technique detects the tetragonal distortion of the lattice with respect to an undisturbed reference lattice here the GaSb barrier layer The amount of tetragonal distortion is then presented in Fig 5a The result demonstrates the existence of a continuously strained wetting layer with a sharp lower interface together with undulations in the upper interface reflecting the 3D character of the QDs An average line scan across the area marked in Fig 5a indicates a maximum strain value of 2 which corresponds to an In content for the wetting layer (WL) of about 20 Even if taking into

Fig 3 a) Plan-view (220) dark-field TEM image of partially strain relieved InSb islands grown on GaSb (001) at low temperature b) HRTEM image showing pure-edge type misfit dislocation (MD) at the interface

Fig 4 a) Cross-sectional images of buried InSb QDs embedded in AlGaSbGaSb and b) tilted interface to visualize the isolated QDs The inset in (b) is a zoom on one InSb QDs showing fully strained nanostructures

254 B Satpati et al

account a WL thickness of about 2 nm ie almost twice the nominal thickness this result implies a strong In diffusion into GaSb during the annealing step To verify the large In diffusivity in Sb-based alloys we did an in-situ post-growth thermal treatment of a 24 ML InSb grown at 300degC and capped by a 20-nm thick GaSb layer grown at 390degC The structure was additionally annealed at 480degC for 40 minutes in the MBE chamber Figure 6a shows the dark-field TEM image of the as-grown sample identifying the InSb QD layer structure (similar to structure shown in Fig 4a) After annealing the microstructure is completely changed the QDs have disappeared and instead In-rich precipitates and crater-like features were formed close to the surface due to In segregation (Fig 6b)

4 Conclusions We have investigated different growth conditions of Sb-based QDs Conventional growth at temperatures around 400degC leads to the formation of low densities of large relaxed islands The surface QDs grown at low temperature are relaxed as well A different situation is found in structures where a thin GaSb layer covers the InSb QDs just after their formation Diffusion processes are inhibited in this case leading to delayed onset of plastic relaxation The result is a QD layer structure consisting of tiny QDs on a thin wetting layer These nanostructures exhibit narrow PL emission up to room temperature consistent with TEM observations

Part of this work is supported by the European Commission (project No FP6-017383 DOMINO) References 1 Sorokina I T and Vodopyanov K L (eds) 2003 Solid State Mid-Infrared Laser Sources (Berlin

Springer) 2 Joullieacute A and Christol P 2003 C R Physique 4 621 3 Ivanov SV et al 2005 J Crystal Growth 278 72 4 Shusterman S Paltiel Y Sher A Ezersky V and Rosenwaks Y 2006 J Cryst Growth 291 363 5 Bertru N Brandt O Wassermeier M and Ploog K 1996 Appl Phys Lett 68 31 6 Alphandeacutery E Nicholas R J Mason N J Zhang B Moumlck P and Booker G R 1999 Appl Phys

Lett 74 2041 7 Tsatsulrsquonikov A F et al 1998 Microelectron Eng 4344 85 8 Tasco V Deguffroy N Baranov A N Tournieacute E Satpati B and Trampert A 2006 phys stat sol

(b) 243 3959 9 Tasco V Deguffroy N Baranov A N Tournieacute E Satpati B and Trampert A Dunaevskii M and

Titkov A 2006 Appl Phys Lett 89 263118

Fig 5 a) Strain map using lattice distortion analysis of a HRTEM image showing wetting layer b) average line scan across the area shown in (a)

Fig 6 a) As grown 24 ML InSb on GaSb at 300degC b) same structure as in (a) annealed in situ at 480 degC for 40 min

TEM Characterization of Self-Organized (InGa)N Quantum Dots H Kirmse I Haumlusler W Neumann A Strittmatter1 L Reiszligmann1 and D Bimberg1 Humboldt-Universitaumlt zu Berlin Institut fuumlr Physik AG Kristallographie Newtonstraszlige 15 D-12489 Berlin Germany 1 Technische Universitaumlt Berlin Institut fuumlr Festkoumlrperphysik Hardenbergstr 36 D-10623 Berlin

Germany Summary (InGa)NGaN quantum structures were extensively investigated in cross section by transmission electron microscopy Both strain-sensitive diffraction contrast imaging and high-angle annular dark-field imaging proved the formation of quantum dots The quantum dots exhibit a homogeneous size distribution as well as a regular arrangement within the individual layers High-angle annular dark-field imaging was utilized to determine the thickness of the layers on the atomic scale 1 Introduction Nanostructures of the III-N materials system are intensively studied with respect to their structural physical and chemical properties due to their applicability for blue light emitters [1] The structural and chemical investigation of the nanostructures is carried out by transmission electron microscopy (TEM) utilising the various modes available in modern instruments An important limitation for the investigation of (InGa)N is the potential altering of the indium distribution due to electron beam irradiation [23] To minimize modification of the as-grown properties of the nanostructures the TEM investigations experiments have to be performed on a time scale shorter than 60 s for individual positions 2 Experimental The nanostructures were grown by metal organic chemical vapour deposition on Si (111) substrates utilizing the procedure described in detail elsewhere [4] The lattice mismatch of GaN compared to Si is accommodated in a sequence of buffer layers comprising 40 nm AlN 200 nm Ga095Al005N 10 nm AlN and 600 nm GaN The growth of a sequence of 5 layers of (InGa)N separated by a GaN spacer was performed at 800 degC The formation of quantum dots (QDs) was proven by photoluminescence experiments where the lateral size of the QDs was predicted as 3 to 4 nm [5]

The samples were prepared for TEM in cross section by face-to-face gluing wire sawing mechanical polishing dimpling and ion milling with a final ion beam energy of 2 keV at an angle of 10 deg TEM investigations were performed with a JEOL JEM 2200FS operating at 200 kV The high-angle annular dark-field (HAADF) imaging was realized at a minimum diameter of the electron probe of 014 nm 3 Diffraction Contrast Imaging Due to the mismatch of the lattices of GaN compared to (InGa)N potentially formed quantum dots cause a strain field acting onto the surrounding GaN Utilizing a broad beam for these investigations ensuring a minimized electron beam current per unit area the strain-sensitive diffraction contrast image of Fig 1a was acquired Here the 0002 reflection was selected for dark-field imaging Inspecting the lowermost (InGa)N layer a chain-like arrangement of contrast features is visible These features are regarded as QDs

256 H Kirmse et al

formed via self-organization during deposition of (InGa)N due to relaxation of the lattice mismatch The lateral size of the QDs ranges between 2 and 4 nm The height amounts from 1 to 2 nm respectively Focusing on the following layers it is obvious that the arrangement of the QDs is less regular Due to the thickness of the GaN spacer layer being larger than the vertical extension of the strain field a random vertical correlation is seen Moreover the number of QDs decreases from bottom to top layer where at the same time the size of the QDs increases The larger size is correlated with an increase of both the extension and the strength of the strain field where the latter is causing the stronger contrast in the uppermost layers (cf upper right region of Fig 1a) In the upper left region a rather weak contrast is visible from the layers This has to be attributed to a bending of the lattice planes utilized for imaging

Here a comment on the altering of the (InGa)N layers due to electron beam irradiation can be given Carefully inspecting the contrast features of the QDs during the experiments a modification was not observed The different layers were grown under constant conditions Hence a homogeneous irradiation which was applied to these wide beam investigations should result in an identical modification of each layer Since the contrast features of the layers appear different in Fig 1a it can be concluded that the influence of electron beam irradiation can be neglected Here as-grown properties are visualized

Fig 1 Diffraction contrast imaging of different areas of the 5-fold stack of (InGa)N layers a) Strain-sensitive 0002 dark-field image inset diffraction pattern of the conditions used for 0002 dark-field imaging Reflections of the substrate are also seen b) Composition-sensitive 0001 dark-field image inset intensity profile (length 20 nm)

Contrary to the strain-sensitive 0002 dark-field image the 0001 dark-field image of Fig 1b predominantly contains composition-sensitive information The structure factor of the 0001 beam of the wurzite structure strongly depends on the difference between the mean atomic number of the cations A and the anions B This behaviour is also known for the materials system (InGa)As A square function with a minimum at a content of indium of 18 describes the correlation of the 002 intensity and indium content [6] In the case of (InGa)N an intermediate minimum does not exist as revealed by the inset of Fig 1b Conclusively the intensity of the 0001 beam of the materials system In1-xGaxN directly depends on the composition x where a higher content of indium gives a higher 0001 beam intensity

In addition there are two remarkable features in Fig 1b First the two bottom layers exhibit a slightly higher intensity compared with the layers 3 to 5 This has to be attributed to the rocking curve of the 0001 beam as marked in the inset Examining the intensity jump between the background and the peaks of the layers a similar height is found Thus the indium content of the (InGa)N layers is approximately the same The second remarkable feature of Fig 1b is the gap within the bright line of layer 2 and a misalignment of both ends visible in the left part of the image This is correlated with a modification of the thickness of the first GaN spacer layer The gap marks a step bunch formed during growth of the first GaN spacer The deposition of (InGa)N at this step bunch is hampered During overgrowth by GaN the step bunch moves out of the field of view and the third (InGa)N layer is deposited on a flat surface again

TEM Characterization of Self-Organized (InGa)N Quantum Dots 257

4 HAADF STEM Imaging The intensity of high-angle annular dark-field images is dominated by two contributions The first one is the thickness of the sample and the second one is the mean atomic number |Z| of the material The |Z| value of GaN amounts to |ZGaN| = 19 that of InN is |ZInN| = 28 Thus an increase of the indium content results in an increase of the HAADF image intensity

In Fig 2 an overview HAADF image is given of the first four (InGa)N layers A probe diameter of 07 nm was chosen not permitting us to resolve the atomic structure From top left to bottom right the intensity of the background homogeneously increases This is due to specimen preparation for TEM by ion milling causing a thickness wedge The individual (InGa)N layers appear as bright horizontal lines of an average thickness of about 4 nm The formation of indium enrichments consequently the formation of (InGa)N QDs is evident from the bright spots within the layers For quantification of the lateral size of the QDs an intensity profile (cf Fig 2b) is extracted from the first (InGa)N layer along a line between positions A and B The increase of the background is again due to the thickness wedge The peaks marked by arrows are positions of individual QDs The full width at half maximum is regarded as a measure for the lateral size of the QDs The average value amounts to 4 nm which is equal to the layer thickness Conclusively the projected shape of the QDs is circular

Fig 2 HAADF STEM imaging a) HAADF STEM image of the

(InGa)N layers 1 to 4 b) Intensity profile between A and

B of Fig a) Arrows mark the positions of quantum dots

The analysis at an atomic scale of both thickness and composition of the layers and QDs is gained by atomically resolved HAADF imaging (see Fig 3) For these investigations the [010] projection was chosen Here the smallest projected distance between two gallium atoms is 0259 nm being larger than the nominal STEM probe size of 014 nm In the unprocessed image of Fig 3a the individual dots correspond to atom columns formed by the dumbbells of gallium and nitrogen atoms (cf inset) Due to its low atomic number the contribution of nitrogen (ZN = 7) to the image intensity is weak compared to that of gallium (ZGa = 31) Hence the bright spots are primarily correlated with columns of gallium atoms

As is seen in Fig 2a a thickness wedge causes the increase of the overall intensity from top to bottom in Fig 3a In addition three (InGa)N layers are clearly visible as bright stripes Consequently the line scan of Fig 3b taken parallel to [001] shows three regions of an increased intensity The scan is 15 nm long Counting the number of peaks of higher intensity the thickness of layer 1 and layer 2 is determined to be 5 monolayers (MLs) corresponding to about 13 nm The thickness of the third layer is 4 MLs (ca 10 nm) Compared to the thickness evaluated basing on Fig 2a these values are smaller This can be attributed to an improved spatial resolution at an electron probe size of 014 nm Moreover the thickness of the area recorded in Fig 3 is smaller than that for Fig 2 hence projection artefacts are reduced

The two GaN spacers exhibit different thickness as was also detected in Fig 1b The first spacer is 16 MLs (ca 41 nm) thick For the second one 19 MLs (ca 49 nm) were counted

258 H Kirmse et al

Fig 3 HAADF imaging of (InGa)N layers a) Three (InGa)N layers depicted at atomic resolution inset correlation of HAADF intensity and atomic structure (bright spheres gallium) b) Intensity profile of Fig 3a parallel [001] length of the scan 15 nm c) Intensity profile of Fig 3a taken from C to D along layer 2 length of the scan 142 nm

For the extraction of information on the indium distribution within the (InGa)N the intensity profile of Fig 3c was taken from the second layer between the positions C and D The length of the scan amounts to 142 nm The peaks correlated with the Ga atom columns arise above an inhomogeneous background But similar fluctuations of the HAADF intensity are observed at the same level in the area of the spacer layers Thus the contribution of thickness variations is greater than an expected intensity modulation due to indium clustering within the (InGa)N layers

Any evaluation of the indium content of the (InGa)N layers based on the intercolumnar distance in a STEM image is hindered by the potential shift of both the beam and the sample during scan Thus the application of peak finding methods predominantly visualizes artefacts inherent to the STEM mode References 1 Nakamura S and Fasol G 1997 The Blue Laser Diode (Springer Berlin) 2 Li T Hahn E Gerthsen D Rosenauer A Strittmatter A Reiszligmann L and Bimberg D 2005

Appl Phys Lett 86 241911 3 Smeeton T M Kappers M J Barnard J S Vickers M E and Humphreys C J 2003 Appl Phys

Lett 83 5419 4 Strittmatter A Krost A Blaumlsing J and Bimberg D 1999 phys stat sol A 176 611 5 Krestnikov I L Strassburg M Strittmatter A Ledentsov N N Christen J Hoffmann A and

Bimberg D 2003 Jpn J Appl Phys 42 L1057 6 Lemaicirctre A Patriarche G and Glas F 2004 Appl Phys Lett 85 3717

Investigating the Capping of InAs Quantum Dots by InGaAs S L Liew T Walther1 S Irsen2 M Hopkinson1 M S Skolnick and A G Cullis1 Dept of Physics and Astronomy Univ of Sheffield Hounsfield Road Sheffield S3 7RH UK 1 Dept of Electronic and Electrical Eng Univ of Sheffield Mappin Street Sheffield S1 3JD UK 2 Center of Advanced European Studies and Research (CAESAR) Ludwig-Erhard-Allee 2

53175-Bonn Germany Summary The aim of this work is to investigate the capping of InAs quantum dots by InGaAs using atomic force microscopy in plan-view geometry and correlate this topographical information with scanning transmission electron microscopy observations that elucidate the microstructure and chemistry of these quantum dots Preferential growth of the Ga-rich cap around dot islands may be due to the unfavourable lattice parameter associated with high In concentrations at dot apices 1 Introduction Quantum dot (QD) lasers offer a number of advantages compared to quantum well (QW) devices such as a low threshold current density a low wavelength chirp a potential for high modulation speed and relative temperature insensitivity These favourable properties arise due to the δ-function like density of states of QDs [1] Quantum dot lasers have been studied in a number of materials systems most notably for GaAsInAsGaAs Recently however the approach of employing InAs dots sandwiched between InGaAs QW layers has been found favourable for applications in the near infrared wavelength range [2]

Compared to conventional GaAsInAsGaAs QD laser structures these dots-in-a-well (DWELL) laser structures show improved carrier capture within the dots a higher InAs dot density increased lateral size of the InAs dots and the QD emission wavelength can be controllably varied depending on the thickness composition and relaxation state of the InGaAs QW from 11 to 133microm [2] or 13-155microm [3] 124microm laser diodes with ultra-low threshold and other improved properties have been fabricated on this basis [4]

In the DWELL structures coherently strained 3-dimensional QDs are capped with a thin layer of InGaAs which itself is strained to the GaAs matrix The initial stages of cap layer growth will be under the strong influence of the QD strain field resulting in possible morphological and compositional changes arising from the preferential migration of species (eg In) These effects could substantially modify the device properties However despite the technological interest in this type of structure there has been very little reported on the properties of these InGaAs capping layers In this paper we focus on the sequential capping of QDs with thin InGaAs layers We first show the effects of InGaAs cap layer thickness on the optical properties of the InGaAsInAsInGaAs DWELL system using photoluminescence (PL) spectroscopy To better understand the system its structural and compositional properties were then studied by atomic-force microscopy (AFM) and scanning transmission electron microscopy (STEM) in plan-view 2 Experimental Details All samples were grown in a V90 molecular beam epitaxy (MBE) system on n-type (001) GaAs substrates under ultrahigh vacuum conditions (base pressure lt 1 x 10ndash13 bar) A 350nm GaAs buffer layer was grown at 500degC At the same temperature a 2nm In022Ga078As layer was then grown prior to the growth of a 27 monolayer (ML) QD layer To cap the QDs In022Ga078As of 2-8nm thickness and a 400nm thick GaAs layer were deposited To allow AFM and plan-view TEM

260 S L Liew et al

observations an additional 150nm AlGaAs layer was grown at 620degC Finally using the same sequences and growth parameters as described above layer growth was repeated and finished without the 400nm GaAs layer The growth rates for GaAs InGaAs InAs and AlGaAs are 08 ~09 01 and ~15 MLs respectively

Room temperature PL measurements were performed using an Accent RPM2000 PL setup with a 633nm HeNe excitation source Surface topography of the samples was imaged using a Digital Instruments DimensionTM 3100 AFM operated in tapping mode at room temperature TEM plan-view specimens were prepared using conventional sample preparation techniques involving mechanical thinning followed by ion milling using Argon ions at 3-5keV Thinned plan-view specimens were examined in an aberration-corrected Zeiss Libra 200FE CRISP field-emission scanning transmission electron microscope (FEG-STEM) operated at 200kV 3 Results and Discussion 31 Optical Properties

1050 1100 1150 1200 1250 1300 1350 1400 1450 1500

0005101520253035404550

RT PL

PL In

tens

ity (a

u)

Wavelength (nm)

2nm 4nm 6nm 8nm

Fig 1 RT PL for samples capped with 2 4 6 and 8nm of InGaAs

Figure 1 shows the results of room temperature (RT) PL of samples grown with different thicknesses of the InGaAs capping layer The 6nm InGaAs capped sample gives the highest PL intensity The PL positions and full widths at half maxima (FWHM) of 2 4 6 8nm InGaAs capped samples are 1195 1272 1305 1288nm and 60 37 50 53nm respectively obtained by a Gaussian fit method These values show that as the InGaAs thickness increases from 2 to 6nm a blue-shift of the PL peak occurs On the other hand a further increase of the InGaAs cap thickness to 8nm results in a PL red-shift

32 Surface Topography Analysis Figures 2-5 depict AFM images of the samples grown with a 2 4 6 or 8 nm InGaAs capping layers The initial un-capped QDs are ~6nm high with some dots reaching 8-12nm in height Figure 3 shows that most of the capped QDs are only ~4nm high ie they have partially dissolved during capping

Fig 2 a) AFM image (1microm x 1microm) shows that 2nm of InGaAs capping layer (CL) does not fully cap the InAs dots (white round spots in the AFM image) The InGaAs preferentially caps the wetting layer b) Surface profile trace derived across the line shown in (a)

2nm cap

A

B

X[nm]000 59656

Z[nm

]0

0012

53

AB dot

CL

dot dot

(a) (b)

Investigating the Capping of InAs Quantum Dots by InGaAs 261

Fig 3 The AFM (1microm x 1microm) image (a) and surface profile (b) show that 4nm of InGaAs capping layer (CL) is not sufficient to cover the InAs QDs The later grown InGaAs preferentially caps the pre-grown InGaAs layer This therefore results in shallow pits surrounding the QDs The apexes of the uncapped QDs appear to have the same height as their surrounding InGaAs It is also observed that deep pits appear between two adjacent QDs This suggests that sites between closely separated (lt40nm) QDs are highly strained and do not favour further InGaAs overgrowth

Fig 4 The AFM (1microm x 1microm) image (a) and surface profile (b) show that further growth of the InGaAs CL (6nm) results in more QDs being fully capped The apexes of not fully capped QDs appear to be lower than their surrounding InGaAs Pits are still observed between adjacent QDs Whether the deep pits are filled before the QDs are fully capped is questionable If the pits are not filled this means that there could be voids existing next to the buried QDs

Fig 5 The AFM (1microm x 1microm) image (a) and surface profile (b) show that the growth of 8nm InGaAs leads to a considerable amount of QDs that are fully capped The InGaAs capping layer is higher than the apexes of unburied QDs leaving the QDs appear as shallow holes in AFM topography In addition the number of pits has reduced

X[nm]000 28339Z[

nm]

000

855

deep pitA

pit

dot

4nm cap

A

B

(a) (b)

B

X[nm]000 48423

Z[nm

]0

006

56

A

B

8nm cap

A

B

hole hole

hole CL CL

hole

(b) (a)

X[nm]000 48423

Z[nm

]0

006

56

A

B

8nm cap

A

B

hole hole

hole CL CL

hole

(b) (a)

262 S L Liew et al

33 Plan-View Composition Analysis Annular dark-field (ADF) imaging and composition mapping by energy-dispersive X-ray (EDX) spectroscopy have been performed in STEM using a 08nm probe size electron beam with 07nA current The results for 2 and 6nm InGaAs capped samples are shown in Figs 6 and 7

Fig 6 a) ADF image b) InAs and c) GaAs ratio maps of the 2nm InGaAs capped QD sample

Fig 7 a) ADF image b) InAs and c) GaAs ratio maps of the 6nm InGaAs capped QD sample

Ratio maps were calculated from the intensity maps of the following characteristic X-ray lines In L Ga KampL and As KampL The InAs and GaAs ratio maps of the 2nm (Fig 6bc) and 6nm (Fig 7bc) capped samples show similar contrast features in which the In-rich regions are spatially correlated with the Ga-poor regions The number density of QDs in the 2nm capped sample (Fig 6a) appears to be larger than for the 6nm cap (Fig 7a) With the thicker cap smaller QDs appear to be completely dissolved leaving behind the larger QDs with higher In composition 4 Conclusions The capping of InAs quantum dots by InGaAs has been studied using PL spectroscopy AFM and STEM Both AFM and STEM results showed that the preferential nucleation and growth sites of the InGaAs cap are on the InGaAs wetting layer Compositional analyses for 2 and 6nm caps showed that In and Ga-rich areas are anti-correlated The Ga-rich InGaAs cap may preferentially accumulate on wetting layer regions due to the (unfavourable) increased lattice parameter at the apices of dots in these regions high concentrations of In have accumulated since the apices are laterally unconstrained allowing the occurrence of relaxation to the larger lattice parameter [5] A more detailed investigation including modelling of surface energies vs strain energy will be required to understand additional features of the growth References 1 Arakawa Y and Sakaki H 1982 Appl Phys Lett 40 939 2 Ustinov V M et al 1999 Appl Phys Lett 74 2815 3 Seravalli L Frigeri P Minelli M Allegri P Avanzini V and Franchi S 2005 Appl Phys Lett

87 063101 4 Lester L F Stintz A Li H Newell T C Pease E A Fuchs B A and Malloy K J 1999 IEEE

Photonics Tech Lett 11 931 5 Walther T Cullis A G Norris D J and Hopkinson M 2001 Phys Rev Lett 86 2381

90 nm

(a) (b) (c)

90 nm

(c)(b)(a)

(c)

Comparing InGaAs and GaAsSb Metamorphic Buffer Layers on GaAs Substrates for InAs Quantum Dots Emitting at 155microm Y Qiu T Walther H Y Liu C Y Jin M Hopkinson and A G Cullis Dept Electronic and Electrical Eng University of Sheffield Mappin Street Sheffield S1 3JD UK Summary Cross-sectional transmission electron microscopy techniques are used to study two samples with different metamorphic buffer layers of InGaAs or GaAsSb grown by molecular beam epitaxy (MBE) on GaAs (001) On top of these buffers several InGaAsInAl(Ga)As superlattices and finally two stacks of InAsGaAs quantum dots were deposited Compared with the standard InGaAs buffer the GaAsSb buffer exhibits a smoother surface and a higher degree of plastic strain relaxation The InAs quantum dots grown on GaAsSb seem to benefit from this in that their photoluminescence intensity is much higher than from the corresponding sample grown on a standard InGaAs buffer 1 Introduction InAs quantum dots embedded in (In)GaAs usually emit light at ~13microm wavelength suitable only for the lower wavelength telecom band [1-3] Strain-relaxed buffer layers have been suggested to be able to push the emission of (In)GaAs quantum wells [4] or dots [5] to the ~155microm wavelength which is more important for long-haul telecommunication It is well-known that the surface quality and the degree of strain relaxation of buffer layers influence subsequent growth of quantum structures [6] We will address these issues by comparing buffers with different chemical compositions and InAs quantum dots grown on top of these buffers 2 Experimental Multiple layers of quantum dots (QDs) and superlattices have been deposited onto buffer layers grown on GaAs (001) substrates by molecular beam epitaxy (MBE) at ~4000C Our recent research has shown that the emission wavelength of InAs QDs can be tuned to 155microm and the intensity increased by a factor of ~20 if instead of the traditional InGaAs buffer layer (sample code VN666) a GaAsSb buffer (sample code VN3315) is used (cf Fig 1) The microstructure of these buffers their average chemical composition and the sizes of and spacings between the InAs QDs are examined by cross-sectional transmission electron microscopy (TEM) We have applied scanning transmission electron microscopy (STEM) energy-dispersive X-ray spectroscopy (EDXS) conventional TEM and high-resolution electron microscope (HREM) using a JEOL 2010F field-emission transmission electron microscope equipped with Gatan Imaging Filter (GIF) charge-coupled device (CCD) camera and Oxford Instruments SiLi X-ray detector for EDXS Atomic force microscopy (AFM) has been performed to study the surface morphology with a Digital Instrument Dimension 3100 operated in tapping mode 3 Results Figure 1 compares the photoluminescence of InAs QDs grown on InGaAs or GaAsSb metamorphic buffer layers (MBL) Figure 2 shows AFM images of the topography surface after ~500nm of buffer layer has been deposited The intermediate GaAsSb metamorphic layer surface appears much smoother with only ~2nm peak-to-peak roughness compared to 12nm of the InGaAs buffer

264 Y Qiu et al

Fig 1 Photoluminescence of the InAs quantum dots grown on different metamorphic buffers

Fig 2 15microm x 15microm AFM images of the topographies of the InGaAs buffer (VN666 left) and the GaAsSb buffer (VN3315 right) after ~500 nm of buffer deposition The surface ripples lie along lt110gt directions

STEM is used to identify all layers and to compare the over-all structure of the two samples as

shown in Fig 3 In both cases intermediate superlattices (SLs) of InGaAsInAl(Ga)As have been used to improve the growth quality by smoothing the growth surfaces and pinning dislocations

Fig 3 Annular dark-field (ADF) STEM overview images of the samples with InGaAs buffer (VN666 on the left) and with GaAsSb buffer (VN3315 on the right)

Comparing InGaAs and GaAsSb Metamorphic Buffer Layers 265

EDXS has been used to check the average chemical compositions of the buffers which are x=025plusmn002 indium in InxGa1-xAs and y=028plusmn002 antimony in GaAs1-ySby (nominal concentration in both cases 027) Moreover bright-field (BF) TEM imaging is applied to study the interface between buffers and substrates and the sizes and spacings of the QDs One example for each is shown in Figs 4 and 5

Fig 4 BF TEM images of the interface between InGaAs buffer and GaAs substrate (VN666 left) and the interface between GaAsSb buffer and GaAs substrate (VN3315 right) showing dislocations at and near the interfaces

Fig 5 BF TEM images of the QDs on top of InGaAs buffer (VN666 left) and the QDs on top of GaAsSb buffer (VN3315 right) In both cases two layers of quantum dots have been grown

Finally HREM is used to examine the microstructure of the QDs grown on top of the

buffers in order to determine more accurately the size of the QDs and to check the presence of dislocations (Fig 6)

266 Y Qiu et al

Fig 6 HREM images of an InAs QD grown on top of the InGaAs buffer (VN666 left) and on top of the GaAsSb buffer (VN3315 right) The quantum dot on the right appears fully strained without any dislocations while the asymmetric strain contrast under the quantum dot on the left indicates partial strain relaxation by a dislocation the strain field of which is visible

4 Discussion 41 Study of Strain Relaxation The lattice mismatch between buffer and substrate is similar for both buffers namely 179 for In025Ga075AsGaAs and 219 for GaAs072Sb028GaAs In order to fully relax the strain in the buffers by typical edge dislocations with Burgers vector of frac12 ranglang110 one dislocation every 223nm for the InGaAs buffer or every 182nm for the GaAsSb buffer would have been necessary Around 50 such defects have been studied in each specimen and Fig 7 displays the resulting histograms of dislocation spacings observed

05

1015202530

10-20 30-40 50-60 70-80 90-100Distance between two nearby defects (nm)

num

ber o

f def

ects

VN666 5056plusmn2416nmVN3315 2486plusmn841nm

0

5

10

15

20

25

10-20 30-40 50-60 70-80 90-100Distance between two nearby QDs (nm)

Num

ber o

f QD

s VN6665267plusmn2156nmVN33154287plusmn1301nm

Fig 7 Dislocation spacings at interface Fig 8 Histogram of distances between InAs QDs between buffer and substrate

The chart in Fig 7 indicates that most of the line defects at the interface between GaAsSb buffer and GaAs are between 10nm and 30 nm apart which means ~70 of the strain in the GaAsSb is relaxed by dislocations in sample VN3315 For sample VN666 on the other hand only ~44 of the strain in the InGaAs is relaxed Consequently the surface of the GaAsSb buffer can be expected to be much flatter than the surface of the corresponding InGaAs buffer which agrees well with the measurements obtained by AFM after 500nm of buffer growth (cf Fig 2)

Comparing InGaAs and GaAsSb Metamorphic Buffer Layers 267

42 Study of Quantum Dots The InAs QDs have been studied in detail with the purpose of examining the difference between those grown on top of the InGaAs buffer and those on the GaAsSb buffer and to correlate this with the different optical properties of both samples 421 Size of Quantum Dots The size of the QDs has been determined for around 30 QDs from both samples using low magnification TEM images (acquired at nominally ~2kX but with the Gatan Image Filter contributing another factor of ~19) as well as high magnification lattice images (recorded at nominal magnifications of 30kX or 50kX) Figsures 9 and 10 show the distributions of heights and widths measured as full-widths at half-maximum (FWHM) with average values and standard deviations

0

5

10

15

20

3-4 5-6 7-8 9-10 11-12Height of QDs (nm)

Num

ber o

f QD

s

VN666686plusmn142nm

VN3315633plusmn103nm

0

2

4

6

8

10

10-11 12-13 14-15 16-17 18-19 20-21 22-23 24-25FWHM (nm)

Num

ber o

f QD

s VN666 1498plusmn280nm

VN3315 1711plusmn295nm

Fig 9 Height distribution of InAs QDs Fig 10 Width distribution of InAs QDs

Figure 9 shows that the distribution of QD heights is slightly wider in the sample grown on the InGaAs buffer while the average quantum dot heights are almost identical Figure 10 demonstrates that the QDs on the InGaAs buffer are slightly smaller and their distribution is stronger bi-modal with peaks at ~15nm and ~20 nm Most of the lateral sizes of the QDs grown on top of the GaAsSb buffer lie in-between This correlates well with the observation by PL in Fig 1 that the QDs grown on top of GaAsSb emit strongly at one wavelength (~1550nm) while the QDs on top of InGaAs emit at two wavelengths one slightly below and one slightly above this value 422 Spacings Between Nearest Quantum Dots The QDs on top of the GaAsSb buffer are more evenly and more closely spaced to each other compared to those grown on top of the InGaAs buffer Figure 8 shows the details of the distributions of the QD spacings If the quantum dots can be considered to be arranged on a perfect square array along both lt110gt in-plane directions then the measured average quantum dot spacing d can be converted into an areal density of NA=dndash2 which yields dot densities of 36x1010cmndash2 for VN666 and 54x1010cmndash2 for VN3315 This again agrees with the QDs on top of GaAsSb (VN3315) emitting light with higher intensity and more monochromatic than those on top of the InGaAs (VN666) buffer We note that for the InGaAs buffer layer the distances between the dislocations at the substratebuffer interface and the distances between the quantum dots grown on top are almost identical This may indicate that despite their rather large vertical separation and the insertion of 8 superlattices a significant amount of the strain field has coupled directly from the substratebuffer interface to the quantum dots over a vertical distance of ~25microm leading to plastic strain relaxation within at least some of the quantum dots grown in sample VN666 as shown in

268 Y Qiu et al

Fig 6 on the left This demonstrates that some fraction of strain is relaxed in the quantum dots rather than in the underlying InGaAs buffer layer For sample VN3315 with the GaAsSb buffer layer on the other hand the QD spacings differ significantly from the dislocation spacings demonstrating that strain has been relaxed sufficiently at this substratebuffer interface and within the buffer to allow subsequent growth of fully strained InAs quantum dots 5 Conclusion We have investigated by cross-sectional transmission electron microscopy two samples with InAs quantum dots grown via intermediate superlattices on InGaAs or GaAsSb buffer layers Most of the strain in the GaAsSb buffer is relaxed by plastic deformation in the form of dislocations at its lower interface while the InGaAs buffer still contains considerable strain Also the GaAsSb buffer surface is almost perfectly flat effectively providing an ideal surface for subsequent overgrowth The InGaAs buffer on the other hand is still rough after ~500nm of its growth and does not relax as much strain so subsequent layers still experience considerable compressive strain during their growth and some of the quantum dots observed exhibit contrast features typical of strain relaxation by dislocations The photoluminescence characteristics of the two samples are very different This we explain by a combination of size of and spacing between the quantum dots which for the GaAsSb buffer correspond to the dislocation spacing observed at the buffersubstrate interface and the corrugation wavelength of the buffer interface during growth For the InGaAs buffer these lengths seem to be rather uncorrelated which we explain by more of the plastic relaxation taking place in or near the quantum dots rather than in the buffer References 1 Liu H Y and Hopkinson M 2003 Appl Phys Lett 82 3644 2 Fang Z D et al 2005 J Infrared Millimeter Waves 24 324 3 Liu H Y Sellers I R Badcock T J Mowbray D J Skolnick M S Groom K M Gutierrez M

Hopkinson M Ng J S David J P R and Beanland R 2004 Appl Phys Lett 85 704 4 Tangring I et al 2005 Appl Phys Lett 86171902 5 Shi G X Xu B Jin P Ye X L Wang Y L and Wang Z G 2005 J Cryst Growth 276 77 6 Kim J S Lee J H Hong S U Han W S Kwack H-S Lee C W and Oh D K 2003 J Cryst

Growth 259 252

Structural and Compositional Properties of Strain-Symmetrized SiGeSi Heterostructures I M Ross M Gass2 T Walther1 A Bleloch2 A G Cullis1 L Lever3 Z Ikonic3 M Califano3 R W Kelsall3 J Zhang4 and D J Paul5 University of Sheffield Department of Engineering Materials Sheffield S1 3JD UK 1 University of Sheffield Department of Electronic and Electrical Engineering Sheffield S1 3JD UK 2 SuperSTEM Daresbury Laboratory Keckwick Lane Daresbury WA4 4AD UK 3 University of Leeds School of Electronic and Electrical Engineering Leeds LS2 9JT UK 4 Department of Physics Imperial College London SW7 2BZ UK 5 Cavendish Laboratory University of Cambridge Cambridge CB3 0HE UK Summary In this study we have utilised conventional and aberration corrected (scanning) transmission electron microscopy to examine the Ge concentration across a series of technologically significant SiGeSi prototype heterostructures Electron energy loss line profiles show that the Ge concentration within the SiGe quantum wells approaches the nominal values However the Ge concentration profile shows that the interfaces are not abrupt and that the narrow 08nm barrier layer does not reach the nominal pure Si composition Speculation as to the presence of Ge interdiffusion surface segregation or interface roughness is discussed 1 Introduction Moves towards the realisation of SiSiGe quantum cascade lasers (QCL) at far-infrared (Terahertz) frequencies have attracted significant interest in recent years Potential applications include medical imaging gas sensing and security monitoring Such devices offer a number of technological advantages including higher operating temperatures as well as reductions in manufacturing costs due to their compatibility with established silicon processing technology [1 2]

Effective development of SiGe QCLs for Terahertz emission is critically dependent on a sound understanding of the intersubband carrier dynamics in SiGeSi heterostructures and therefore structures were designed and grown for intersubband pump-probe spectroscopy [3] Consequently in this study we have applied transmission and scanning transmission electron microscopy (TEMSTEM) combined with energy dispersive X-ray spectroscopy (EDS) and electron energy loss spectroscopy (EELS) to investigate the structure and chemical composition of such pump-probe SiGeSi heterostructures in particular the narrow (08nm) Si barrier layers A series of strain-balanced Si1-xGex epitaxial layers with nominal x of 04 (Sample BF1503) were grown by gas source chemical vapour deposition on a Si08 Ge02 relaxed buffer [3] High spatial resolution electron energy loss elemental profiles and annular dark field (ADF) imaging was also obtained using aberration corrected scanning transmission electron microscopy [4] We compare the image contrast obtained from both aberration corrected and conventional ADF imaging and correlate this data with the more direct experimentally determined germanium distribution from spectroscopy 2 Experimental Cross-sections for examination in the TEMSTEM were prepared along the [110] direction by conventional mechanical polishing and thinning to electron transparency using Ar+ ion beam milling Initial measurement of the heterostructure layer thickness was performed using

270 I M Ross et al

conventional high resolution (HREM) phase contrast imaging in a JEOL 2010F field emission gun (FEG) TEM operating at 197kV Calibration of the HREM image was achieved by direct measurement of the lattice from the adjacent pure Si substrate Annular dark field (ADF) imaging was also performed in STEM mode using a ~04nm probe and an inner detector collection angle of 54mrads Quantification of the nominally 20 germanium buffer layers above and below the heterostructure layers was determined by EDS analysis Several EDS spectra were acquired in STEM mode using a ~50nm x 300nm letter box scan using a 50 second preset live time and quantified using the Cliff-Lorimer thin section rule

Identical regions of the sample were located and also examined in a dedicated Vacuum Generators STEM (UK SuperSTEM Daresbury) equipped with a Nion aberration corrector and Gatan (Enfina) electron energy loss spectrometer Care was taken to ensure that the sample thickness was as near as possible identical to the regions examined in the conventional TEMSTEM to allow direct comparison of the resulting ADF images This was achieved by recording the zero-loss peak at the area of interest and performing zero-loss deconvolution The principle motivation for the use of this instrument was the desire for high spatial resolution EELS analysis to assess the Ge distribution within the narrow heterostructure layers ADF images in this instance were acquired with an annular detector acceptance angle of 70 to 210 mrads while EELS spectrum image line scans were performed for the Ge L23 edge (1217eV) using a convergence and collection angle of 24 and 19mrads respectively Line scans were acquired using a nominal 015nm probe with a typical step size of 035nm Images were recorded before and after the acquisition of each spectrum image EELS line profile to assess specimen drift A background subtraction was performed on the component spectra within Digital Micrograph (Gatan Inc) and the line profile expressed as extracted Ge counts Due to limitations within the post spectrometer coupling optics it was not possible to record both the Ge L23 (1217eV) and Si K (1839eV) effectively Consequently the previously obtained EDS analysis of the nominal 20 Ge buffer layers was used to calibrate the EELS line profile in terms of Ge concentration For both instruments the ADF images were dark count corrected by subtraction of a respective image obtained through vacuum recorded with identical imaging conditions

3 Results and Discussion The successful growth of a series of asymmetrical coupled SiGeSi quantum wells is illustrated in the STEM HAADF image shown in Fig 1a in which the SiGe QWs appear in bright contrast and the Si barriers dark While in general the layers appear uniform and flat HREM imaging suggests some interfacial roughness may be present at the atomic scale (Fig 1b)

Fig 1 a) ADF-STEM image of the coupled asymmetric quantum well layers (20 periods) and b) Conventional HREM image showing detail of the quantum well structure

Si1-x Gex

Si1-x Gex

Si barrier

Structural amp Compositional Properties of Strain-Symmetrized SiGeSi Heterostructures 271

Fig 2 a) Aberration corrected STEM ADF image across the first period of the heterostructure showing the region selected for the EELS line scan (growth direction left to right) b) EELS concentration profile extracted from the Ge L23 edge c) Corresponding ADF contrast profile

Figure 2a shows an aberration corrected ADF STEM image of the first period of the

heterostructure The growth direction is from left to right showing the nominally Si08Ge02 buffer layer (grey contrast) followed by a 62nm (612plusmn01nm) Si barrier (dark contrast) the central 40 nm of which is modulation doped with B to a concentration of NA=16x1018 cm-3 the 44nm (53plusmn01nm) and 33nm (46plusmn01nm) SiGe wells with a nominal Ge content of 40 (bright contrast) separated by a 08nm (08plusmn01nm) Si barrier (dark contrast) The values shown in parentheses correspond to the direct measurements from HREM and STEM images The extracted Ge concentration profile from the EEL spectrum image line scan defined in Fig 2a is shown in Fig 2b and the corresponding ADF contrast profile across the same region given in Fig 2c It is clear from the ADF image and Ge concentration profile that the interfaces are not atomically abrupt and that the 08nm barrier layer does not reach the nominal pure Si composition This result may have profound implications since thin (lt1nm) barrier layers are expected to be an essential component of future successful SiSiGe quantum cascade laser devices Recent investigations have shown that inclusion of Ge interdiffusion into band-structure calculations for thin layer quantum confined hetrostructures can influence the calculated wavefunctions significantly Such calculated band-structures yield energies for subband states that are substantially closer to current experimental observations [5] However we also need to consider the impact of possible Ge surface segregation and interface roughness The presence of pure segregation in SiGe is usually identified by highly asymmetric Ge profiles not observed in this investigation The degree of interface roughness on the other hand is less easy to determine and all we can be sure of from imaging in this instance is that roughness greater than half the specimen thickness (~20nm) can be ruled out [6] This is not much help since roughness of the order of a few mono-layers would be enough to exhibit the observed Ge concentration profiles Further work is therefore needed to clarify this situation before any firm conclusions can be drawn

The ADF contrast intensity ratio in Fig 2c appears as a good fit to the experimentally derived Ge concentration profile from EELS analysis However use of ADF contrast as a means of quantification in general has limitations due to its dependence on many other factors such as specimen orientation thickness inner detection angle and dark current and detector gain [7] By

0 5 10 15 20

AD

F C

ontr

ast I

nten

sity

(Arb

Uni

ts)

Distance (nm)

0 5 10 15 200

10

20

30

40

Ge

conc

entr

atio

n (

)

Distance (nm)

(b)

(c)

(a)

Spectrum Image

272 I M Ross et al

careful control of these parameters and robust data extraction it has been shown that for binary systems such as SiGe it is however possible to extract quantitative information from such ADF images [7] although this treatment was beyond the scope of the current investigation None the less it was interesting to compare the ADF contrast intensity ratio of SiGe to Si obtained from both the aberration corrected STEM (100kV) and conventional FEG-STEM (200kV) The mean values obtained corresponding to the nominally 20 Ge buffer and 40 Ge QW regions is given in Table 1 Reassuringly if one plots the ratios as a function of Ge concentration a linear relationship is observed and the values from the two different instruments are in close agreement However the value of the ratios is significantly lower than that which may be expected for the proportionality relationship IpropZε where ε has been proposed to lie somewhere between 17 and 2 the latter being for pure Rutherford scattering [7]

ADF SiGeSi Contrast Ratio Simulated SiGeSi Contrast Experimental Ge Concentration from EELSEDS (JEOL 2010F) SuperSTEM (Zε) ε= 2 ε = 17 +oxide203 (plusmn19) 133 134 184 161 160 379 (plusmn19) 157 162 269 223 221

Table 1 Comparison of the ADF SiGeSi contrast ratios for the conventional FEG and aberration corrected STEM images (simulated SiGeSi contrast ratios are given for comparison including a consideration for the presence of a 2x2nm thick oxidised silicon surface layers [ε =17 plus oxide assuming total specimen thickness 40nm])

4 Conclusions Narrow barrier SiSiGe heterostructures have been successfully grown on SiGe virtual substrates The Ge fractions derived from EELS line profiles in an aberration corrected STEM approach the intended growth parameters However the interfaces are not abrupt and the 08nm barrier layer between the coupled asymmetric QWs does not appear to reach the nominal pure Si composition This may be due to Ge interdiffusion during growth but contributions from Ge surface segregation andor interface roughness cannot be ruled out ADF image contrast ratios from FEG-TEMSTEM and aberration corrected STEM where found to be in good agreement Nevertheless in each case the ADF image contrast ratios from the experimental data imply a significant under-estimate of the Ge concentration compared with that obtained directly from EELSEDS analysis Acknowledgement The authors gratefully acknowledge the support of the Engineering and Physical Sciences Research Council (EPSRC) (GRS27528) References 1 Paul D J 2004 Semicond Sci Technol 19 R75R108 2 Lynch S A Bates R Paul D J Norris D J Cullis A G Ikonic Z Kelsall R W Harrison P

Arnone D D and Pidgeon C R 2002 App Phys Lett 81 1543 3 Califano M Vinih N Q Philips P J Ikonic Z Kelsall R W Harrison P Pidgeon C R Murdin

B N Paul D J Townsend P Zhang J Ross I M and Cullis A G 2007 Phys Rev B 75 045338 4 Batson P E Dellby N and Krivanek O L 2002 Nature 418 617 5 Lever L Kelsall R W Ikonic Z Ross I M Zhang J Gass M Townsend P Paul D J Vinh N Q

and Pidgeon C R 2007 Proceedings of the Ninth International Conference on Intersubband Transitions in Quantum Wells

6 Walther T and Gerthsen D 1993 Appl Phys A 57 393 7 Walther T 2006 J Microsc 221 137

EELS and STEM Assessment of Composition Modulation in InAlAs Tensile Buffer Layers of InGaAs InAlAs (100)InP Structures S Estradeacute1 J Arbiol12 and F Peiroacute1 1 Electronics Department University of Barcelona c Marti Franques 1 08028 Barcelona Spain 2 TEM-MAT Serveis Serveis Cientificotegravecnics University of Barcelona c Marti Franques 1

08028 Barcelona Spain Summary In previous work InGaAs single quantum well structures had been grown on (100) InP 4deg misoriented towards (111) Transmission electron microscopy had shown that the misorientation of the substrate gave rise to a development of lateral contrast modulation that initiated on the InAlAs tensile buffer layer and it was suggested that contrast modulation could be related to In-rich or Al-rich regions In the present work contrast modulation has also been observed in high angle annular dark field imaging an electron energy loss spectroscopy study of the evolution of plasmon position also supports the hypothesis of compositional modulation 1 Introduction Many nanostructures of great fundamental and technological interest based on IIIV semiconductors have been recently reported to benefit from compositional modulation phenomena at the buffer layer for instance by improving the uniformity of the nanostructures [1] or by enabling better control of their positions [2] InGaAs InAlAs (100)InP HEMT devices with substrate 4deg misoriented towards (111) had been previously reported elsewhere [34] to display a quasiperiodic strong contrast modulation along the [011] direction with parallel dark bands oriented on [011] that initiated on the InAlAs tensile buffer layer and propagated across the structure suggesting compositional modulation In the present work we have observed the above mentioned quasiperiodic contrast modulation bands normal to [011] direction in high angle annular dark field (HAADF) imaging thus supporting the compositional modulation hypothesis We have further studied the local composition variation along the [011] direction by quantitative electron energy loss spectroscopy (EELS) analysis We have thus extended transmission electron microscopy (TEM) methods widely used in similar contexts such as the study of intentionally grown IIIV semiconductors superlattices [5] or the mapping of 1D nanostructures in IIIV compounds [6] to self organised composition modulation assessment 2 Experimental The general structure of the analyzed samples is 5 nm GaAs 50 nm In052Al048As 18 nm In053Ga047As 2 microm InyAl(1-y)As buffer layer InP substrate where yIn = 50 for sample I and yIn = 48 for sample II The samples were grown by molecular beam epitaxy on (100) InP substrates which were 4deg misoriented towards (111) The InP substrates were cleaned for 20 min in UV ozone which removes organic contaminants and results in a surface oxide Oxide desorption was accomplished by heating InP at 530 degC under an As2 flux of equivalent pressure 22x10-5 Torr The growth rates were about 1 micromh for all the layers and the As2 flux was fixed at 22x10-5 Torr Since composition variations in InAlAs appear at

274 S Estradeacute J Arbiol and F Peiroacute

high growth temperatures (Tg) the InAlAs buffer layers have been grown at 580 degC However in order to avoid problems related to As-P exchange at the interface we grew about the first 200 nm at Tg =530 degC 3 Previous Results Plan view observation had revealed a quasi-periodic contrast modulation along [011] These contrast fringes along [011] were observed in strong contrast for g=022 and the mean modulation wavelength had been determined to be Λ = 125-135 nm It is worth noting that there was an extinction of the contrast of the bands for g=02-2 (Fig 1) When observing the samples from the free surface towards the interface contrast vanished as the substrate was approached undulations were observed down to a distance of about 200nm from the InP No dislocation nucleation was found in the case of lower mismatch (y = 50) and reduced dislocation density was found for the higher mismatched (y = 48) sample The given results suggested that anisotropic undulation should act as an elastic strain relieving mechanism

In cross-sectional (XT) geometry white-dark domains were observed inside the InAlAs buffer under g=022 These domains started to appear at about 200 nm from the interface in the sample with yIn=50 (Fig 2a) In the more mismatched sample misfit dislocations were observed at the buffersubstrate interface and at 200 nm from the interface (Fig 2b) Some dislocation loops glided on 111 planes between both regions as observed in (100) plan views Contrast bands were oriented at 13-15ordm from the [100] direction The trace of these domains on the (100) plane is [011] The stereographic projection indicated that the domains were limited by 122 and 133 planes There was a correspondence of domains with ripples and valleys at the top surface

Fig 1 DF image of y = 48 structure in plan view with excitation a) g=02-2 and b) g=022

Fig 2 DF image in XT with excitation g=022 a) y = 50 structure and b) y = 48 structure

EELSampSTEM Assessment of CM in InAlAs TBL of InGaAsInAlAs(100)InP Structures 275

4 STEM Results Scanning TEM (STEM) imaging and EELS experiments have been carried out in a JEOL JEM2010F microscope with field emission gun operating at 200 keV EELS spectra have been obtained with a Gatan image filter (GIF) spectrometer In STEM BF images we can already observe the dark-light fringes as seen in conventional TEM especially for y = 50 As for HAADF contrast is enhanced and moreover it is not reversed with respect to the BF STEM corresponding images suggesting a composition contrast (Fig 3) Notice that In-rich regions will be seen with higher intensity than Al-rich regions When studying intensity profiles we conclude that intensity fringes observed by HAADF present an average spatial wavelength of about 130 nm which is in good agreement with the above mentioned wavelength figure as deduced from conventional TEM observation

In order to further confirm the compositional modulation hypothesis EELS spectra series have been obtained along the [011] direction in order to correlate contrast fringes with compositional data As the In M45 peak (443 eV) and the Al K peak at (1560eV) are separated by a great energy distance the relative quantification is seriously affected by the poor signalnoise ratio of the Al K peak when obtaining both peaks in the same spectrum thus it was decided to use the plasmon peak to carry out the EELS analysis Keeping in mind that the AlAs plasmon is found at 161 eV and the InAs plasmon is found at 138 eV we expect a periodic variation of the plasmon position along the [011] direction with a spatial wavelength of about 130 nm towards higher energies in Al-rich regions (darker contrast in HAADF) and towards lower energies in In-rich regions with a plasmon energy mean value which is expected to be 1495 eV for y = 50 and 1499 eV for y = 48 The latter values will be used as check figures in our experiment In order to find the plasmon maxima automatically both to speed the calculation process and to avoid ambiguities in the maximum location process a Matlab script has been written that adjusts a Gaussian function to the ZLP recalibrates the energy scale fits a second Gaussian function to the plasmon peak and returns its maximum as a function of the spectrum ordinal in the spectra series The plasmon maximum position as a function of the acquisition point in the sample has shown the expected variation coherent with contrast variation and again more pronounced for y = 50 (Fig 4) The mean plasmon maximum position has been found to be 1495 eV for y = 50 and 1500 eV for y = 48 which is in good agreement with the expected values

Fig 3 a) BF STEM and b) HAADF images of y = 50 structure Intensity profile along highlighted line (inset) c) BF STEM and d) HAADF images of y = 48 structure Intensity profile along highlighted line (inset)

50 nm 50 nm50 nm

(c) (d)

(a) (b)

yIn=48

yIn=50

000 005 010 01500

02

04

06

08

10

I [A

U]

Position [microm]

00 01 02 03 04 0500

02

04

06

08

10

Position [microm]

I [A

U]

276 S Estradeacute J Arbiol and F Peiroacute

Fig 4 a) HAADF image of y = 50 structure and b) plasmon energy evolution in c) the EELS spectra series acquired along the highlighted line d) BF STEM image of y = 48 structure and e) plasmon energy evolution in f) the EELS spectra series acquired along the highlighted line

5 Conclusion Examination by DFTEM of InGaAsInAlAs buffer (tensile)InP structures using the excitation g=022 revealed quasiperiodic strong contrast modulation fringes perpendicular to the (002) direction which seemed to indicate the presence of In-rich and Al-rich regions HAADF observation has shown the same quasiperiodic contrast thus confirming the hypothesis of composition-related contrast EELS spectra analysis has shown a plasmon position variation according to contrast variation as observed in HAADF and STEM BF more pronounced for yIn = 50 where contrast variation was also more evident Acknowledgements Studied samples were grown by A Georgakilas (Foundation for Research and Technology-Hellas) C Wood and A Christou (University of Maryland) References 1 Wang Y Jin P Ye X Zhang C Shi G Li R Chen Y and Wang Z 2006 Appl Phys Lett 88 123104 2 Bortoleto J Gutieacuterrez H Cotta M and Bettini J 2005 Appl Phys Lett 87 013105 3 Peiroacute F Cornet A and Morante J 1995 Inst Phys Conf Ser 146 p385 4 Peiroacute F Cornet A Morante J Georgakilas A Wood C and Christou A 1995 Appl Phys Lett

66 2391 5 Shiojiri M Čeh M Šturm S Chuo C Hsu J Yang J and Saijo H 2006 J Appl Phys 100 013110 6 Saacutenchez A Beanland R Gass M Papworth A and Goodhew P Hopkinson M 2005 Phys Rev

B 72 0753391 7 Tsuchiya M Petrov P and Coldren L 1989 Appl Phys Lett 54 1690 8 Guyer J and Voorhees P 1998 J Crystal Growth 187 150

000 005 010 015 020 025140

145

150

155

160

Pla

smon

ene

rgy

[eV

]

Position [microm]

yIn=48 Al-rich regions

In-rich regions

00 05 10 15140

145

150

155

160

Pla

smon

ene

rgy

[eV

]

Position [microm]

yIn=50 Al-rich regions

In-rich regions

0 20 40 60 80eV

00

01

02

microm

0

5000

10000

e-

microm

microm

0 20 40 60 80 eV

0 50 100 150 eV

00

01

02

00 05

10

e-

e-

(a)

(d)

(b)

(c)

(e) (f)

0

3000

6000

0

10000 5000

1)

2)

3)

X=0

Fig 1 Optical micrograph of a tungsten filament following in situ growth of tungsten oxide

In situ Observation of the Growth of Tungsten Oxide Nanostructures D C Cox V Stolojan G Chen and S R Silva Advanced Technology Institute University of Surrey Guildford Surrey GU2 7XH UK Summary This paper describes a method for producing tungsten oxide nanostructues in an environmental scanning electron microscope The growth is observed in real time and offers direct observation of the morphology of the tungsten oxide condensed onto the cooler part of a typical W scanning electron microscope filament We also find that the growth of nanostructures occurs on timescales much shorter than reported thus far Corresponding to increasing temperatures along the tungsten filament we find that the tungsten oxide deposits successively as nano-clusters nano-multirods lsquopine-treersquo-like structures and ultimately single nanowires 1 Introduction The research into tungsten oxide and its allotropes has been recently boosted by advances into the growth of one-dimensional tungsten oxide nanostructures and demonstration of their field-emission [1] gas-sensing [2] and electrochromic properties [3] The route to their implementation into devices though requires large-scale synthesis In the last five years however there has been a significant number of papers claiming facile synthesis of tungsten oxide nanowires either using a chemical vapor deposition route or a vapor-liquid-solid method of extracting solid nanowires out of a W-rich solution Chemical vapour deposition appears to offer more control over the growth process [4] both through the morphology and chemical composition of the end product as well as offering a route to introducing dopants such as P and K of interest to the superconductivity community [5] Here we show that the entire range of tungsten oxide nanostructures obtained so far can be produced within the same experimental set-up simply by varying the substrate temperature

2 Experimental and Results In our experimental set-up a tungsten scanning electron microscope (SEM) filament is electrically heated in a FEI Quanta 200 field-emission environmental SEM (ESEM) operated at 040 mbar pressure (water vapour) Ever since the advent of tungsten-based light bulbs it has been known that in the presence of air heated tungsten filaments etch and fail rapidly hence the requirement for low-pressure inert atmospheres in light bulbs Figure 1 shows an optical image of the filament taken after the experiment at 15x magnification identifying three key areas 1) the yellow-orange product on the support pillar and the wire hanging just

278 S Estradeacute J Arbiol and F Peiroacute

-500microm 1000microm 2500microm

3500microm 4500microm 5000microm

7000microm 8000microm 8500microm

1 2 3

4 5 6

7 8 9Fig 2 SEM micrographs of the observed tungsten deposits along the length of the wire (scale bar is 200nm)

outside the support pillar (left) 2) the blue-black deposit starting in the vicinity of the support pillar and extending for approximately 7mm and 3) the silvershiny central portion where the wire was at its hottest during the experiment Figure 2 shows a sequence of SEM images taken at steps along the wire starting just inside region 1 and ending just inside region 3 The origin of the position scale is taken to be the boundary between regions 1 and 2 just past the weld securing the wire to the post The orange product (Fig 21) appears with an amorphous shape typical of WO2 As we start looking to the right of the post into region 2 where the colour changes to blue-black we first encounter the same clustering as for WO2 but we also notice each cluster is composed of crystal platelets typical of W2O5 (Fig22) Moving along the wire (and corresponding to an increased substrate temperature) the next two panels show the transformation of the spherical cluster into multiple nanorods aggregated around a central core typical of W18O49 At 4500microm we can see that multiple nanorods surrounding the core no longer appear and that the central core appears to be composed of concentric shells with increasing diameters (Fig 25) Most importantly as the temperature increases further (4500 and 5000microm) the further nanorods no longer aggregate concentrically around the core but grow out of it giving it the lsquopine-treersquo appearance in a structure similar to the fractal crystallization of ice into snowflakes but in three dimensions A further increase in temperature results in short and thin nanowires growing in particular orientations that reveal the epitaxial relationship with the substrate (Fig 27) At 8000 microm only small islands are deposited revealing the initial stages of nucleation and growth of the nanowires (Fig 28) Lastly at 8500 microm the temperature is such that only etching occurs as

In situ Observation of the Growth of Tungsten Oxide Nanostructures 279

revealed by the typical faceting of the W wire (Fig 29) This also allows us to view the effect of temperature along the wire as the parameter primarily controlling the balance between etching and depositioncondensation with lower temperatures favoring faster condensation rates We therefore interpret the variance in nanostructure morphology and composition as temperature-induced phase transformations translating into a rate of condensation of the tungsten oxide gas

The series presented in Fig 2 is equivalent to a temperature-variable series of growths but an exact temperature scale is not easily attributable However there are a number of factors that helps us appreciate the temp-erature qualitatively Firstly we know that the base temperature does not go above 400K over the short time that the filament is heated (5-10minutes) The wire is run always 01A just below the current at which it emits which places the hottest part of the wire (its middle) at ~1700K Lastly tungsten oxide transforms to W2O5 at 1050K and to W19O48 at 1300K

3 Discussion and Conclusions As already suggested we can reveal the assembly process of the tungsten oxide nanostructures by interpreting the thermal series in Fig 2 through the consideration of the competition between etching and depositioncondensation with wire temperature By looking at the series in reverse order we conclude the following which is depicted in Fig 3 bull The nucleation of the wires occurs at specific sites on the tungsten substrate (step-edges

defects etc) with the deposition of small islands of tungsten oxide (~20-30nm in diameter) bull Depending on temperature further arrival of tungsten oxide leads to growth of nanowires or

develops into quasi-aligned clusters of W2O5 crystallites or the random WO2 bull Nanowires grow out of small tungsten oxide islands in a very short time of the order of a

minute bull The nanowires thicken in concentric layers (see Fig 25 arrow) up to what appears to be a

critical diameter (~250-300nm) from where they grow secondary and ternary wires at a

Fig 3 Illustration showing the growth of the tungsten oxide nanostructures

280 S Estradeacute J Arbiol and F Peiroacute

higher temperature whilst at the lower temperature further thickening is achieved by the outer layers lsquocollapsingrsquo into layers upon layers of single small rods (diameters ~30-50 nm) Figure 3 shows a diagram of the proposed model describing the thickening of the main nanowhisker up to a critical diameter after which further material arriving deposits either as dendritic whiskers or as concentric layers of tightly-packed whiskers

Hu et al [6] have observed hollow crystalline oxide fibers assembled from tightly-packed single whiskers and have explained the one-dimensional growth of the fibers as a structure that maintains the dissipation of heat along the c and a axes of a single whisker This may indicate that tungsten oxide exhibits anisotropic heat dissipation Our results support this theory explaining the observed concentric layer thickening followed by further development of either tightly-packed aligned whisker layers or dendritic whisker growth

This experiment also shows that the different nanostructures grow from the same gaseous species as opposed to different vapors leading to different tungsten oxide deposits Furthermore the etching of tungsten is more likely driven by the reaction with the moisture in the air rather than the oxygen itself Therefore we believe the chemical route to the tungsten oxide nanostructures here is W(s) + H2OrarrWOx(g)+H2

WOx(g) rarr

gtgt

234918

1252

12

TTOWTTOW

TWO

We have observed in situ the growth of tungsten oxide nanostructures The obtained

product has the full range of morphologies obtained thus far from amorphous WO2 to clusters of crystallites of W2O5 and ending with W18O49 nanowires in three types of formation a thick central core enveloped in single whisker sheaths dendritic ldquopine-treesrdquo and single quasi-aligned whiskers The observed growth supports the model where the one-dimensional growth is supported by the heat dissipation along the c and a axes of the single whiskers References 1 Seelaboyina R Huang J Park J et al 2006 Nanotechnology 17 4840 2 Kim YS Ha SC Kim K et al 2005 Appl Phys Lett 86 213105 3 Santanto C Ulmann M and Augustynski J J 2001 Phys Chem B 105 936 4 Gillet M Delamare R and Gillet E 2005 J Crystal Growth 279 93 5 Aird A Domeneghetti M C Mazzi F Tazzoli V and Salje EKH 1998 J Phys-Condensed

Matter 10 L569 6 Hu W B Zhu Y Q Hsu W K et al 2000 Appl Phys A-Materials Science amp Processing 70 231

Gas Sensing Properties of Vapour-Deposited Tungsten Oxide Nanostructures Y Tison V Stolojan P C P Watts D C Cox G Y Chen and S R P Silva Advanced Technology Institute University of Surrey Guildford GU2 7XH UK Summary Tungsten oxide nanostructures of the type WO3-x where x=01 show excellent promise for gas-sensing and electrochromic applications Here we determine the morphology and chemical composition of the deposited nanostructures using transmission electron microscopy and x-ray photoelectron spectroscopy We also show the gas sensing properties of the deposited nanostructures for the different structures and relate the observed behaviour to the oxygen vacancies present in the respective tungsten oxide allotrope 1 Introduction The properties of tungsten trioxide a wide bandgap semiconductor (Eg = 25-35 eV) [1] lead to a wide range of applications For instance its electrochromic properties can be used in optical devices such as display devices and smart windows [2] It has also been used as a catalyst for different chemical reactions such as the reduction of nitrogen oxides [3] Lately in order to improve these potential applications tungsten oxide nanowires have been intensively studied yielding a wide range of growth methods [1] In this paper we study vapour-deposited tungsten oxide nanostructures using electron microscopy and photoelectron spectroscopy and analyse their potential for gas sensing applications 2 Growth of Tungsten Oxide Nanowires 21 Growth in Vacuum Chamber

The set-up used to grow tungsten oxide nanowires is described in Fig 1 Two tungsten wires (038 mm diameter) with different lengths (one twice as long as the other) are placed in a vacuum chamber and connected to a DC power supply Voltage and current are slowly increased until the shorter wire is white hot (the longer wire is red hot) and the wires are then left to cool down in the chamber

Fig 1 Experimental set-up used for the growth of tungsten oxide nanostructures

Two different types of coverage are obtained during these experiments the hot wire is covered with a black thin oxide layer whereas the coverage of the cold wire is green-yellow in colour

10-3 mbars

Hot W wire (L)

Cold W wire (2L)

IV

282 Y Tison et al

22 Growth in Environmental Mode SEM Imaging of in situ growth of nanostructured tungsten oxide has been performed in an environmental scanning electron microscope and different types of nanowires were observed depending on the substrate temperature The results are presented in detail by Cox et al [4] 3 Morphology of the Tungsten Oxide Nanowires 31 Scanning Electron Microscopy Depending on the growth condition the tungsten substrate is covered with two different types of nanostructures presented in Fig 2

Fig 2 SEM micrograph of a) green tungsten oxide nanostructures grown on the cold wire and bc) black nanostructure grown on the hot wire

The nanostructures deposited on the cold wire are green in colour and an SEM image is shown in Fig 2a They appear to be large platelet-shaped crystallites (usually 1 to 4 microm long and 05 to 2 microm wide) and some of them are Y-branched On the hot tungsten substrate (Figs 2b and 2c the latter at higher magnification) the black coverage corresponds to a second type of nanostructures Long (up to 5-6 microm) and thin nanowires with diameters varying from 5 to 100 nm have been observed The SEM images presented in Figs 2b and 2c highlight the great variation in the diameter of these wires from 5-10 nm up to 100 nm 32 Transmission Electron Microscopy

Transmission electron microscope (TEM) images were recorded for the nanowires presented in Figs 2b and 2c one example is displayed in Fig 3 The width of this nanowire is approximately 9 nm which corresponds to the lower end of the range of diameters we estimated from the SEM results This image also exhibits fringes separated by 038 nm which correspond to the [001] planes found in either a monoclinic WO3 crystal [1] or a monoclinic oxygen defective W18O49 (WO3-x x=028) [5] Fig 3 TEM micrograph of a tungsten oxide nanowire similar to the ones observed in Fig 2bc the scale bar corresponds to 2 nm

2microm 5microm 1microm

2nm

Gas Sensing Properties of Vapour-Deposited Tungsten Oxide Nanostructures 283

4 XPS Analysis XPS analysis of the as-grown tungsten oxide nanowires has been undertaken in order to determine the chemical state of the tungsten atoms in the nanowires The apparatus used in this study is an Omicron instrument equipped with a dual anode X-ray source a hemispherical analyzer and a single channeltron detector The experiments were performed at room temperature and in ultra-high vacuum (8x10-10 mbars) The tungsten wires used as a base for the growth were directly mounted on a molybdenum sample plate using molybdenum clips The results obtained for the W 4f peaks of two different types of tungsten oxide nanowires are displayed in Fig 4

The spectrum obtained for the large platelets exhibits a single doublet at 365 eV and 378 eV This binding energy is typical of W6+ cations in an oxygen environment as observed in bulk WO3 [6] which shows that these platelets correspond to one of the different crystal structures of WO3

In the case of the nanowires the W4f peak cannot be convoluted by a single doublet associated with W6+ cations in an oxygen environment In order to obtain a satisfactory fit for the experimental curves we had to introduce a second doublet appearing at lower binding energies (342 and 367 eV) and representing approximately 15 of the total area As shown by Hollinger et al [6] the binding energies corresponding to this extra doublet can be attributed to W5+ ions in other words to W atoms in a defective oxygen environment Therefore the thin nanowires generated during the growth correspond to a WO3-x monoclinic phase 5 A Possible Application Gas Sensing

Fig 5 Results of the gas sensing measurements for the nanostructures presented in Fig 2 The response obtained during gas sensing experiments performed for both the large crystallites and the WO3-x nanowires are displayed in Fig 5 The gas used in this study is water vapor and the response is defined as ((Rv-Rf)Rf)x100 where Rv is the resist-ance of the sample during the experiment and Rf is the reference value measured in vacuum before the

Fig 4 XPS spectraof the nano-structures shownin Fig 2

284 Y Tison et al

experiment The two types of vertical dashed line correspond to the beginning and the end of the injections of water vapour into the system

The WO3 platelets show a change in resistance of about 5 when water is injected However one can observe that the curve does not fully recover the original value This is probably related to the presence of water molecules irreversibly trapped in the structure or to a chemical reaction between these platelets and the water during the gas-sensing process On the other hand in the case of the WO3-x nanowires the response is smaller the change in resistance being close to 07 when water is leaked into the system but the process is fully reversible and the initial resistance is recovered a few seconds after the water injection is stopped Therefore despite the poor response which may be due to a smaller quantity of nanowires compared to the platelets (experiments are in progress to confirm this hypothesis) and which needs to be improved the WO3-x nanowires show a better potential in terms of gas sensing 6 Discussion and Conclusion We have demonstrated a simple method to generate two different types of tungsten oxide nanostructures in the form of large platelets and nanowires The combination of electron microscopy (SEM TEM) and photoemission experiments allowed us to determine the morphologies the crystal structure and the chemical compositions for both the platelets and the nanowires In particular we have shown that the nanowires we obtained correspond to a WO3-x monoclinic structure The influence of the growth parameters (temperature pressure chemical composition of the atmosphere) on the nature and morphology of these nanostructures needs to be further analysed and we are currently performing experiments to clarify this point

The possibility of using these structures in gas-sensing devices has also been analysed In our opinion the monoclinic WO3-x nanowires seem to be a better choice as the process is reversible in their case and partially irreversible for the WO3 platelet-like crystallites Two parameters can be invoked in order to explain this reversibility Firstly due the presence of defects W5+ ions and oxygen vacancies this structure is more reactive than the WO3 platelets Secondly the open morphology of the WO3-x nanowires allows gas molecules to be adsorbed and desorbed more easily than in a closed structure such as the WO3 platelet-shaped crystallites These assumptions need to be confirmed and we are currently designing experiments for this purpose Acknowledgements The authors are grateful to EPSRC for funding this work through the portfolio partnership awards and to Mr D Mansfield for his help during the growth experiments References 1 Baek Y and Yong K 2007 J Phys Chem C 111 1213 2 Granqvist C G Avendano E and Azens A 2003 Thin Solid Films 442 201 3 Xu B Dong L Fan Y and Chen Y J 2000 Catalysis 193 88 4 Cox et al 2007 This Proceedings volume 5 Li Y Bando Y and Golberg D 2003 Adv Mater 15 1294 6 Hollinger G Duc T M and Deneuville A 1976 Phys Rev Lett 37 1564

Morphology of Semiconductor Nanoparticles J Deneen Nowak and C Barry Carter Department of Chemical Engineering and Materials Science University of Minnesota 421 Washington Avenue SE Minneapolis MN 55455 USA Summary This study investigates semiconductor nanoparticles using transmission electron microscopy The nanoparticles investigated were produced by a number of different plasma-processing methods and the geometries of the resulting nanoparticles are spheres cubes and cuboctahedra Anomalies such as planar defects and surface facets are investigated as these defects can shed light on the formation mechanisms The observation of nanoparticles with defects is instrumental in understanding how the nanoparticles form and how to tailor the production process This work illustrates the significance of microscopy studies for the investigation of particle formation 1 Introduction Nanoparticles are often found to exhibit properties different to those of bulk material [1 2] One explanation for these unique properties is the large surface to volume ratio of small particles The large fraction of surface atoms which have different coordination to those in the bulk can lead to particles with unique morphologies if this provides for lower-energy surfaces Theoretical models predict that small clusters of atoms can form structures which are distinct from those observed in macroscale materials For example tight-binding models predict an icosohedral to cuboctahedral transition for Rh and Pd clusters as the number of atoms is increased [3] Similarly thermodynamic models suggest that group-IV semiconductor nanoparticles are more stable as truncated octahedra than as cubes since this lowers the overall surface energy [4] Experimentally particles are commonly found which exhibit non-equilibrium morphologies The kinetics involved in the particle formation process is thought in part to dictate the morphology of the particles found Small particles have been observed to take on specific geometric shapes which are unlike their equilibrium morphologies Si and Ti nanospheres [5] Au truncated icosahedra [6 7] and Pt tetrahedra [8] have been reported in literature

As electronic devices are miniaturized semiconducting nanoparticles are proposed for use in a number of applications This requires the reliable and controllable production of particles for which plasma processing is particularly promising Plasma techniques can generally produce a large number of particles relatively quickly Before nanoparticles can find industrial use however it is crucial that the particle size and morphology is well understood This study uses transmission electron microscopy (TEM) to investigate the morphology of three types of nanoparticles produced by three different plasma methods 2 Experimental Semiconductor nanoparticles were produced using three different plasma-processing techniques The first hypersonic plasma particle deposition (HPPD) uses a DC arc-torch to generate a thermal plasma with temperatures approaching 4000 K at the torch exit [9 10] Vapor-phase reactants are introduced into the plasma and nanoparticles are formed as the reactants are rapidly cooled In the second a constricted-mode capacitive silane-argon plasma was used to create silicon nanocubes This method uses a low gas flow rate and inertially

286 J Deneen Nowak and C Barry Carter

deposits particles on a substrate [11] In the third a simple capacitively coupled plasma was used to produce germanium cuboctahedra [12] In all cases the particles were deposited on copper TEM grids with amorphous carbon support films and they were examined using a Tecnai G2 F30 TEM 3 Results

31 Silicon Nanospheres The rapid quenching of the gas precursors that occurs in the HPPD technique results in the formation of spherical nanoparticles a strong indication that they are quenched from a liquid state Figure 1 shows a single Si nanosphere The spherical morphology is suggested by the thickness fringes and can be verified by tilting the particle The particles are typically single crystal as indicated by the diffraction pattern The spherical morphology is unusual for silicon since the equilibrium shape extracted from the Wulff construction is a tetrakaidecahedron [13] The spherical shape only manifests due to the rapid cooling

While the large majority of the particles are similar to the one shown in Fig 1 particles are also found which deviate from this perfect sphere They generally fall into one of two extremes In some cases the particles do not have time to crystallize fully resulting in particles which are not completely crystalline and in partially coalesced particles [5] At the other extreme given sufficient time the particle surface can facet Figure 2 shows a silicon particle with three visible (111) surface facets Another effect of the rapid cooling is the formation of planar twin

boundaries like the one in the particle in Fig 2 These facets and planar defects not only provide insight into the formation mechanism they are also found to play an important role in

Fig 1 BF TEM image of a defect-free silicon nanosphere

Fig 2 BF TEM image of a silicon nano-sphere with surface facets and a twin boundary

Fig 3 BF TEM image of a silicon nanosphere with surface droplets on its (111) facets

Morphology of Semiconductor Nanoparticles 287

nanoparticle contacts [14 15] and they can provide a lower-energy surface for surface droplets like those shown in Fig 3 32 Highly Oriented Nanoparticles Silicon nanoparticles were produced using a constricted filamentary capacitively coupled low-pressure plasma This system produces Si nanocubes like those shown in Fig 4 The cube morphology is again unlikely for silicon but is predicted for hydrogen-passivated surfaces in somewhat smaller particles [4] These nanocubes are particularly desirable for use in semiconductor devices since they preferentially land on their (100) facets [16]

The silicon nanocubes are unusual in that they exhibit only (100) facets though (111) facets have lower surface energy In the case of the capacitively coupled plasma germanium cube-like particles are observed like the one shown in Fig 5 The defocused CBED pattern shows the orientation of the particle and identifies the 100 facets It is

also a unique example of the ldquomissingrdquo 200-type reflections for the diamond-cubic material

On closer inspection of the contrast in the particle it is clear that the cube corners appear lighter than the particle centre Tilting of the particle confirms that the cube corners are actually truncated and the true particle morphology is a cuboctahedron [12] The cube corners become truncated to reduce surface energy Given sufficient time and energy they would likely become true octahedra Silicon nanoparticles with this same morphology are now thought to be produced by an earlier version of the constricted-mode plasma [17]

4 Conclusions

The morphologies of nanoparticles give a unique insight into the kinetics involved in particle formation When rapidly cooled spherical particles can be formed though they occasionally form lower-energy facets In comparison given sufficient time and energy low-energy facets will form on the particle surface Under these circumstances highly oriented particles like the cubes and cuboctahedra are produced TEM studies are uniquely suited for determining particle morphology since diffraction can be used to determine which facets are present

Fig 4 BF TEM image of a single silicon nanocube

Fig 5 BF TEM image of a germanium cuboctahedron and the accompanying defocused CBED pattern

B=100

288 J Deneen Nowak and C Barry Carter

Acknowledgements This work is funded through NSF grants numbers IGERT-DGE-0114372 and NSF-DMI-0304211 We would like to thank Prof Girshick and our colleagues in the University of Minnesotarsquos HPPD program for provision of the spherical nanoparticles and Prof Kortshagen for the cubes and cuboctahedra We also acknowledge support from the 3M Heltzer Endowed Chair References 1 Buffat P and Borel J-P 1976 Phys Rev A 13 2287 2 Gerberich W W Mook W M Perrey C R Carter C B Baskes M I Mukherjee R Gidwani

A Heberlein J V R McMurry P H and Girshick S L 2003 J Mech Phys Solids 51 979 3 Barreteau C Desjonqueacuteres M C and Spanjaard D 2000 Eur Phys J D 11 395 4 Barnard A S and Zapol P 2004 J Chem Phys 121 4276 5 Perrey C R Deneen J and Carter C B 2005 Defects and Interfaces in Nanoparticles in

Microscopy of Semiconducting Materials (Springer Berlin) 6 Ascencio J A Perez M and Jose-Yacaman M 2000 Surf Sci 447 73 7 Dai Z R Sun S and Wang Z L 2002 Surf Sci 505 325 8 Wang Z L Ahmad T S and Ei-Sayed M A 1997 Surf Sci 380 302 9 Rao N P Lee H J Kelkar M Hansen D J Herberlein J V R McMurry P H and Girshick

S L 1997 NanoStr Mater 9 129 10 Rao N P Tymiak N Blum J Neuman A Lee H S Girshick S L McMurry P H and

Heberlein J 1998 J Aerosol Sci 29 707 11 Bapat A Anderson C Perrey C R Carter C B Campbell S A and Kortshagen U 2004

Plasma Phys Cont Fus 46 B97 12 Deneen J Cernetti P Gresback R Kortshagen U and Carter C B 2006 Microsc Microanal

12 612 13 Eaglesham D J White A E Feldman L C Moriya N and Jacobson D C 1993 Phys Rev

Lett 70 1643 14 Deneen J Mook W M Minor A Gerberich W W and Carter C B 2006 J Mater Sci 41

4477 15 Deneen J Mook W M Gerberich W W and Carter C B 2006 Microsc Microanal 12 948 16 Deneen J Perrey C R Ding Y Bapat A Campbell S A Kortshagen U and Carter C B

2005 Microscopy of Nanoparticles for Semiconductor Devices in Microscopy of Semiconducting Materials (Springer Berlin)

17 Bapat A Perrey C R Campbell S A Carter C B and Kortshagen U 2003 J Appl Phys 94 1969

Light Emission from Si Nanostructures F Priolo12 G Franzograve2 A Irrera2 F Iacona3 S Boninelli2 M Miritello12 A Canino12 C Bongiorno3 C Spinella3 D Sanfilippo4 G Di Stefano4 A Piana4 and G Fallica4 1 Dipartimento di Fisica e Astronomia Universitagrave di Catania Via S Sofia 64 95123 Catania Italy 2 MATIS CNR-INFM Via S Sofia 64 95123 Catania Italy 3 CNR-IMM Sezione di Catania Stradale Primosole 50 95121 Catania Italy 4 STMicroelectronics Stradale Primosole 50 95121 Catania Italy Summary In this paper we summarize our recent results on the structural and optical properties of silicon nanoclusters (nc) The structural properties have been investigated by energy-filtered transmission electron microscopy allowing one to determine the temperatures at which the nucleation of amorphous and crystalline Si nanoclusters starts Moreover devices based on both amorphous and crystalline Si nc are demonstrated These devices are extremely stable and robust resulting in an intense electroluminescence at around 900 nm Finally the integration of photonic crystals with Si nc light-emitting diodes will be demonstrated 1 Introduction Si nanocrystals embedded in a SiO2 matrix are currently attracting great interest as a candidate system to solve the physical inability of bulk Si due to its indirect energy band gap to act as an efficient light emitter Indeed the band gap of Si nanocrystals is enlarged with respect to the bulk material due to quantum confinement effects and an intense visible photoluminescence (PL) at room temperature is obtained Recently the interest towards this material has greatly increased due to the observation of light amplification in Si nanostructures [1-6] as well as the demonstration of the feasibility of efficient light emitting devices based on Si nanocrystals [7-15] Indeed both of these points open the route towards the development of Si-based optoelectronics

Si nanocrystals in SiO2 are produced by several different techniques [16-20] In particular the formation of Si nanocrystals embedded in SiO2 from high temperature annealed substoichiometric silicon oxide (SiOx) films prepared by plasma enhanced chemical vapor deposition exhibits a lot of advantages [21-23] Indeed the Si nanocrystal size can be controlled by optimizing the annealing temperature as well as the silicon excess in the SiOx film [23] it has been also observed that by increasing the Si nanocrystal mean size a red shift of the PL peak is obtained in qualitative agreement with the quantum confinement model

A key point for a full understanding of the optical properties of this system is the availability of a clear picture of its structural properties and their evolution upon thermal annealing Under this respect several techniques have been employed to characterize Si nanocrystals In particular very reliable determinations of the Si nanocrystal size have been obtained by transmission electron microscopy (TEM) analysis (from dark field or high resolution measurements) [17-20 22 23] but this technique is not able to give a full quantitative picture of the system including also fundamental information such as the Si nanocrystal density Furthermore this technique is almost blind to the presence of amorphous Si clusters As a consequence a good correlation between the density and the size of the Si nanoclusters present in a sample and the PL properties of the system is still lacking Furthermore the actual contribution given by amorphous clusters to the optical properties of the system is not understood yet

In this work we will review our study of the complete evolution of SiOx films prepared by plasma enhanced chemical vapor deposition from the as-deposited to the high temperature (1250 degC) annealed status In particular the early stages of the separation of the Si and SiO2 phases the formation of

292 F Priolo et al

amorphous Si clusters and their transition to the crystalline phase will be investigated in detail by energy-filtered transmission electron microscopy The presence of a relevant contribution of Si amorphous nanostructures not detectable by using the conventional dark field TEM technique will be demonstrated By taking into account also this contribution an accurate quantitative description of the nanostructure formation process has been accomplished Moreover in this paper we will present our recent results on the application of amorphous and crystalline Si nanoclusters for the fabrication of light-emitting devices The electrical and optical properties of each kind of device will be presented and extensively discussed with a particular attention to their potential for practical applications in silicon optoelectronics The integration of these devices with a bi-dimensional photonic crystal structure (fabricated by truly standard very-large-scale integration (VLSI) processes) will be shown and an enhancement of light extraction by a factor of 4 will be demonstrated 2 Experimental SiOx thin films have been prepared by using a parallel plate plasma enhanced chemical vapor deposition (PECVD) system Details of the deposition procedure can be found elsewhere [23] The source gases used are high purity (9999 or higher) SiH4 and N2O the N2OSiH4 flow ratio has been varied to give SiOx films with total Si concentrations in the range of 35 ndash 46 at After the deposition SiOx films were annealed at high temperature (in the range 900 ndash 1250 degC) for 1 h in a nitrogen atmosphere The high temperature annealing induces the separation of the Si and SiO2 phases with the formation of Si nanograins (amorphous or crystalline depending on the annealing temperature) embedded in SiO2 whose size is also determined by the excess Si amount

The Si-nanocluster films were also used as the dielectric layer in MOS devices To this aim an n-type polysilicon layer (resistivity 0001 Ω cm) was deposted on top of them to allow an electrical bias to be applied Finally a photolithographic process was used to define the active area of the devices (ranging from 09x10-3 to 10x10-3 cm2 Aluminium-based contacts were then made to the n-type polysilicon film and the p-type substrate These metallic contacts were defined as rings to provide a metral-free central area for the exit of the light With the aim of enhancing vertical lightextraction in some of the devices the top polysilicon layer has been etched with conventional lithography to produce a triangular two-dimensional photonic crystal The photonic crystal is composed of holes having a diameter of 400 nm and has a lattice constant of 900 nm The depth of the holes is only 200 nm hence leaving an uninterrupted 100 nm thick polysilicon layer for the electrical contact

The structural characterization was performed by using a field emission scanning electron microscope (SEM) Zeiss Supra 25 and a 200 kV energy-filtered transmission electron microscope a JEOL JEM 2010F equipped with a Gatan Image Filter The latter system consists of a conventional TEM coupled with an electron energy loss spectrometer With this method silicon nanograins (both crystalline and amorphous and independently of the crystal orientation) dispersed in a silica matrix can be detected

Electroluminescence (EL) spectra were taken by biasing the device with a square pulse EL signals were analyzed by a single-grating monochromator and detected by a photomultiplier tube Spectra were recorded with a lock-in amplifier synchronized to the voltage square wave generator) The electrical properties and the conduction mechanisms of the devices were investigated by using a Keithley 4200 semiconductor characterization system 3 Amorphous and Crystalline Si Nanoclusters 31 Structural Characterization In order to map the presence of Si clusters formed inside the SiOx layer by the annealing process we have performed energy-filtered TEM (EFTEM) measurements The EFTEM technique allows one to generate a TEM image by using only electrons that have lost a specific amount of energy

Light Emission from Si Nanostructures 293

due to the interaction with the sample This provides a chemical mapping of all the species present in a sample with the very high spatial resolution typical of TEM and therefore represents a particularly suitable method to detect silicon nanoclusters (both crystalline and amorphous and independently of the crystal orientation) dispersed in a silica matrix By using the EFTEM technique it is possible to build a TEM image by using an energy filter to select an energy window centered in correspondence of a given feature of the electron energy loss spectrum In our case we put an energy window (4 eV wide) in correspondence of the Si plasmon loss (16 eV) The high energy shift between the Si and SiO2 plasmon peaks (about 7 eV) allows the important discrimination of the Si contribution from that of the SiO2

Figure 1 reports the plan view EFTEM images obtained from SiOx samples (having a total Si content of 46 at) as deposited and annealed at 900 1000 1100 1150 and 1250 degC In such images the bright zones are associated to the presence of silicon The qualitative analysis of the images has been done by checking for any significant intensity contrast taken as an evidence for the occurrence of phase separation effects In order to do this each image has been analyzed by means of tridimensional surface plots reporting the pixel intensity as a function of the position To take into account also intensity fluctuations of the background only differences higher than 10 have been taken as evidence of phase separation Furthermore an additional criterion has been applied mainly consisting in the definition of a critical radius of about 07 nm (corresponding to about six times the spatial resolution of the technique) for the smallest significant feature present in the images

The analysis of the image reported in Fig 1a showing a uniform grey background without any appreciable intensity contrast has demonstrated the absence of any phase separation effects in the as-deposited samples On the other hand the analysis of the image reported in Fig 1b referring to a sample annealed at 900 degC demonstrates that the image does not consist of a uniform background but some brighter zones forming well defined structures can be clearly detected This means that clustering effects are already visible at 900 degC even if the analysis of the image seems to suggest the formation of a Si network instead of isolated clusters The occurrence of phase separation between Si and SiO2 becomes much more evident by increasing the annealing temperature and well defined Si clusters embedded in the oxide matrix are clearly visible in samples annealed at 1000 1100 1150 and 1250 degC (Figs 1c-1f respectively)

To gain better knowledge on the structural properties of these systems we have also employed the conventional dark field TEM (DFTEM) technique (not shown) to characterize the samples In

Fig 1 EFTEM plan view images obtained from a) as deposited SiOx films and SiOx films annealed at b) 900 degC c) 1000 degC d) 1100 degC e) 1150 degC and f) 1250 degC The bright zones are associated to the presence of Si clusters

294 F Priolo et al

this case the technique is sensitive only to the presence of crystalline planes and it is therefore able to map the system for the presence of crystalline Si clusters On the other hand the energy-filtered images reported in Fig 1 have to be considered as elemental maps being the Si clusters detected independently of their crystalline structures It was not possible to observe any diffraction pattern corresponding to the presence of a crystalline phase for samples as-deposited and annealed at 900 and 1000 degC In addition DFTEM images of these same samples were unable to show any detectable contrast Furthermore high resolution images taken on an area of about 1000 nm2 did not produce any evidence of silicon crystalline planes All of this evidence clearly demonstrate that the clusters shown in Figs 1b and 1c are fully amorphous On the other hand the sample annealed at 1100 degC exhibits the presence of a diffraction pattern mainly consisting of three well distinct rings corresponding to the (111) (220) and (311) planes of crystalline silicon so that it is possible to conclude that a significant fraction of the clusters shown in Fig 1d is crystalline

A first qualitative analysis of the TEM images shown in Fig 1 allows one to define some aspects of the Si nc nucleation from annealed SiOx films As deposited SiOx films are homogeneous and fully amorphous materials without any evidence of phase separation between Si and SiO2 The first stages of the phase separation between Si and SiO2 become visible at 900 degC but well defined and amorphous Si clusters are formed only at 1000degC At 1100 degC the amorphous nanoclusters begin to become crystalline More quantitative information on the annealed SiOx samples can be obtained by measuring the size of the detected Si nanoclusters for a large number of plan view images obtained by both energy-filtered and dark field techniques For samples in which the amorphous and crystalline phases coexist we have estimated the crystalline fraction present at a given temperature In order to do this the ratio between the number of nanocrystals (as detected by the dark field analysis) and the total number of clusters (as detected by EFTEM) has been evaluated at the different temperatures The cluster count has been performed in the same sample region for both techniques furthermore to avoid shadowing effects between clusters situated at different depths we have selected for the analysis very thin regions The data have been reported in Fig 2a and a clear trend has been found showing the progressive increase of the crystalline fraction with increase of the anneal temperature In the figure the crystalline fraction at 1250 degC has been set to 100 since the ratio between the total number of clusters detected by EFTEM and the nanocrystals detected by DFTEM is about 201 corresponding to the expected value for the fraction of crystals detected by DF in a fully crystalline sample by using our experimental conditions [24] Under the hypothesis that at 1250 degC

0

25

50

75

100

1000 1050 1100 1150 1200 1250

6x1017

8x1017

1x1018

0

1x1021

2x1021

3x1021

1000 1050 1100 1150 1200 12500

3x1017

6x1017

9x1017 (d)

(c)

(b)

Cry

stal

line

fract

ion

()

(a)

Clu

ster

den

sity

(cm

-3)

Clu

ster

ed S

i (at

cm

3 )

Temperature (degC)

crystalline Si nc amorphous Si nc

Clu

ster

den

sity

(cm

-3)

Temperature (degC)

Fig 2 a) Crystalline fraction b) density of Si nanoclusters c) concentration of clustered Si atoms and d) density of amorphous and crystalline nanoclusters as a function of the annealing temperature The lines are drawn to guide the eye

Light Emission from Si Nanostructures 295

all clusters are crystalline the estimation of the nanocrystal fraction at lower temperatures leads to values of about 30 at 1100 degC (the temperature at which the crystallization process starts) and 60 at 1150 degC clearly demonstrating that in this range the temperature plays a role not only in promoting the cluster growth (ie in the increase of their mean radius) but also in extensively promoting the amorphous to crystal transition [25 26]

More fundamental information that can be derived from the above shown plan view EFTEM images is the number of nanocrystals per unit volume at the different temperatures Indeed since this technique is able to show all the clusters present in the sample this information should be at least in principle accessible In order to gain this information however it is necessary to have a good estimation of the thickness sampled by the EFTEM experiment in order to accurately determine the analyzed volume This has been done [27] and the values for the Si nanocluster density we have obtained are reported in Fig 2b as a function of the annealing temperature The nanocluster density is almost constant in the 1000 - 1150 degC range (9times1017 cm-3) while it decreases (about 7times1017 cm-3) by increasing the annealing temperature up to 1250 degC This result coupled with the continuous increase in cluster size with annealing temperature suggests that nanocluster growth is not simply due to the inclusion of Si atoms diffusing from the oxide matrix but also to Ostwald ripening effects leading to the disappearance of small clusters

From the above reported data on the nanocluster density and mean radius the number of clustered Si atoms per unit volume at the different temperatures can be easily calculated The obtained data are reported in Fig 2c and demonstrate that the number of Si atoms forming detectable clusters increases remarkably with increasing annealing temperature In particular this value is about 2times1020 cm-3 at 1000 degC and it increases by more than one order of magnitude (3times1021 cm-3) by increasing the temperature up to 1250 degC while intermediate values are seen at 1100 and 1150 degC It is noteworthy that also at 1250 degC a relevant fraction of excess Si atoms not detectable by EFTEM exists Indeed the value of 3times1021 cm-3 has to be compared with an expected value for the excess Si content (by taking into account the total Si concentration of 46 at) of about 1times1022 cm-3 On the basis of the present data it is not possible to unambiguously determine the actual state of the missing Si atoms and in particular if they are embedded in clusters too small to be detected by TEM (Si clusters having a radius lower than 1 nm can be hardly detected also by using EFTEM) or if they are still dissolved in the SiOx matrix Finally we have used the data on the Si nanocluster density reported in Fig 2b and those on the crystalline fraction reported in Fig 2a to calculate the concentration of crystalline and amorphous Si nc as a function of the annealing temperature The obtained data are reported in Fig 2d and demonstrate that the temperature progressively induces the transformation of 9times1017 nano-amorphouscm3 into 7times1017 nanocrystalscm3 with the loss of about 20 of the clusters present at 1000 degC due to the occurrence of Ostwald ripening phenomena 32 Electroluminescent Devices Electroluminescent MOS devices whose insulating region consists of a SiOx thin layer grown by PECVD have been fabricated The structure of these devices based on Si nanostructures (both PECVD crystalline and amorphous) is illustrated in the SEM micrograph reported in Fig 3a The two metal rings provide the electrical contacts to the n-type polysilicon layer (acting as the top electrode) and to the p-type Si substrate (acting as the bottom electrode) allowing a central open area for the exit of the light

The electrical properties of the devices have been studied by current-voltage measurements SiOx layers show a very high conductivity if compared with stoichiometric SiO2 layers furthermore they exhibit a strong increase in the current that can pass through the film for a fixed applied electrical field with increasing Si concentration [28] In fact by increasing the silicon concentration the density and the mean radius of nanocrystals increase too Larger Si nanocrystals have a smaller band gap and therefore there are more allowed levels for the electrons moreover by increasing the density of nanocrystals their mean distance decreases and therefore the efficiency of

296 F Priolo et al

the injection of the carriers increases In Fig 3b a typical current density-voltage (J-V) characteristics in the accumulation and inversion regimes of devices having active layers based on amorphous or crystalline Si nanoclusters are reported Actually due to the very high doping of the substrate the deep inversion of the substrate will hardly be reached (a threshold voltage of about 70 V can be estimated) thus explaining the asymmetric conduction found in Fig 3b The two different active layers have been obtained by annealing SiOx films having the same silicon concentration (46 at) and the same thickness at two different temperatures (900 degC and 1100 C respectively) for 1 hour By comparing the two behaviors it is possible to note that for the same applied voltage the layer containing amorphous Si nanoclusters exhibits a current density several orders of magnitude higher than the active layer with crystalline Si clusters In fact as an example in the device with Si nanocrystals at -10 V a current density of about 7 microAcm2 is obtained while in the device with amorphous Si nanoclusters for the same polarization we observe a current density of about 01 Acm2 It is possible to explain this effect with the different structural properties of the active layers in fact the active layer with amorphous Si nanoclusters as shown in the EFTEM image of Fig 1b is characterized by a very high density of partially interconnected clusters with a relevant fraction of the excess Si still dissolved in the matrix and therefore favoring the injection of the carriers with respect to the system with well separated Si nanocrystals

Although tunnelling effects are probably the mechanism proposed by most of the papers dealing with the electrical properties of Si nanostructures [9 14 28-32] also different mechanisms have been considered incuding the coexistence of two different mechanicms In our systems a detailed analysis of the J-V data leads to the conclusion that electrical conduction may be due to a combination of more than one single mechanism More in detail although a clear dependence on temperature has been observed [33] strongly suggesting that the Poole-Frenkel mechanism has a relevant role only in a few cases it is possible to fully ascribe the conduction to this mechanism

We have studied the electroluminescent properties of these devices and a typical spectrum (for an active layer containing Si nanocrystals) is reported in the inset of Fig 4a The electroluminescence (EL) spectrum mainly consists of a peak centered at about 850 nm and is therefore very similar both in position and shape to the photoluminescence spectra measured in similar SiOx samples [28] It is therefore straightforward to attribute this emission to electron-hole pair recombination in the Si nanocrystals dispersed in the oxide layer Electron-hole pairs may be generated inside Si nanocrystals by impact excitation of energetic electrons alternatively electrons and holes may be separately injected in the nanostructures from the two electrodes under both forward and reverse bias conditions [33] None of the two mechanisms

Fig 3 a) SEM image of the device based on Si nanoclusters b) Current densityndashvoltage characteristics in the accumulation and inversion regimes of devices based on amorphous (closed circles) or crystalline (open circles) Si nc

Light Emission from Si Nanostructures 297

can be excluded in principle even if we believe that the impact excitation is more likely to occur in those materials characterized by well separated Si grains The EL spectrum of the device based on amorphous Si nanoclusters is very similar to that one relative to Si nanocrystals and reported in Fig 4a suggesting that the same radiative de-excitation mechanisms are operating [33]

The comparison of the EL properties of devices based on different Si nanostructures can be Found in Fig 4a where we report the EL intensity at 850 nm as a function of the applied

voltage under forward bias conditions In particular devices based on SiOx layers with 46 at of Si annealed at 900 (based on amorphous Si nanoclusters) and 1100 degC (based on Si nanocrystals) have been investigated For each device the EL intensity strongly increases by increasing the applied voltage Moreover it is noteworthy that amorphous Si nanoclusters exhibit an EL intensity very similar to that one observed in the device with Si nanocrystals by applying much lower voltages although the two systems have been obtained from SiOx layers with the same Si concentration

The EL properties of these devices have been investigated also by studying the EL emission as a function of the current density passing through the device In Fig 4b the EL intensity at 850 nm is reported as a function of the current density for the same devices of Fig 4a under forward bias conditions It is interesting to note that the devices based on amorphous Si nanoclusters exhibit an EL intensity per unit area about one order of magnitude lower than those based on Si nanocrystals

The analysis of Figs 4a and 4b allows us to draw some conclusions about the properties of electroluminescent devices based on the two different kinds of Si nanostructures

(i) the operating voltage strongly depends on the characteristics of the active layer and in particular it decreases by decreasing the annealing temperature of the SiOx layer These effects are strongly related with the structural properties of the active layer

(ii) Devices based on on amorphous nanostructures do not exhibit the same brightness with respect to their crystalline counterpart as a function of the current density Hence in spite of their high conductivity devices based on amorphous clusters are less efficient

(iii) The difference in efficiency between amorphous and crystalline nanocluster devices is however much smaller than what can be inferred from Fig 4 In fact if it is true that at the same current density the EL of Si nanocrystals is almost one order of magnitude higher it is also true that the voltage needed to sustain this current is about a factor of five higher (Fig 3b) This means that in principle amorphous clusters might have strong potentialities and further studies to optimize this relatively new material are all but useless

-70-60-50-40-30-20-100

102

103

104

600 700 800 900 1000 11000

50

100

150

200

250

300

EL

Inte

nsity

(au

)

Wavelength (nm)

46 at Si T= 900 degC 46 at Si T= 1100 degC

Voltage (V)

EL

inte

nsity

at 8

50 n

m (a

u)

(a)

10-4 10-3 10-2 10-1 100 101

101

102

103

104

46 at Si T= 900 degC 46 at Si T= 1100 degC

Current density (Acm2)

EL

Inte

nsity

at 8

50 n

m (a

u)

(b)

Fig 4 EL intensity at 850 nm as a function of a) the applied voltage and b) the current density passing through the device under forward bias conditions for devices based on amorphous (closed circles) or crystalline (open circles) Si nc The inset in (a) shows the EL spectrum measured in the device with Si nanocrystals

298 F Priolo et al

33 Photonic Crystal Devices

In order to improve the extraction efficiency in the Si-nc based devices described above we have implemented the device structure by integrating a bi-dimensonal photonic crystal fabricated by truly standard VLSI processes [34] In fact in a typical silicon light-emitting diode as a result of the high silicon refractive index (n=35) most of the emitted light remains trapped inside the device by total internal reflection thus severely limiting the overall performance

A schematic illustration of the proposed device is shown in Fig 5a The structure is a simple MOS-like one whose insulating region is composed by a SiO2 film containing amorphous Si nanoclusters The top electrode of the devices consisted of an As-doped polycrystalline silicon layer (300 nm thick) With the aim of enhancing vertical light extraction this polysilicon cap has been etched with conventional lithography (at a wavelength of 365 nm) to produce a triangular two-dimensional photonic crystal A SEM image of the photonic crystal (Fig 5b) shows the top view of the structure and the good uniformity of the hole shape and spacing The lattice constant of the photonic crystal has been tuned in order to introduce a fourth-order diffraction escape path at 860 nm (ie within the emission band of silicon nanostructures) for those light modes which are index-guided in the top Si layer Since the SiOx layer is very thin only weakly guided modes will exist in the structure Hereafter these devices will be referred to as PC-devices For comparison in some other devices the polysilicon layer was left totally unetched for reference (unpatterned devices)

In Fig 6a the ratio between the EL spectra measured in a PC-device and in an unpatterned one is reported A clear peak at around 860 nm (in resonance with the designed diffraction spot of the two dimensional photonic pattern) is present demonstrating an enhanced coupling to vertical radiation of the guided modes The enhancement achieved is by more than a factor of four The

Fig 5 a) Drawing of the photonic crystal device structure b) SEM of the photonic crystal fabricated on top of the device

Fig 6 a) Ratio between the El spectrum measured in a PC device and in an unpatterned one b) Polar distribution of the emitted radiation at 860 nm Tilt is along the ΓM direction

Light Emission from Si Nanostructures 299

coherent scattering of guided modes with a well defined in-plane momentum should produce a vertical extraction We hence characterised the EL intensity of the PC device as a function of observation angle In Fig 6b the experimental polar distribution of the emitted radiation at 860 nm taken by tilting the device along the ΓM direction is reported It is interesting to note that the radiation pattern of the PC device is extremely directional once more demonstrating the presence of diffraction effects The enhancement mechanism can be described as follows As a result of the low refractive index of the active SiOx layer (n=175 as measured by ellipsometry) some of the light will be confined and weakly index guided in the top polysilicon electrode (n=35) The interaction of this light with the photonic crystal periodic structure causes a coherent scattering of the modes and therefore there is a considerable enhancement of extraction efficiency in the vertical direction 4 Conclusions

In conclusion in this paper we have summarized the results of extensive work performed on the structural and optical properties of amorphous and crystalline Si nanoclusters embedded in SiO2 By using EFTEM analyses we have been able to elucidate some important aspects of the Si nanocluster nucleation in annealed SiOx films As-deposited SiOx films are homogeneous and fully amorphous materials without any evidence of phase separation the first steps of the phase separation between Si and SiO2 become visible at 900 degC but well defined amorphous Si clusters are formed only at 1000 degC At 1100 degC the amorphous clusters start to become crystalline and the crystalline fraction increases for a further increase of the annealing temperature Moreover the availability of a much more complete quantitative picture allowed the demonstration that amorphous Si clusters constitute a relevant fraction of the overall population in samples annealed at intermediate temperatures and play also a fundamental role in determining the luminescence properties of the system In particular the EL properties of devices based on amorphous and crystalline Si nanoclusters have been compared We have demonstrated that these light-emitting devices are very stable and can work continuously for several days without any sign of degradation While in amorphous Si nanograins the electrical conduction is favoured with respect to the crystalline counterpart (due to the fact that for low annealing temperatures the SiOx layer is characterized by a very high density of partially interconnected clusters with a relevant fraction of the excess Si still dissolved in the matrix) at the same time the high density of traps present in the matrix affects the optical performances and nonradiative processes compete with the radiative ones Therefore for the same current density passing through the device we observe lower EL signals in amorphous Si clusters with respect to the nanocrystals However as a result of the smaller bias voltage the power efficiencies are similar Extensive photo- and electro-luminescence measurements allowed us to conclude that the excitation mechanisms are the same (independent of the excitation type electrical or optical) for both amorphous and crystalline Si clusters Finally the extraction efficiency from these devices has been enhanced by a factor of 4 by coupling them with a photonic crystal structure fabricated by truly standard very-large-scale integration processes Having demonstrated that even simple photonic crystal structures are effective in improving the performance of nanocluster silicon light sources we expect that these results can open the way to a whole new generation of silicon devices in which photonic and electronic functions are integrated together References 1 Pavesi L Dal Negro L Mazzoleni C Franzograve G and Priolo F 2000 Nature 408 440 2 Khriachtchev L Rasanen M Novikov S and Sinkkonen J 2001 Appl Phys Lett 79 1249 3 Nayfeh M Rao S Barry N Therrien J Belomoin G Smith A and S Chaieb 2002 Appl Phys

Lett 80 121

300 F Priolo et al

4 Luterova K Pelant I Mikulskas I Tomasiunas R Muller D Grob J-J Rehspringer J-L and Honerlage B 2002 J Appl Phys 91 2896

5 Dal Negro L Cazzanelli M Daldosso N Gaburro Z Pavesi L Priolo F Pacifici D Franzograve G and Iacona F 2003 Physica E 16 297

6 Dal Negro L Cazzanelli M Pavesi L Ossicini S Pacifici D Franzograve G Priolo F and Iacona F 2003 Appl Phys Lett 82 4636

7 Qin G G Li A P Zhang B R and Li B-C 1995 J Appl Phys 78 2006 8 Hirschman K D Tsybeskov L Duttagupta S P and Fauchet P M 1996 Nature 384 338 9 Rebohle L von Borany J Yankov R A Skorupa W Tyschenko I E Frob H and Leo K 1997

Appl Phys Lett 71 2809 10 Fujita S and Sugiyama N 1999 Appl Phys Lett 74 308 11 Lalic N and Linnros J 1999 J Lumin 80 263 12 Photopoulos P and Nassiopoulou A G 2000 Appl Phys Lett 77 1816 13 Franzograve G Irrera A Moreira E C Miritello M Iacona F Sanfilippo D Di Stefano G Fallica P

G and Priolo F 2002 Appl Phys A Mater Sci Process 74 1 14 Irrera A Pacifici D Miritello M Franzograve G Priolo F Iacona F Sanfilippo D Di Stefano G and

Fallica P G 2002 Appl Phys Lett 81 1866 15 F Iacona Pacifici D Irrera A Miritello M Franzograve G Priolo F Sanfilippo D Di Stefano G

and Fallica P G 2002 Appl Phys Lett 81 3242 16 Shimizu-Iwayama T Fujita K Nakao S Saitoh K Fujita T and Itoh N 1994 J Appl Phys 75

7779 17 Zhu J G White C W Budai J D Withrow S P and Chen Y 1995 J Appl Phys 78 4386 18 Min K S Shcheglov K V Yang C M Atwater H A Brongersma M L and Polman A 1996

Appl Phys Lett 69 2033 19 Gourbilleau F Portier X Ternon C Voivenel P Madelon R and Rizk R 2001 Appl Phys Lett

78 3058 20 Werwa E Seraphin A A Chiu L A Zhou C and Kolenbrander K D 1994 Appl Phys Lett 64

1821 21 Kenyon A J Trwoga P F Pitt C W and Rehm G 1996 J Appl Phys 79 9291 22 Inokuma T Wakayama Y Muramoto T Aoki R Kurata Y and Hasegawa S 1998 J Appl

Phys 83 2228 23 Iacona F Franzograve G and Spinella C 2000 J Appl Phys 87 1295 24 Crupi I Lombardo S Spinella C Bongiorno C Liao Y Gerardi C Fazio B Vulpio M and

Privitera S 2001 J Appl Phys 89 5552 25 Zacharias M Blasing J Veit P Tsybeskov L Hirschman K and Fauchet P M 1999 Appl Phys

Lett 74 2614 26 Pacifici D Moreira E C Franzograve G Martorino V Priolo F and Iacona F 2002 Phys Rev B 65

144109 27 Iacona F Bongiorno C Spinella C Boninelli S and Priolo F 2004 J Appl Phys 95 3723 28 Franzograve G Irrera A Moreira E C Miritello M Iacona F Sanfilippo D Di Stefano G Fallica P

G and Priolo F 2002 Appl Phys A 74 1 29 Walters R J Bourianoff G I and Atwater H A 2005 Nat Mat 4 143 30 Cho K S Park NndashM kim TndashY Kim KndashH Sung G Y and Shin J H 2005 Appl Phys Lett 86

071909 31 Castagna M E Coffa S Monaco M Caristia L Messina A Mangano R and Bongiorno C 2003

Phys E 16 547 32 Nazarov A Sun J M Skorupa W Yankov R A Osiyuk I N Tjagulskii I P Lysenko V S and

Gebel T 2005 Appl Phys Lett 86 151914 33 Irrera A Iacona F Franzograve G Presti C D Crupi I Bongiorno C Sanfilippo D Di Stefano G

Piana A Fallica P G and Priolo F 2006 Nanotechnology 17 1428 34 Presti C D Irrera A Franzograve G Crupi I Priolo F Iacona F Di Stefano G Piana A Sanfilippo

D and Fallica P G 2006 Appl Phys Lett 88 033501

Hydrogenated Nanocrystalline Silicon Investigated by Conductive Atomic Force Microscopy A Cavallini D Cavalcoli M Rossi A Tomasi B Pichaud1 M Texier1 A Le Donne S Pizzini2 D Chrastina3 and G Isella3 Department of Physics and CNISM - University of Bologna viale Berti-Pichat 6II 40127 Bologna Italy 1 TECSEN UMR 6122 CNRS Universiteacute Paul Ceacutezanne Aix-Marseille III 13397 Marseille

cedex 20 France 2 Dipartimento di Scienza dei Materiali Universitagrave di Milano-Bicocca Via Cozzi 53 20126

Milano Italy 3 L-NESS Dipartimento di Fisica del Politecnico di Milano Polo Regionale di Como Via Anzani

52 Como Italy Summary Hydrogenated nanocrystalline silicon for photovoltaic applications has been investigated by using scanning force microscopy Morphological properties as well as electrical properties have been investigated with high spatial resolution by scanning force microscopy analyses Transmission electron microscopy studies have been also carried out for structural characterization The main problem regarding the electronic properties is to understand where the current flows Actually the question has remained unresolved due to conflicting literature data The present contribution aims to clarify which of the material phases mainly contributes to the conduction mechanisms 1 Introduction Hydrogenated nanocrystalline Si (nc-SiH) has attracted large interest in the past few years due to its very promising photovoltaic (PV) properties It is a good substitute for amorphous silicon (a-SiH) because of its stable electronic properties against light exposure high doping efficiency and high mobility [1] Moreover nc-SiH films can be easily grown at relatively low temperatures (100degCndash300degC) with the advantage of small energy consumption nc-SiH films are typically grown by plasma-enhanced chemical vapour deposition (PECVD) methods using either highly hydrogen diluted silane or a layer-by-layer deposition technique which repeatedly alternates the deposition of a-SiH film and then exposure to hydrogen plasma The resulting material is usually made of a columnar structure of nanocrystals embedded in a matrix of amorphous silicon The interest in PV applications has drawn attention to some basic physical issues in this system such as the competition between different electrical transport mechanisms nc-SiH presents a complicated heterogeneous microstructure which consists of a mixture of crystalline silicon (c-Si) grains grain boundaries andor a-SiH ldquotissuerdquo nc-SiH growth is strongly influenced by the growth conditions and by the substrates The heterogeneous microstructure possibly dependent on the layer thickness leads to complicated transport properties The main problem concerning electrical conduction is where the current flows Microscopic data by Rezek et al [2] have been interpreted as showing currents through the columns (ie through crystallites constituting them) while data from Azulay et al [3] indicate transport mainly in the disordered material surrounding the columns

302 A Cavallini et al

Fig 1 Topography of the sample 7662_2x obtained by AFM The measured height values are in the range [0-20] nm

The present contribution deals with morphological and electrical characterization of nc-SiH films grown by low-energy plasma enhanced chemical vapour deposition (LEPECVD) The films have been analysed by atomic force microscopy (AFM) conductive atomic force microscopy (C-AFM) and transmission electron microscopy (TEM) 2 Experimental nc-SiH films were grown on oxidized crystalline silicon substrates by the LEPECVD process This technique has been shown to present the advantage over other PECVD techniques in terms of higher growth rates and better surface and subsurface properties due to reduced ion

implantation damage [4] The films were deposited at temperatures in the range 200ndash400degC using SiH4 and H2 precursor gases The silane dilution ratio d = Φ (SiH4) [Φ (SiH4) + Φ (H2)] ranged from 1 to 55 in order to analyze the effect of dilution on the investigated material properties The growth rate ranged from 05 to 4 nms Different substrates were selected for the film growth Czochralski (CZ) Si and oxidized Cz Si The crystallinity fraction XC according to Raman measurements [45] was always higher than 50 and was dependent on the dilution factor The characteristics of the typical samples analyzed are reported in Table I Further details relevant to the growth processes can be found in refs 4 and 5 Table I

Sample Xc() Substrate T(degC) d () Thickness(microm) Rate (nms)

7365 706 SiO2Si(111) 208 1 172 095

7658_7x 505 Si(100) 280 50 109 354

7662_2x 55 Si(100) 280 55 172 354

AFM analyses were carried out in a Solver P47H-Pro instrument manufactured by NT-MDT

C-AFM allows for local electrical conductivity analyses at the nanoscale In our case a positive bias fixed at 3V is applied to the probe while the AFM operates in constant force mode (contact mode) At this bias value a good current signal could be obtained and electrical alterations of the tip-sample interface due to excessive current densities could be avoided The tip (curvature radius typically less than 7 nm) is made of Si covered by a 25 nm thick platinum-iridium conductive alloy (model PPP-CONTPt Nanosensors) The samples were grounded through both bottom and upper electrical contacts made with gallium-aluminium The former was made beneath the substrate and the latter on the thin film surface C-AFM maps obtained with both contacts or with just one of them showed no differences

Low magnification TEM observations were performed in planar view and cross section using a FEI Tecnai 200keV microscope High resolution analyses were carried out using a JEOL 2010F microscope equipped with an imaging filter (GIF) operating at 197keV

Hydrogenated Nanocrystalline Silicon Investigated by Conductive Atomic Force Microscopy 303

3 Results AFM and C-AFM studies did not show any significant difference between samples grown on different substrates in contrast to TEM analyses [6] Therefore typical results obtained on the samples of table I with Xc gt50 will be reported here Figure 1 shows an example of an AFM map on the sample 7662_2x The film presents a ldquogranularrdquo structure similarly to that observed in literature [2] grains (50-100 nm) and grain aggregates (100-200 nm) are clearly visible TEM analyses showed that the average size of the nanocrystals ranges from 5 to 30 nm [6] Each grain on the surface could thus be related to a cluster of small nanocrystallites

Figure 2 shows a C-AFM map obtained simultaneously with the topography (AFM) map It is to be noted that the grains present high conductivity while the surrounding tissue probably containing amorphous regions is less conductive Moreover not all the grains are conductive and also not all the grains present the same conductivity Possible interference effects between conductivity and topography have also been explored Usually such effects result in higher conductivity due to an increased contact area within the valleys with respect to the hillocks but the present results show the opposite behaviour and therefore interference with topography may be excluded The conductive grains shown in Fig 2 are almost homogeneously distributed in the map but this is not always the case Fig 3 shows an example of a C-AFM map of the sample 7365 This map clearly shows large non conductive regions that correspond to large hillocks in the corresponding topography map (not shown here) A similar behaviour has been observed in several samples mainly with large crystallinity values

Figure 4 shows a plan view low magnification TEM micrograph of the same sample 7365 Even if Fig 3 and Fig 4 do not refer to same area of the sample some common peculiar characteristics can be noted clusters of large grains (non conductive in Fig 3) are surrounded by smaller grains Higher magnification TEM analyses have shown that the nanocrystals contain numerous twins while the boundaries between the nanocrystals appear to be amorphous

Fig 2 AFM (left) and C-AFM (right) maps of the sample 7658_7x obtained simultaneously The measured height values (left) are in the range [0-20] nm the current values (right) are in the range [10-500] pA

10

500 pA

304 A Cavallini et al

4 Discussion and Conclusions nc-SiH is a composite material composed of nanocrystalline aggregates amorphous tissue grain boundaries voids impurities and defects Numerous papers have been published on macroscopic transport measurements in this system and essentially several different current flow scenarios have been proposed [3and refs therein] In the high crystallinity values regime two additional possible scenarios have been considered transport via the crystallites columns [2] and transport in the disordered tissue [3] that encapsulates them Therefore the main problem concerning electrical conduction is where the current flows The present microscopic analyses show that the current flows mainly through the nanocrystalline aggregates which constitute the electrical network

References 1 Shah A V Meier J Vallat-Sauvain E Wyrsch N Kroll U Droz C and Graf U 2003 Sol Energy

Mater Sol Cells 78 469 2 Rezek B Stuchlyacutek J Fejfar A and Kočka J 1999 Appl Phys Lett 74 1475 3 Azulay D Balberg I Chu V Conde J P and Millo O 2005 Phys Rev B 71 113304 4 Binetti S Acciarri M Bollani M Fumagalli L von Kaumlnel H and Pizzini S 2005 Thin Solid

Films 487 19 5 Pizzini S Acciarri M Binetti S Cavalcoli D Cavallini A Chrastina D Colombo L Grilli E

Isella G Lancin M Le Donne A Mattoni A Peter K Pichaud B Poliani E Rossi M Sanguinetti S Texier M and von Kaumlnel H 2006 Mater Sci Eng B 134 118

6 Texier M Acciarri M Binetti S Cavalcoli D Cavallini A Chrastina D Isella G Lancin M Tomasi A Pichaud B Pizzini S and Rossi M 2007 this Proceedings volume

Fig 3 C-AFM map of sample 7365with DC bias applied to the probe equal to 3V The current values are in the range [10-400] pA

Fig 4 Low magnification plan-viewTEM micrograph of sample 7365

10

400 pA

Structural Characterization of Nanocrystalline Silicon Layers Grown by LEPECVD for Optoelectronic Applications M Texier M Acciarri1 S Binetti1 D Cavalcoli2 A Cavallini2 D Chrastina3 G Isella3 M Lancin A Le Donne1 A Tomasi1 B Pichaud S Pizzini1 and M Rossi2

TECSEN UMR 6122 CNRS Universiteacute Paul Ceacutezanne Aix-Marseille III 13397 Marseille cedex 20 France 1 Dipartimento di Scienza dei Materiali Universitagrave di Milano-BicoccaVia Cozzi 53 Milano Italy 2 Dipartimento di Fisica Universitagrave di Bologna Viale Berti-Pichat 6a Bologna Italy 3 LNESS Dipartimento di Fisica del Politecnico di Milano Polo Regionale di Como Via Anzani 42 Como Italy Summary Structural analysis of nanocrystalline silicon layers deposited on oxidized and non-oxidized silicon substrates and on glass substrates by low-energy plasma-enhanced chemical vapour deposition was carried out by means of transmission electron microscopy Low magnification and high resolution observations of specimens performed in plan-view and cross section are described and discussed These results are compared to those obtained by atomic force microscopy Growth modes and relevant structural changes are tentatively correlated to experimental parameters 1 Introduction Nanocrystalline silicon (nc-Si) is considered as a promising material for optoelectronic applications [12] since nc-Si layers show high light absorption efficiency and may give rise to quantum confinement effects Recently such nc-Si layers have been deposited on oxidized and non-oxidized silicon substrates by low-energy plasma-enhanced chemical vapour deposition (LEPECVD) at different temperatures using various dilution ratios of the gaseous precursors [3] This technique allows high enough deposition rates (of a few nms) for mass production Nevertheless future industrial prospects require the determination of quantitative correlations between growth parameters and structural optical and electrical properties

This study is part of a 3-year project the purpose of which is the characterization and modelling of nc-Si layers deposited by LEPECVD Structural characterization was performed using transmission electron microscopy (TEM) and compared to results obtained by atomic force microscopy (AFM) 2 Experimental details Nanocrystalline Si layers have been grown on Czochralski (CZ) silicon and thermally oxidized CZ wafers and on glass substrates by means of LEPECVD using the apparatus already described in [45] Substrate nature deposition temperature and dilution ratio d=Φ(SiH4)[Φ(SiH4)+Φ(H2)] were variable experimental parameters adjusted for modifying the deposited layer structure TEM analyses have been performed on specimens coming from sets which were deposited at temperatures between 210degC and 280degC and whose dilution ratio d ranged from 1 to 40 Raman spectroscopy measurements were performed on each specimen prior to the TEM analyses showing that crystallinity regularly decreases as d increases

306 M Texier et al

a b

Fig 1 Plan view TEM images of the nc-Si layers a) Low magnification TEM micrograph The image is slightly underfocused which emphasizes the grain boundaries The diameter of the crystalline domains is estimated to be between 5 to 30 nm b) HRTEM micrograph Smaller disoriented areas may be distinguished within the domains

TEM thin foils for plan-view (PV) observations were prepared by mechanical thinning of the

substrate followed either by chemical etching using a HF(01)HNO3(09) solution or by ion milling using a Gatan Dual Ion Mill Mod 600 Sandwiches for cross-sectional observations were first mechanically thinned until a thickness of about 20microm was reached Then electron transparency was achieved by ion milling using a Gatan PIPS Mod 691

Low magnification TEM observations were performed with a FEI Tecnai G2 microscope operating at 200kV and high resolution TEM (HRTEM) images were obtained using a JEOL 2010F microscope operating at 198kV at the CP2M laboratory (Univ Paul Ceacutezanne) 3 Results 31 Nanocrystalline Columnar Growth Surface examination using scanning electron microscopy (SEM) of nc-Si layers deposited on oxidized (T=250degC and 280degC) and non-oxidized Si substrates (210degCltTlt240degC) indicates that the surface presents a grainy aspect the apparent grain size being estimated at between 10 and 50 nm These observations are confirmed by surface analysis using atomic force microscopy (AFM) and conductive atomic force microscopy (C-AFM) [6] which give grain size estimations of the same order (50-100 nm)

Low magnification TEM images of nc-Si layers seen in plan view show many small crystalline domains (see Fig 1a) separated by seemingly amorphous boundaries The size of the domains is quite heterogeneous ranging between 5 and 30 nm HRTEM images revealed the presence of nanometric disoriented subdomains within the nanocrystals (Fig 1b) These nanometric subdomains seem to be separated by crystalline boundaries ie undisordered boundaries

The microstructure of the deposited layer was investigated in cross-section by low magnification and high resolution TEM Low magnification TEM images clearly show that the growth is columnar (Fig 2a) The column diameter was found to be between 5 and 25 nm in good agreement with the nanograin size measured from plan view TEM observations Dimension of the columns in the growth direction is usually greater than a few hundred nanometres

Structural Characterization of Nanocrystalline Silicon Layers Grown by LEPECVD 307

a b

Fig 2 Examples of Si layers showing a columnar structure seen in cross section a) Low magnification TEM micrograph b) HRTEM image of a nanocrystalline column The white arrow indicates the growth direction Numerous twins are observed within the column

The HRTEM micrographs (see Fig 2b) also revealed the presence of numerous twins within the

columns Analysis of several twinned nanocrystals allowed us to determine the nature of the twin boundaries which are Σ3111 type boundaries From the various TEM analyses (HRTEM and SAED) it was not possible to ascertain a preferential crystallographic direction for the column growth axis

Nanocrystalline Si layers deposited on glass also contain numerous cylindrically-shaped nanocrystals elongated along the growth direction The diameter of the nanocrystals is usually smaller than 15 nanometres and the length may reach a few tens of nanometres However it must be emphasized that the length of the nanocrystals is significantly lower than the length of those observed in previously cited specimens (which may reach hundreds of nanometres) In addition no drastic microstructural changes have been observed between samples deposited using different dilution ratios d (ie different χc values) contrarily to the morphological changes which were evidenced from AFM and C-AFM analyses [6] 32 Polymorphous Growth The nc-Si layers deposited on non-oxidized Si substrates at 280degC present a morphology significantly different than those of the previously analyzed nc-Si layers grown on oxidized substrates A few significant features common to all these specimens can be distinguished - the initial growth stage of the nc-Si layer consists of an epitaxial layer as shown in Fig 3a - the morphology of the nc-Si layer gradually changes along the growth direction the structure of the layer initially monocrystalline near the interface evolves with the progressive occurrence of planar defects and amorphous areas The layer is progressively formed by numerous crystalline columns separated by amorphous areas as the observation area is moved away from the film-substrate interface The length of the columns is usually greater than a few tens of nanometres - the diameter of the columns decreases along the growth direction leading to their progressive disappearance Near the sample surface (ie far from the interface) only very small crystalline clusters embedded in an amorphous phase are observed the main part of the film becoming amorphous The small number of crystalline clusters in the superficial area of the samples is confirmed by the observations performed in plan view (Fig 3b) Finally the nc-Si layer microstructure may be sketched as follows (i) an epitaxial layer close to the interface containing numerous planar defects such as twins mainly located in 111 planes (ii) far away from the interface a transition zone which consists of many faulted crystalline columns separated by amorphous areas

308 M Texier et al

a b

Fig 3 HRTEM micrograph of the nc-Si layer deposited on non-oxydized Si substrate at 280degC a) Cross-section view of the microstructure close to the interface the growth is epitaxial Numerous defects are observed in the deposited layer b) Plan view HRTEM micrograph showing the superficial layer of the deposited nc-si film

(iii) close to the sample surface an amorphous matrix containing a few isolated nanocrystalline clusters

It must be noted that no abrupt boundaries separate these three domains the transition from one domain to another being very smooth However the respective thicknesses of the successive domains may be roughly estimated as about 100-200 nanometres for the epitaxial and the columnar sections The nanocrystalline domain appears to be quite homogeneous over long distances and seems to extend up to the sample surface 4 Conclusion The Raman spectroscopy TEM and AFM analyses performed on nc-Si layers deposited by the LEPECVD technique on various substrates allowed us to draw some correlations between growth parameters and layer structure In particular a regular crystallinity decrease with increasing dilution ratio was observed Deposition temperature also appears as a key point for controlling the growth modes that can lead to different nanostructures depending on the substrate nature The presence of numerous twins within the crystalline areas constitutes a common feature for all the studied specimens References 1 Roca i Cabarroscas P Fontcuberta i Morral A and Poissant Y 2002 Thin Solid Films 403-404 39 2 Gelloz B and Koshida N 2006 Thin Solid Films 508 406 3 Binetti S Acciarri M Bollani M Fumagalli L von Kaumlnel H and Pizzini S 2005 Thin Solid

Films 487 19 4 Rosenblad C Deller H R Dommann A Meyer T Schroeter P and von Kaumlnel H 1998 J Vac

Sci Technol A 16 2785 5 Pizzini S Acciarri M Binetti S Cavalcoli D Cavallini A Chrastina D Colombo L Grilli E

Isella G Lancin M Le Donne A Mattoni A Peter K Pichaud B Poliani E Rossi M Sanguinetti S Texier M and von Kaumlnel H 2006 Mat Sci Eng B 134 118

6 Cavallini A Cavalcoli D Rossi M Tomasi A Pichaud B Texier M Pizzini S Chrastina D and Isella G this Proceedings volume

Electron Tomography of Mesoporous Silica for Gas Sensor Applications E Rossinyol F Bohils F Cardoso H Montoacuten M Roldaacuten M Rosado A Saacutenchez-Chardi O Castell and M D Baroacute Microscopy Service Universitat Autogravenoma de Barcelona Edifici C Facultat de cliegravencies 08193 Bellaterra Spain Summary The complex 3-D structures of some materials must be visualized and analyzed for structural characterization often as part of quality control of a synthetic process In this work we applied electron tomography for the 3-D reconstruction of a mesoporous SBA-15 silica structure doped with platinum as a catalytic additive We used a 200kV JEOL 2011 transmission electron microscope with a plusmn60 degrees tilting holder Digital Micrograph software was used for automatic acquisitions and Imod software for the digital reconstruction Moreover we use a combination of microscopy techniques scanning electron microscopy and confocal scanner laser microscopy in reflection mode 1 Introduction Mesoporous nanomaterials have been widely studied in the development of catalytic systems due to their large controllable pore size and high surface area [12] They have very many potential applications including uses as nanosensors and as electronic photonic or magnetic nanodevices The complex 3-D structures of some of these materials must be visualized and analyzed using structural characterization often as part of quality control of a synthesis process [3] The physical and chemical properties of these materials can be dramatically modified by functionalizing their surfaces with noble metals or metal oxides [4-7] The use of SBA-15 mesoporous silica as a novel selective filter for gas sensors has been reported It has been demonstrated that a SnO2-based thick-film gas sensor showed higher selectivity to CH4 in CH4CO gas mixtures when it was covered with a layer of PtPd-loaded mesoporous silica [8 9]

Conventional electron microscopy provides only a two-dimensional projection of a three-dimensional object Electron tomography however is able to reveal the full three-dimensional structure by acquiring a series of images from different directions to obtain a real sample structure This imaging technique is now used both in the biological sciences and in material science to study complete 3D structures on the nanoscale

In this work we have used Electron Tomography for the understanding of silica SBA-15 structure with platinum loaded as a catalytic additive We have used a 200kV JEOL 2011 TEM with a plusmn60 degrees tilting holder Digital Micrograph software was used for automatic acquisitions and Imod software for the digital reconstruction [10] In addition to electron tomography we used a combination of microscopy techniques scanning electron microscopy (SEM) and confocal laser scanning microscopy (CSLM) working in reflection mode It was demonstrated how useful confocal microscopy in connection with 3-D image analysis can be for estimating different geometrical parameters of the microscopic structure of aggregated silica including its three-dimensional visualization

310 E Rossinyol et al

2 Experimental 21 Synthesis SBA-15 mesoporous silica was synthesized in acidic conditions using the Pluronic P123 triblock copolymer (EO20PO70EO20) as a template and tetraethyl orthosilicate (TEOS 98 Aldrich) as a silicon source [1112] A solution with 6 g of P123 was dissolved in 195 g distilled water and 30 g of concentrated HCl (35) was prepared and stirred for 6 h at 35 C 1249 g of TEOS was added at the mixture and stirred for 24 h at 35 degC and then heated at 100 degC for another 24 h as a hydrothermal treatment The solid product was filtered washed dried at room temperature in air atmosphere and calcined at 550 degC for 4 h Pt additive was introduced by impregnation of the SBA-15 silica with an aqueous solution of ammonium tetrachloroplatinate followed by slow evaporation of the water 22 Characterization CSLM was carried out using a Leica SPII instrument Wavelengths of the excitation laser beams were in the UV (351 and 364 Ar) blue (488 nm Ar) green (514 and 543 nm ArHeNe) and red (633 nm ArHeNe) ranges

SEM images have been obtained using an Hitachi S-570 and backscattered images have been recorded from a JEOL JSM-6300 TEM characterization has been carried out using a 200kV JEOL 2011 with a plusmn60 degree GATAN tilting holder for the acquisition of the tilt-series of images together with the IMOD software [10] for the computer reconstruction 3 Results and Discussion The structure of mesoporous silica has been studied from different points of view From the macroscopic point of view we have been working in reflection mode in order to analyze the compactness level of silica aggregates With this aim we have obtained series of selected sections ordered correlatively from the top to the bottom of the acquisition Each optical section details the particular depth at which it was imaged The total thickness of the analyzed region is about 187 microm and the voxel size is 290 by 290 by 814 nm3 A 3D extended focus projection is shown in

Fig 1 Extended focus (Left image) the image is divided into three frames that represent the maximum intensity projection for the x-y x-z and y-z planes Each image represents the maximum reflection emitted in the range of 480 to 490 nm when excited at 488 nm 70 optical sections 28 microm silica aggregated thickness The voxel size is 233 by 233 by 407 nm Right image Three-channel image of reflection created by the SFP method 70 x-y optical sections from a silica aggregate of 28 microm thickness

y-z plane

x-y plane

x-z plane

Electron Tomography of Mesoporous Silica for Gas Sensor Applications 311

Fig 1 Moreover we have also obtained images by the simulated fluorescence process (SFP) An SFP image is a three-dimensional simulation that enhances the fluorescence of surface layers and embosses all channels as if they were illuminated from an oblique angle With this technique we could check that silica structures are not forming compact clusters but rather small aggregates randomly dispersed inside the bulk material

Fig 2 a) and b) SEM images of SBA-15 mesoporous silica aggregates c) detailed backscattered image of a platinum loaded silica structure

a b c

Fig 3 Selected TEM images of the material particle at different orientations The last two images correspond to a z axis reconstruction with the pores of the silica clearly visible

+60 ordm +50 ordm +40 ordm

0 ordm -40 ordm -50 ordm

-60 ordm

500 nm

312 E Rossinyol et al

SEM images (Fig 2) show the typical hexagonal structure of SBA-15 silica powders Images obtained from backscattered electrons confirm the presence of platinum in the sample However it is impossible to determine the exact location of platinum clusters in the silica matrix Therefore TEM studies have been performed in order to analyze the platinum organizationWe have obtained a 3-D reconstruction of SBA-15 mesoporous silica by acquiring TEM BF micrographs at a large tilt angle range from 60ordm to -60ordm Each 2-D TEM micrograph will act as a projection slice of the final 3-D reconstruction Using this technique it has been possible to confirm the presence of the platinum in the surface and the inner pores of the silica template In Fig3 we present some TEM tilt slices From this series we can distinguish between particles placed on the surface of the silica which show a large radius rotation along the silica aggregate surface perimeter and particles placed in the inner pores which remain always in a similar position of the silica Finally in the last two images shown in Fig3 we can observe a z axis reconstruction which confirm the presence of platinum located in the inner pores of the silica A detailed image of the pore structure is also presented with the 9 nm pore structures clearly visible

4 Conclusions SEM and confocal microscopy are excellent techniques for the study of the distribution and three dimensional structure of silica aggregates CSLM has allowed us to confirm that the powders are composed of small aggregates randomly dispersed inside the bulk material and no compact material has been found to be present SEM images have shown the hexagonal structure of the silica and the presence of platinum

Finally we have also reported the use of electron tomography for the 3-D reconstruction of this mesoporous SBA-15 silica structure doped with platinum as a catalytic additive We have used a 200kV JEOL 2011 TEM with plusmn60 degree tilting holder for the acquisition of the tilt-series of images and also the IMOD software for the computer reconstruction This technique has made it possible to confirm the presence of the platinum in the surface and the inner pores of the silica template References 1 Joo S H Choi S J Oh I Kwak J Liu Z Terasaki O and Ryoo R 2001 Nature 412 169 2 Trong On D Desplantier-Giscard D Danumah C and Kaliaguine S 2001 Appl Catal A 222

299 3 Kageyama K Tamazawa J and Aida T 1999 Science 285 2113 4 Koster A J Ziese U Verkleij A J Janssen A H and de Jong K P 2000 J Phys Chem B 104

9368 5 Zhou W Thomas J M Shephard D S Johnson B F G Ozkaya D Maschmeyer T Bell R G and

Ge Q 1998 Science 280 705 6 Cai W Zhang Y Jia J and Zhang L 1998 Appl Phys Lett 73 2709 7 Ryan J V Berry A D Anderson M L Long J W Stroud R M Cepak V M Browning V M

Rolison D R and Merzbacher C I 2000 Nature 406 169 8 Cabot A Arbiol J Cornet A Morante J R Chen F and Liu M 2003 Thin Solid Films 436 64 9 Cabot A Arbiol J Rossinyol E Morante J R Chen F and Liu M 2004 Electrochem Solid-State

Lett 7 G93 10 Kremer JR Mastronarde D N and McIntosh J R 1996 J Struct Biol 116 71 11 Zhu K He H Xie S Zhang X Zhou W Jin S and Yue B 2003 Chem Phys Lett 377 317 12 Zhao D Feng J Huo Q Melosh N Fredrickson G H Chmelka B F and Stucky G D 1998

Science 279 548

Electron Energy-Loss Spectrum Imaging of an HfSiO High-k Dielectric Stack with a TaN Metal Gate M MacKenzie1 A J Craven1 D W McComb2 C M McGilvery12 S McFadzean1 and S De Gendt3 1Department of Physics amp Astronomy University of Glasgow Glasgow G12 8QQ 2Department of Materials Imperial College London London SW7 2AZ 3IMEC Kapeldreef 75 B-3001 Leuven Belgium Also at KU Leuven B-3001 Leuven Belgium Summary A Si(100)SiO2HfSiOTaNpoly-Si gate stack is investigated using analytical electron microscopy and in particular electron energy-loss spectroscopy Oxygen is shown to be present in the TaN layer and at the TaNpoly-Si interface in the form of oxidised TaN and SiO2 respectively Phase separation of the HfSiO into crystalline HfO2 and amorphous SiO2 is also observed with a resulting widening of the SiO2 layer 1 Introduction Hf-based systems are among the high-k materials currently being investigated for use as the gate dielectric to replace amorphous SiO2 and Si(ON) in Si MOSFETs Poly-inserted metal gates are being used to remove problems associated with poly-Si gate electrodes while facilitating integration [1-3] From electrical measurements it is becoming clear that the thermal budget required to process a device causes significant changes in the behavior of metal gate systems [4] As a general observation one can conclude that the work function of most materials shifts towards midgap position upon application of thermal budgets above ~700-900 ordmC Thus it is likely that there are significant interactions between the metal and the dielectric Interface interactions occurring at the metalpoly interface can also have an adverse effect on device performance

We are investigating a range of HfO2 and HfSiO based stacks with TiN or TaN inserted poly gates with transmission electron microscopy (TEM) The effect of different deposition methods and processing treatments on physical and chemical properties are probed In particular interface reactions occurring between the layers in the stack are investigated using the electron energy-loss near-edge structure (ELNES) in electron energy-loss spectroscopy (EELS) data to extract information on the phases present Since the local bonding and coordination of the atom determines the ELNES it is possible to separate out the contributions to an edge from atoms in different chemical environments This is achieved by modelling the edge shape as a linear combination of the ELNES from appropriate standards Spectrum imaging is a powerful technique in which one or more spectra are recorded at each point either along a line or in an area [5] Combined with EELS in scanning TEM (STEM) mode it allows the spatial distribution of different phases present to be profiled or mapped 2 Experimental The Si (100) wafer surface was etched by a HFH2O (1100) solution to remove the native oxide and subsequently exposed to an O3DI water cleaning sequence resulting in the growth of ~1 nm chemical oxide Approximately 4 nm of HfSiO (co-deposition of 70 HfO2 and 30 SiO2) was deposited by metal organic chemical vapour deposition (MOCVD) After a standard degas treatment at 330 degC for 40 s the wafer was covered with 10 nm of TaN by physical vapour

314 M MacKenzie et al

deposition (PVD) The wafer was capped additionally with 100 nm of amorphous Si Finally the stack was activated by annealing at 1000 degC for 10 s during which the amorphous Si crystallised into activated poly-Si In between depositions the wafer surface was exposed to the clean room atmosphere as it was moved between process tools and in particular after the HfSiO and TaN deposition steps There was no vacuum break between the degas and the TaN deposition

Cross-sectional TEM specimens were prepared from the wafer by standard grinding polishing dimpling and ion milling methods The single crystal Si substrate was used to orientate the sample such that the growth direction was perpendicular to the electron beam direction To aid interpretation of the data from the stack EELS analyses were also performed on a commercial monoclinic HfO2 powder TaOx data from an oxidized Ta layer in a magnetic multilayer stack were also used for comparison [6]

The specimens were examined in an FEI Tecnai F20 TEMSTEM operated at 200kV and equipped with a field emission gun a Gatan ENFINA electron spectrometer and a fast beam switch allowing dual energy EELS acquisition [7] Spectrum imaging was performed using Gatan DigiScan II and Digital Micrograph software Various spectrum images were recorded across the high-k dielectric stack covering the energy ranges of the low loss spectra and core loss edges from Si Hf Ta N and O However because of the space limitation only results from the N and O K-edges are discussed below A ~05 nm diameter probe with a convergence semi-angle of 9 mrad and a spectrometer collection semi-angle of 27 mrad were used for the data presented here A dispersion of 03eVch and an integration time of 5 sec per pixel were used

3 Results and Discussion Figures 1 and 2 are a conventional bright field TEM image and a high resolution TEM (HRTEM) image of the stack respectively Several observations can be made from these images The HfSiO layer appears non-uniform in thickness this is particularly obvious in Fig 1 but can also be observed in Fig 2 The crystallinity of the HfSiO layer seen in the HRTEM image indicates that the HfSiO has phase separated into crystalline HfO2 and amorphous SiO2 [8] The SiO2 layer is wider than the ~1nm chemical oxide most likely as a result of segregation of the SiO2 from the HfSiO to this region In the TaN layer some of the grains extend throughout the TaN layer but others do not There appears to be amorphous material present at the TaNpoly-Si interface and also between some of the TaN grains at the upper surface of the layer

Figure 3a shows a high angle annular dark field STEM (HAADF STEM) image of the sample The intensity in a HAADF image is approximately determined by the mean atomic number squared and the thickness of the material being imaged and is termed Z contrast Thus the TaN and HfSiO layers appear brighter than the Si SiO2 and poly-Si regions Dark notches can be seen to penetrate into the TaN layer from its upper surface These regions are at TaN grain boundaries and are likely to correspond to the amorphous material observed in HRTEM An EELS spectrum image covering the energy range of the N K-edge (~400 eV) and O K-edge (~532 eV) was acquired along the horizontal white line illustrated on the STEM image Background removal under each edge was performed by fitting a function AE-r to the background preceding the edge extrapolating it under the edge and then subtracting it N and O elemental distribution profiles were created from the spectrum image by integrating the counts in these background subtracted edges in ~25eV windows from the edge onsets The electron signal on the HAADF detector was also acquired pixel by pixel in the spectrum image [7] and is shown along

Fig 1 Bright field TEM image of the stack

Fig 2 HRTEM image of the stack

EELS Imaging of an HfSiO High-k Dielectric Stack with a TaN Metal Gate 315

with the elemental profiles in Fig 3b The N profile shows the expected presence of N in the TaN layer but the level decreases towards the upper surface In the O profile O is observed in the SiO2 and HfSiO regions as expected but is also present in the TaN layer the level of O in the TaN increases towards the TaNpoly-Si interface where it peaks and then decreases The PVD TaN layer is actually Ta rich with a composition close to Ta2N [9] and is therefore likely to oxidise with the possible formation of Ta2O5 or TaOxNy [10] We can use the O K-edge ELNES to investigate the phases in which O is present in the different parts of the stack

Figure 4a shows the O K-edge region of the spectrum image after background removal the intensity is directly related to that of the O K-edge at a particular position and energy-loss Thus the bright areas in Fig 4a correspond to the presence of O The horizontal axis corresponds to energy loss while the vertical axis is the position across the oxide layer The Si substrate is at the top and the poly-Si is at the bottom The differences in the relative intensity between O K-edges are indicative of O being present in more than one phase in the sample 5 distinct O containing regions marked by arrows are observed Figure 4b shows the O K-edges in these 5 different regions extracted by summing the spectra together It is clear from the different ELNES that O is present in more than one chemical environment The O K-edge in the TaN layer is noisy but is in reasonable agreement with that from oxidised Ta [6] Two different O K-edge shapes are found at the TaNpoly-Si interface The edge on the poly-Si side is an excellent match to that from the SiO2 region On the TaN side the edge has extra intensity at ~533eV This requires further investigation but is possibly indicative of oxygen containing beam sensitive material - perhaps some residual fully oxidised Ta which has damaged in the electron beam during acquisition The edge from the HfSiO layer can be modelled by multiple linear least squares (MLLS) fitting using a combination of O K-edges from HfO2 and amorphous SiO2 [8]

(a)

(b)

0 10 20 30distance (nm)

NOHAADF

Si TaN poly-SiHfSiOSiO

Fig 3 (a) HAADF STEM image of the stack (b) O and N elemental profiles and HAADF signal extracted from a spectrum image acquired along the white line in (a) These have been scaled to the same maximum height for display

(a)

(b)

525 550 575 600Energy-loss (eV)

HfSiO

TaNpoly-Si

TaNpoly-Si

SiO2

oxTaN

(c)

0 10 20 30distance (nm)

total OSiOHfOoxTaN

2

2

Si TaN poly-SiHfSiOSiO

Fig 4 (a) Background subtracted O K-edge region of the spectrum image (b) O K-edge ELNES observed in different regions marked by arrows in (a) (c) Oxygen elemental profile with results of MLLS fitting of O K-edge ELNES

316 M MacKenzie et al

Applying MLLS fitting to all the O K-edges in the spectrum image using O K-edge shapes from the SiO2 layer the HfO2 reference powder and the oxidised TaN normalised to the same intensity gives the distribution of these O environments across the stack The data was fitted in the range 525-550eV and the resulting weights from the fits are shown in Fig 4c One can see that SiO2 is mainly present in the SiO2 region and at the TaNpoly-Si interface The SiO2 profile gradually decreases through the HfSiO layer which mainly consists of HfO2 in this part of the sample The SiO2 part of the HfSiO layer appears to have mainly segregated to the SiO2HfSiO interface thereby increasing the width of the SiO2 layer While segregation of the HfSiO along the layer may also occur no evidence of this has been observed in this sample in either the HAADF STEM images or in the EELS analysis performed to date The HfO2 profile peaks in the HfSiO layer and again at the TaN surface This second smaller peak is not a real HfO2 signal but a result of a missing fit component As we have not included an O K-edge shape from the damaged phase in the MLLS fit the routine has used a combination of the HfO2 edge with the oxidised TaN edge to fit the shape in this region

It is likely that TaN oxidation occurred during a vacuum break in the wafer processing Oxidation of TaN can result in the formation of a surface layer of Ta2O5 or TaOxNy [10] Possibly during the amorphous Si deposition this oxidised material reacts with the Si to form SiO2 Studies on the dark notches in the HAADF images of the TaN layer are currently underway EELS low loss spectra and data from other core loss edges are also being investigated 4 Conclusions EELS spectrum imaging across the high-k dielectric stack showed the presence of O in the TaN layer and at the TaNpoly-Si interface The O K-edge shapes in the TaN layer and interface match those of oxidised Ta and SiO2 respectively Formation of a dielectric layer between the TaN metal electrode and the poly-Si connecting electrode reduces the junction capacitance and hence device performance The observed phase separation of the HfSiO and resulting widening of the SiO2 layer are also undesirable Thus better control of both the layer materials and the interfaces is important if the desired performance is to be achieved Hence it is imperative that high-k dielectric stacks to be used in future CMOS technologies are investigated with techniques such as the ones used here in order to improve understanding of the work function behaviour Acknowledgements

The authors would like to thank B Miller for technical assistance and the University of Glasgow and EPSRC for financial support References 1 Chau R et al 2005 Microelectron Eng 80 1 2 Hobbs C et al 2003 Symp VLSI Technol Digest 9 3 Cho H-J et al 2004 IEDM Tech Digest 503 4 Schaeffer J K et al 2003 J Vac Sci Technol B 21 11 5 Jeanguillaume C and Colliex C 1989 Ultramicroscopy 28 252 6 MacKenzie M et al P 2006 J Phys Conf Series 26 169 7 Craven A J et al 2006 Proc 16th Int Microsc Cong eds H Ichinose and T Sasaki (Sapporo

Japan) p 1135 8 McComb D W et al 2004 Appl Phys Lett 84 4523 9 Trojman L et al 2005 Microelectron Eng 80 86 10 Ibidunni A O 1993 Oxid Met 40 5

Elemental Profiling of III-V MOSFET High-k Dielectric Gate Stacks Using EELS Spectrum Imaging P Longo A J Craven J Scott M Holland1 and I Thayne1 Dept of Physics and Astronomy University of Glasgow Glasgow G12 8QQ UK 1 Dept of Electronics and Electrical Engineering University of Glasgow Glasgow G12 8LT UK Summary In this paper a quantitative determination of the elemental distribution across a GaAsGa2O3GGO dielectric gate stack is presented and the analysis discussed The EELS spectrum imaging technique is described and the data analysis discussed 1 Introduction Up to now increased MOSFET performance has been obtained essentially by the reduction of all dimensions of the basic MOSFET structure As a result the thickness of the gate dielectric is now approaching the point where further reduction will lead to a dramatic increase in the gate leakage current and solutions involving high-k dielectrics on silicon are being considered for the 45nm node [1] As the 22nm node is approached further problems are envisaged and GaAs n-type MOSFETs offer a potential way forward as part of a solution in tandem with a p-type MOSFET on a different substrate GaAs offers a five times advantage of n-type mobility over Si along with the band-gap engineering that is possible in III-V systems However pinning of the Fermi level by interface states has prevented successful MOSFET devices being made until recently Passlack et al [2] have shown that a template layer of Ga2O3 grown on an As-terminated GaAs surface by molecular beam epitaxy (MBE) unpins the Fermi level Using Ga2O3 alone leads to a large leakage current This can be suppressed by incorporating Gd into the dielectric after the first 15nm giving gadolinium gallium oxide (GGO) Using this technology the Glasgow Group has made GaAs MOSFETs with the best reported performance to date [3] This dielectric stack is usually grown by MBE using 3 different fluxes on the GaAs substrate Initially the Ga2O3 template layer is grown by Ga2O and oxygen fluxes and after there is an additional flux of Gd in order to create the amorphous GGO The quality of the resulting gate stack is then evaluated using a variety of methods including photoluminescence C-V and magneto-transport measurements The structure and chemistry are investigated by (scanning) transmission electron microscopy ((S)TEM) and electron energy loss spectroscopy (EELS)

The combination of EELS and STEM allows spectrum imaging (SI) ie the acquisition of one or more spectra at each spatial pixel Potentially sub-nm resolution can be obtained but the sensitivity of the GGO to the electron beam imposes a limit on spatial resolution in this system Nonetheless SI offers substantially improved lateral spatial resolution and similar depth resolution for investigating composition and chemistry when compared to techniques such as secondary ion mass spectrometry (SIMS) X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectroscopy (RBS) In this paper we describe a method for mapping the distribution of all the elements across the dielectric stack using EELS SI 2 Experimental Method Material growth was carried out by MBE on a 4rdquo semi-insulating GaAs substrate using a dual chamber system The Ga2O3GGO gate stack consists of three layers a crystalline Ga2O template layer which unpins the GaAs surface an amorphous Ga2O3 layer which protects the interface from Gd migration and an amorphous GaGdO layer which controls leakage current [4] TEM specimens were prepared by conventional cross-sectioning involving cutting grinding dimpling

318 P Longo et al

and ion milling using a GATAN PIPS EELS data were acquired utilising a Gatan Enfina 1000 EELS spectrometer attached to an FEI Tecnai F20 equipped with a Schottky field emission gun (FEG) and STEM capability Figure 1 shows an annular dark field (ADF) image of the GGO layer on the GaAs substrate The region selected for the spectrum image is shown in the rectangular box The pixel size within the spectrum image is normally chosen to give the required spatial resolution However GGO crystallises under the electron beam and this may involve segregation between phases Thus the pixel size is chosen as 25nm to give the best compromise between spatial resolution and signal to noise ratio (SNR) while remaining at a dose below that causing significant changes in the GGO Since the probe size is much smaller than the pixel size the probe is rastered over the pixel during acquisition a technique defined as sub-pixel scanning This spreads the electron dose more or less uniformly across the pixel rather than concentrating it at the position of the stationary probe

The detailed shape of the ionisation edges gives information on the chemical environment of the elements but this requires both good energy resolution and good SNR Given the dose sensitivity of the GGO determination of the elemental ratios is the first objective By recording a large energy range at lower dispersion it is possible to include the ionisation edges from all the elements of interest

Figure 2 shows one energy slice of the SI The signal to noise ratio in each pixel is rather low for the desired analysis and so the spectra in lines of pixels parallel to the interface can be summed to improve the SNR while essentially maintaining the spatial resolution normal to the interface showing one of the advantages of the SI technique

As said above in principle the use of the EELS SI technique allows us to collect information on Ga As Gd and O in a single dataset One possible set of edges occurs in the energy range 100-550eV which contains the Ga M23-edges at 103eV the Gd N45-edges at 140eV the As M23-edges at 140eV and the O K-edge at 532 as well as the Ga and As M1-edges This set of edges is shown in Fig 3 While the signal levels are high for this set of edges there is considerable overlap and the background shape is severely perturbed by lower lying edges This makes it very difficult if not impossible to use them

The alternative is to use the set lying at higher energies where the overlap and background problems are much reduced but the signals are much lower This set of edges comprise the O K-edge at 532eV the Ga L23-edges at 1115eV the Gd M45-edges at 1184eV and the As L23-edges at 1323eV and is shown in Fig 4

Fig 1 STEM ADFsurvey image used forthe acquisition of EELSspectrum images Theblack box indicates thearea of the SI

20 nm

G aAs

Ga 2O 3

GG O

Fig 2 One energy slice of a 25 x 12 pixel SI By summing the spectra in the pixels in the box parallel to the interface the signal to noise ratio can be improved while maintaining the spatial resolution normal to the interface

20 nm

Fig 3 Background subtracted EELS low-energy edge set

50 150 250 350 450 550eV

inte

nsity

Gd N45-edge

Ga M23-edge

O K-edge

Fig 4 Background subtracted EELS high-energy edge set

500 1000 1500eV

inte

nsity

O K-edge

Ga L23-edge

Gd M45-edge

stray emission

Elemental Profiling of III-V MOSFET High-k Dielectric Gate Stacks Using EELS SI 319

Care needs to be taken to choose the gun operating conditions so that the features in the spectra resulting from stray scattering in the Schottky gun fall sufficiently before Ga L23-edges that they do not perturb the background

The remaining overlap problem is that Gd M45-edges lie on top of Ga L23-edges However the full shape of the Ga L23-edges can be obtained from the spectra from the GaAs in the substrate region By scaling this shape to fit the region prior to the Gd M45-edges in the spectra from the GGO it is possible to extract Gd M45-edges as shown in Fig 5 3 Results By using the high energy dataset in this way it is possible to extract the intensities of the edges Gd O Ga and As edges A 60eV integration was used for each edge Figure 6 shows the GdO GdGa and GaO intensity ratios GdGa and GdO decrease on moving away from the substrate suggesting a decrease in the Gd concentration as the GGO is grown A step in the GaO curve at the left hand side shows the transition region from the Ga2O3 template layer to the GGO

To convert the intensities to atomic fractions or ratios either suitable cross-sections or a suitable standard is required Accurate cross-sections for the Gd M45-edges are not available Thus the first quantitative analysis was performed by calibrating to the composition of one wafer as determined by RBS As RBS is not particularly sensitive to light elements such as O the O concentration is assumed to be 60 throughout the GGO layer in the RBS analysis Figure 7 shows a comparison the Gd concentrations obtained by EELS with those obtained by RBS for a range of wafers using one of them as the calibration The agreement is excellent

However the use of RBS to provide a calibration standard based on the assumption of a 60 O concentration does not allow an independent determination of the O concentration

Thus gadolinium gallium garnet (Gd3Ga5O12) was investigated as a standard with the GaAs substrate allowing the As to be included where necessary Figure 8 shows a comparison between the elemental concentrations determined using the RBS standard and those using the Gd3Ga5O12 standard The Gd concentration obtained from the two standards is in excellent agreement right across the film Near the substrate there is good agreement between RBS and Gd3Ga5O12 results for the Ga and O concentrations as well The fluctuations of the points about a smooth curve suggest a random error on the points of ~05at

However there appear to be systematic changes in the O and Ga concentrations as the top surface of the GGO is approached The O concentration appears to vary from a minimum of 593 near the substrate up to a maximum of 626 at the edge However there is also the possibility that there may be a small systematic error present in the analysis The TEM sample could have surface layers with a modified composition resulting from the TEM specimen preparation Such layers

Fig 5 Ga M23-edges from GaAs scaled to those from GGO in the region before the Gd M45-edges

1110 1210 1310 1410 1510 eV

inte

nsity

GGO

GaAs

Fig 6 Elemental intensity ratios across the oxide layer

0

3

6

9

12

0 10 20 30 40 50 nm

inte

nsity

ratio

GdOGdGa

GaO

x20

x50

Fig 7 Comparison between EELS SI and RBS results for the Gd concentration The dashed line indicates perfect agreement

0

10

20

30

0 10 20 30At Gd by EELS

At

Gd

by R

BS

320 P Longo et al

would have a bigger effect in the thin regions close to the edge of the specimen Multiple scattering could change the shape of the L23-edges as the specimen thickness changes Since the shape from the GaAs is taken adjacent to the GGO the multiple scattering effects would be the same for the regions of GGO close to the substrate but change as the thinner edge is approached Finally the contribution from the stray scattering in the gun becomes more significant as the specimen becomes thinner and this could cause a systematic change of the background under the O K-edge Work is in progress to try to identify such small systematic errors and if found to correct them 4 Conclusions Recording spectra over the energy range from the O K-edge to the As L23-edges allows intensities of characteristic edges from O Ga As and Gd to be obtained with good precision provided the operating conditions are chosen to minimise the interference from the stray scattering arising in the gun The Ga and Gd intensities can be separated successfully using the shape of Ga edges in the GaAs The intensity can be converted to atomic fractions using either calibration to a composition obtained by RBS or directly to a standard of known composition here gadolinium gallium garnet The agreement between the GdO ratios obtained by RBS and those obtained by EELS calibrated to one RBS data point is excellent The random error in the O concentration is ~05 The agreement between the RBS calibration and the garnet calibration is good but there are deviations as the thinner edge of the sample is approached Near the substrate the O fraction is 593plusmn05 and shifted in the direction expected if there is an O deficient surface layer and this increases to 626plusmn05 at the edge of the specimen

Having established a reliable and accurate technique the next step is to determine what spatial resolution normal to the substrate can be achieved in this radiation sensitive system and to explore whether it is possible to gain chemical information about the interface from either chemical shifts or edge shapes

Acknowledgements We would like to thank B Miller and S McFadzean of the University of Glasgow for technical support M MacKenzie of Glasgow University for advice on optimising conditions R Gregory of Freescale Seminconductor Inc for the RBS analysis and The Engineering and Physical Sciences Research Council (EPSRC) Freescale Semiconductor Inc and Scottish Funding Council for funding this work References 1 httpwwwelectronicsweeklycomARTICLES2007013040617Intel+IBM+embrace+high-k

+metal+gates+for+45nmhtm 2 Passlack M Yu Z Droopad R Bowers B Overgaard C Abrokwah J and Kummel A C 1999

J Vac Sci Technol B 17 49 3 Hill R Holland M Li X McIntyre D Moran D A J Stanley C Thoms S Zhou H and

Thayne I G 8th International Conference on Ultimate Integration on Silicon (ULIS) accepted for the proceedings

4 Holland M Stanley C R Reid W Patterson G W Long A R Longo P Scott J Craven A J and Thayne I G J Vac Sci Technol B in press

Fig 8 Comparison between the elemental concentrations determined by using an RBS standard and by using Gd3Ga5O12standard

0102030405060

0 10 20 30 40 50nm

elem

ents

O

Gd

Ga

RBS

Gd3Ga5O12

Low-Energy Ion-Beam-Synthesis of Semiconductor Nanocrystals in Very Thin High-k Layers for Memory Applications C Bonafos S Schamm A Mouti P Dimitrakis1 V Ioannou-Sougleridis1 G Ben Assayag B Schmidt2 J Becker3 and P Normand1 CEMESCNRS 28 rue Jeanne Marvig 31055 Toulouse France 1 IMELNCSRD Aghia Paraskevi Greece 2 FZR POB 510119 01314 Dresden Germany 3 Cambridge NanoTech Inc Cambridge MA USA Summary The fabrication of nanocrystals (NCs) into high-k dielectric matrices instead of SiO2 has retained particular attention for achieving NC memories with low programming voltages and improved charge retention We present here an approach to face the challenge of NC formation into very thin (5-10 nm) high-k dielectrics related to the fabrication by low-energy ion-beam-synthesis of semiconductor (Si Ge) NCs in thin oxide nitride oxide and Al2O3 films The resulting structures were examined by coupling high resolution electron microscopy energy filtered transmission electron microscopy and electron energy loss spectroscopy 1 Introduction Nanocrystal memory (NCM) devices-are competitive candidates for extending further the scalability of Flash-type memories [1-3] Various processmaterials alternatives have been suggested recently to establish a proven NCM technology in the timeframe required by the industry roadmap In this direction the fabrication of NCs into high-k dielectric matrices instead of SiO2 materials has retained particular interest for achieving NCMs with low programming voltages and improved data retention Promising device results using Si or Ge NCs embedded in HfO2 or HfAlO gate dielectrics have been recently presented [4 5]

We present here an approach to face the challenge of NC formation into very thin (5-10 nm) high-k dielectrics This approach relates to the fabrication by ultra low-energy ion-beam-synthesis (ULE-IBS) of semiconductor (Si Ge) NCs in thin oxide nitride oxide (ONO) and Al2O3 films After implantation all samples are annealed at high temperature for the purpose of NC formation The resulting structures were examined by high resolution transmission electron microscopy (HRTEM) in cross-section (XS) to reveal the phase separation as well as to determine the crystallographic nature of the NCs and the degree of crystallization of the surrounding matrix Energy filtered TEM is performed in plan-view to measure the NC size-distribution and surface density Electron energy loss spectroscopy (EELS) is used to analyze the interfacial layer between the high-k film and the Si substrate

Capacitance-to-voltage characteristics of the MIS capacitors with NCs revealed strong hysteresis in terms of flat-band voltage shift after application of gate-voltage round-sweeps These results suggest charge trapping and storage related to the formation of the NCs through the implantedannealed high-k layers 2 Experimental Details For fabricating the ONO stacks double layer dielectric stacks consisting of SiO2 and Si3N4 were initially formed on n-type (100) Si substrates At first a tunnel oxide layer was thermally grown at 850 oC to a thickness of 28 nm Then a Si3N4 film was deposited by low-pressure chemical vapor

322 C Bonafos et al

deposition (LPCVD) The deposition temperature was 800 degC at 200 mTorr resulting to a stoechiometric silicon nitride film having a thickness around 6 nm Low-energy Si+ implantation was performed into these dielectric stacks at 1 keV for a dose of 15x1016 cm-2 Following the implantation step a 6 nm high temperature SiO2 layer was deposited by LPCVD on top of the stack serving as control oxide and subsequently the structure was annealed at 950 degC for 30 min in N2 ambient

The second approach relates to the fabrication by ULE-IBS of Ge NCs into thin Al2O3 films (5-7nm) obtained by atomic layer deposition (ALD) Ge has been implanted at 1keV for a dose of 1016 cm-2 After implantation an additional 10 nm-thick ALD Al2O3 layer was deposited onto all samples and subsequent thermal annealing at 800oC for 20 minutes was performed for the purpose of Ge-NC formation

Specimens were prepared in both cross-section and plan-view for TEM observations using the standard procedure involving mechanical polishing and ion milling Images were taken using a field emission TEM FEI Tecnaitrade F20 microscope operating at 200 kV equipped with a corrector for spherical aberration and the last generation of the Gatan imaging filter series the TRIDIEM The spherical aberration corrector allows high quality HRTEM images with an increased signalnoise ratio and nearly no delocalization effect HRTEM was used to identify the nature and crystallography of the different phases The thickness of the different layers were measured with an accuracy of +-05 nm by using Fresnel imaging i e strongly defocused Bright Field on XS specimen following an original method described in ref [6] For the system consisting of Si NCs embedded within Si3N4 for which the difference in atomic number and density is weak between the particles and the matrix energy filtered TEM (EFTEM) was used to reveal the presence of the particles EFTEM images are formed with the electrons that are selected by a slit placed in the energy-dispersive plane of the spectrometer with a width of 4 eV centered at an energy position of 17 eV (Si plasmon energy) In plan-view EFTEM images the parameters characteristics of the NC population (size-distribution surface density and coverage) can be measured EELS has also be used for a chemical analysis of the high-k layers at the nanometre scale

Generic MONOS (metal-oxide-nitride-oxide-semiconductor) Al gate capacitors were finally fabricated by photolithography and wet etching Control capacitor structures without undergoing the implantation step were also fabricated using the same processes as the implanted samples and examined for comparison purposes 3 Experimental Results and Discussion 31 Si-Implanted ONO Layers Figure 1a shows a XTEM image in defocused bright field conditions of the implanted and annealed ONO sample The thickness of the gate stack is thicker than that of the unimplanted reference sample (not shown) This thickness increase or swelling is well known to occur in implanted thin dielectric layers and here arises mainly from the incorporation and interaction of the implanted Si atoms with the host matrixes [3] HRTEM images (see inset of Fig 1a) reveal the presence of a 2D array of Si crystalline nanoparticles located approximately at 87+-05 nm from the Si substrate Cross-sectional EFTEM analysis (see Fig 1b) shows that these NCs are within a 21 nm-thick band inside the nitride layer The center of this band is located at about 21+-05 nm from the upper silicon nitride-control oxide interface This is in agreement with TRIM simulation predicting a Si ion projected range of 22 nm [7] Plan-view EFTEM examination (Fig 1c) indicates that the NCs are 3-6 nm in size with some of them larger (20 nm) and interconnected A surface density of 2+-06 x1012 particlescm2 corresponding to a coverage of 36 has been evaluated on these images

Figure 2 shows typical C-V characteristics of the reference and implanted samples Measurements were performed in a double sweep mode starting from inversion to accumulation and back to inversion with a bias step of 1 Vs When the bias margins of the double sweep are kept low all examined samples show no hysteresis indicating that injection and trapping within the dielectric stack do not take place (open symbols) Increasing the double sweep gate voltage margins results to charge trapping leading to hysteresis effects in the C-V characteristics (filled symbols)

LE-IBS of Semiconductor NCs in Very Thin High-k Layers for Memory Applications 323

Fig 1 a) Cross-sectional (XS) defocused bright-field TEM image of the implanted sample (1keV 15x1016 Si cm-2) capped with a high temperature oxide and subsequently annealed at 950 oC for 30 min in N2 Inset HRTEM from the same sample showing a Si NC located in the nitride layer b) XS-EFTEM images (at zero loss and 17 eV) showing the location of the Si NC band in the nitride layer c) Associated plan-view EFTEM image after contrast enhancement

For a sweep voltage range of -6 to 6V the reference ONO sample shows a small clockwise

hysteresis of 04 V width corresponding to electron trapping in the nitride layer The implanted sample shows a hysteresis of 22 V for the same double sweep It is therefore evident that the ONO structures are characterized by an increased electron trapping efficiency in the low bias regime

Fig 2 C-V characteristics under gate voltage sweeping conditions of the reference ONO implanted samples

32 Ge-Implanted Al2O3 Layers After annealing at 800degC HRTEM revealed the presence of Ge NCs of 5 nm in diameter occupying the whole Al2O3 layer and located at tunnel distances (1 to 3 nm) from the channel (see Fig 3a) At this annealing temperature the alumina matrix is crystalline (γ-cubic phase) and a thin (1 nm) amorphous interfacial layer separates the Si substrate and the high-k film EELS studies (Fig 3b) in the low loss region as a function of the coordinate perpendicular to the filmsubstrate interface confirm the chemical nature of the NCS and allows to determine the chemical nature of interfacial layer (SiO2-rich) C-V characteristics of the MIS capacitors with NCs revealed strong hysteresis in terms of flat-band voltage Vfb shift after application of gate-voltage round-sweeps (see Fig 4)

No significant hysteresis was detected for the unimplanted reference samples as well as in the case of as-implanted samples These results suggest that charge trapping and storage are related not only to the formation but also to the distribution of the Ge-NCs through the implantedannealed Al2O3 layer

324 C Bonafos et al

Fig 3 HRTEM image of the Ge implanted Al2O3 layer after annealing at 800oC for 20 min and EELS measurements in the low loss region as a function of the coordinate perpendicular to the filmsubstrate interface

Fig 4 C-V characteristics under gate voltage sweeping conditions of the references and of the sample with Ge NCs

4 Conclusions Coupling TEM EELS is mandatory for a full structural characterization of high-k based NC memories HRTEM allows one to reveal the phase separation the crystallography of the NCs and degree of crystallization of the surrounding matrix EELS filtering is needed to show the presence of NCs when the difference in atomic number and densities of the NCsmatrix is too weak and for measuring in plan-view the NC size-distribution and surface density EELS local analysis is used to identify the nature of the interfacial layer between the high-k film and the Si substrate In both the cases studied here (Si and Ge NCs produced by ULE ion beam synthesis within respectively ONO stacks and Al2O3 thin layers) C-V measurements give evidence of charge trapping and storage related to the formation of the NCs through the implantedannealed high-k layers References 1 Tiwari S Rana F Hanafi H Hartstein A Crabbe E F and Chan K 1996 Appl Phys Lett 68 1377 2 Hanafi H I et al 1996 IEEE Trans Electron Devices ED-43 1553 3 Bonafos C et al 2005 Solid-State Electronics 49 1734 4 Cheng J H et al 2004 IEEE Trans Electron Devices 51 1840 5 Lu J Kuo Y Yan J and Lin C 2006 Japan J Appl Phys 34 L901 6 Ben Assayag G Bonafos C Carrada et al 2003 Appl Phys Lett 82 200 7 Biersack J P and Haggmark L G 1980 Nucl Instrum Meth 174 257 httpwwwsrimorg

Si

SiO2

Al2O3

Ge

EELSplasmon

Ge NCs

Si

Al2O3

ILSi

SiO2

Al2O3

Ge

EELSplasmon

Ge NCs

Si

Al2O3

Si

SiO2

Al2O3

Ge

EELSplasmon

Si

SiO2

Al2O3

Ge

EELSplasmon

Ge NCs

Si

Al2O3

IL

Nucleation Crystallisation and Phase Segregation in HfO2 and HfSiO C M McGilvery S McFadzean1 M MacKenzie1 F T Docherty1 A J Craven1 D W McComb and S De Gendt2 Department of Materials Imperial College London London SW7 2AZ UK 1Department of Physics and Astronomy University of Glasgow Glasgow G12 8QQ UK 2IMEC Kapeldreef 75 B-3001 Leuven Belgium also at KU Leuven B-3001 Leuven Belgium Summary Hafnia and hafnium silicate thin films and bulk powders were analysed using thermal analysis X-ray diffraction and transmission electron microscope techniques to improve understanding of the crystallisation mechanism of the dielectric material It was found that thin films exhibit instability under device processing conditions Starting precursors greatly affect the crystallisation pathway in the bulk materials By studying these phenomena a better understanding of the chemistry involved during crystallisation can be gained 1 Introduction As CMOS device scaling reaches atomic limits it is necessary to look for a replacement material for the Si(ON) dielectric layer currently used in the gate stack High-κ dielectric materials such as metal oxides oxynitrides and silicates are being considered for this role but due to the high thermal budget required during device processing concerns remain regarding the stability of the dielectric in contact with silicon Crystallisation within the layer can result in grain boundary formation and diffusion across the layer reducing device performance A key area of concern is with silica and other interfacial structures that form at the silicondielectric and dielectricgate interfaces lowering the overall dielectric of the gate stack Hafnia and hafnium silicate are leading candidates as replacement gate dielectrics Here we investigate the effects of annealing on the dielectric layer in the gate stack To further understand the crystallisation occurring in the gate stack we have prepared and characterised bulk HfO2 and lsquoHfSiOrsquo powders using x-ray diffraction (XRD) thermal analysis and transmission electron microscope (TEM) imaging 2 Experimental Both as-deposited and fully processed thin films were prepared by IMEC using a metal-organic chemical vapour deposition (MOCVD) technique The precursors are TDEAH (tetrakis diethyl amino Hf) and TDMAS (tetrakis dimethyl amino Si) respectively As-deposited films consist of Si(100)SiO2HfSiO and the fully processed films Si(100)SiO2HfSiOpoly-Si materials The target HfSiO layer width was 20nm grown at 650degC and poly-Si 100nm In the fully processed films 100nm of amorphous Si was deposited at 610degC The films were then exposed to an activation thermal anneal at 1000degC for 10s TEM cross-sections were prepared by grinding polishing dimpling and ion milling TEM imaging was carried out in an FEI Tecnai F20 TEMSTEM operating at 200kV Typical imaging conditions were 38keV extraction voltage C1=2000microm C2=70microm objective aperture 100microm and magnification 450kx to minimise electron beam induced damage to the specimens

Amorphous HfSiO powders were prepared by a sol-gel technique using both hafnium oxychloride and hafnium butoxide routes The powders were then furnace heated to different temperatures and analysed using ambient XRD thermal analysis and TEM study

326 C M McGilvery et al

3 Results 31 HfSiO Thin Films The effect of processing on the high-κ layer is considered by looking at as-deposited and fully processed films of varying HfO2SiO2 molar ratio compositions Some of the results are shown in Fig 1 All the as-deposited samples are amorphous before any processing is carried out All samples have a silica layer of 1-2nm in size The width of the HfSiO plus the silica layers is 18-19nm slightly less than the target deposition width of 20nm

Fig 1 TEM images of as-deposited a-c) and fully-processed d-f) samples of varying HfO2SiO2 compositions

The fully processed samples contain a number of differences We observe repeatedly for the

5050 and 7030 HfO2SiO2 samples that after processing the high-κ layer has crystallised For the 3070 HfO2SiO2 sample the HfSiO layer has separated into silica and hafnia rich light and dark regions (~2-5nm) (Fig 1d) This sample has the greatest silica content which is known to increase the crystallisation temperature resistance of the material [1] After processing the HfSiO layer width has narrowed by 1-2nm For the HfSiO layer this may be explained as a result of crystallisation of the layer which causes a reduction in the volume of the material hence causing the layer width to decrease in size [2] However this argument does not work as well for the 3070 sample where we see phase separation but not crystallisation within the layer It is also noted that in both the as-deposited and fully-processed samples as the silica content decreases the roughness of the HfSiOglue or HfSiOpoly-Si increases In the fully-processed samples this may be attributed to the grain size of the crystalline film which increases as hafnium content increases

(a) (b) (c)

(d) (e) (f)

Nucleation Crystallisation and Phase Segregation in HfO2 and HfSiO 327

32 Sol-Gel Powders In the HfSiO thin films there are a number of issues relating to the metastability of the high-κ layer These seem to be linked to the silica content in the layer and need to be further investigated To gain a better understanding of the crystallisation mechanism HfSiO powders were analysed Furnace heated samples were analysed by XRD The results for the HfSiO samples are displayed in Figs 2a and 2b for the oxychloride and butoxide routes respectively Firstly a change in starting materials greatly changes the crystallisation temperature of the powder This has also been observed by differential thermal analysis where the crystallisation temperature for the oxychloride route is 546degC and the butoxide route 947degC (results not shown here) This is also evident by comparing the 800degC scans in Fig 2 where one is crystalline and the other amorphous Secondly the initial product of crystallisation is different in the two cases ndash in Fig 2a it is monoclinic hafnia the stable room temperature phase and in Fig 2b it is tetragonal hafnia This tetragonal hafnia transforms into monoclinic hafnia via a martensitic transformation [3] No tetragonal phase is observed at higher temperatures On the other hand in the oxychloride route tetragonal hafnia forms by 1400degC At this temperature because the monoclinic peak continues to increase in intensity it is thought that the tetragonal hafnia does not transform from the monoclinic phase but forms directly from remaining amorphous material It then forms along with monoclinic hafnia SiO2 and amorphous material HfSiO4 by 1700degC

Fig 2 Samples heated in a furnace to temperature for 24hr then analysed by XRD at room temperature (a) oxychloride prepared HfSiO (b) butoxide prepared HfSiO m = monoclinic hafnia t = tetragonal hafnia c = cristobalite (SiO2)

TEM images give greater insight into the crystallisation mechanisms that are taking place for

the tetragonal hafnia Figure 3a shows that large crystals have formed (~50-100nm) indicative of monoclinic hafnia It is noted that around the edges of the monoclinic particles a thin region containing small crystalline particles embedded in an amorphous matrix exist The particle sizes agree with those of tetragonal hafnia obtained from XRD (~2-7nm) Images of the butoxide sample heated to 1000degC show clearly that phase separation into hafnia and silica rich regions has taken place The hafnia rich regions are crystalline and from their small particle size are thought to be of the tetragonal phase This is in agreement with the XRD results in Fig 2b

From these results it is thought that in the oxychloride route the sample initially crystallises into the monoclinic phase When these particles grow they leave behind some amorphous material which has a small number of hafnium atoms dispersed in it At some higher temperature it becomes favourable for these hafnium atoms along with oxygen to nucleate and grow into small crystals which are the tetragonal phase of HfO2 These are eventually consumed to make HfSiO4 In the butoxide case (Fig 3b) the sample phase separates homogeneously and forms small tetragonal crystals As these crystals continue to grow they reach an optimum size whereby it is

328 C M McGilvery et al

more thermodynamically favourable for them to transform into HfO2 and SiO2 where they continue to grow until consumed to make HfSiO4

Fig 3 Images from a) 1400degC oxychloride sample and b) 1000degC butoxide sample

4 Conclusions In conclusion we observe from thin film studies that there is a great deal of metastability in the dielectric layer resulting in significant changes during processing This manifests itself in the decrease of the HfSiO layer width phase separation and crystallisation in the dielectric layer and roughening of the HfSiOpoly-Si interface Investigation of bulk powders revealed that the crystallisation temperature and mechanism were dependent on the starting materials Tetragonal hafnia forms from different routes in the different samples TEM imaging gives further insight to the crystallisation process involved in tetragonal phase formation and the difference between fully formed monoclinic hafnia and tetragonal hafnia is clearly observed Much remains to be understood We are investigating in more detail the HfSiO layer and the interfaces using electron energy-loss spectroscopy to gain detailed information on the local chemistry structure and bonding from the energy-loss near-edge structure (ELNES) on the ionisation edges We are also investigating the effect of different layer thicknesses on the gate stack properties To complement the work discussed above non-stoichiometric powders are also being prepared and characterised References 1 Maria J P Wickaksana D Parrette J and Kingon A I 2001 J Mater Res 17 1571 2 Triyoso D Ramon M Hegde R I Roan D Garcia R Baker J Wang X D Fejes P White B E

and Tobin P J 2005 J Electrochem Soc 152 G203 3 Tang J Zhang F Zoogman P Fabbri J Chan S Zhu Y Brus L E and Steigerwald M L 2005

Adv Funct Mat 15 1595

(a) (b)

High Accuracy and Resolution for the Separation of Nickel Silicide Polymorphs by Improved Analyses of EELS Spectra K Asayama N Hashikawa M Kawakami and H Mori1 Process amp Device Analysis Engineering Development Dept Renesas Technology Corp 1 Research Center for Ultra-High Voltage Electron Microscopy Osaka University Summary Low loss EELS is a simple method which may be used to discriminate the polymorphs of nickel silicides however it has an energy resolution problem because of the instability of the hardware This problem can be overcome by the use of the well-calibrated plasmon loss peak of Si and zero loss peak as references We determined the low loss plasmon energies for each polymorph of nickel silicide within 01eV The higher spatial resolution for discriminating three polymorphs of Ni silicide as narrow as 05nm can be obtained by using the branching ratio of the core loss EELS spectrum 1 Introduction Nickel silicide is used in CMOS transistors beyond 65nm node generation to further lower the internal resistance of transistors [1] Three polymorphs of Ni silicide appear in the device fabrication process two of them are used to control the resistivity of the interconnects and the device threshold voltage the process should be precisely controlled to get the appropriate polymorphs An area of more than 100microm2 is necessary to discriminate the polymorphs by X-ray diffraction For the failure analyses of the transistors that suffer from high resistance or for process development polymorph discrimination on nanometer scale is necessary To get enough energy and spatial resolution highly accurate measurements are required by analytical transmission electron microscopy The polymorphs of Ni silicides can be discriminated by the chemical binding information between nickel and silicon However the measurement accuracy of these EELS edge energies is limited by the instabilities of the hardware accelerating voltage spectroscopic errors and mechanical vibration of TEM systems The instability is comparable to the energy resolution needed for polymorph discrimination Therefore conventional edge energy determination cannot be used to determine the polymorphs of Ni silicide in device structures and new methods or algorithms are required New methods of polymorph discrimination for nickel silicides were developed by the authors [2] This report will introduce the outline of these new methods and some examples are described 2 Experimental Three polymorphs of Ni silicide can be formed using different annealing temperatures after deposition First Ni was sputtered on the Si substrate (p-type) and it was processed at 320degC for the first anneal Ni2Si was grown in this process When the second anneal was added at 550degC or 850degC the Ni2Si phase converted into NiSi and NiSi2 respectively This process is called two-step annealing These three polymorphs of Ni silicide can be confirmed by X-ray diffraction We used a cold type field-emission transmission electron microscope (TEM Hitachi HF-3000) operating at an acceleration voltage of 300kV with an energy filter (Gatan GIF2000) TEM samples were prepared by focused ion beam (FIB Hitachi FB-2100) milling The TEM was equipped with a slit to allow the production of spatially resolved spectra of the sample The EELS spectrum of different places on a sample along the slit can be acquired simultaneously The silicon plasmon peak and the zero loss peak can be employed

330 K Asayama et al

as energy standards and the plasmon peaks of Ni silicides can be calibrated by extrapolation With this energy calibration by standards (ECS) method the plasmon peaks of different Ni silicides can be compared despite the instability in the hardware For high spatial resolution applications the branching ratio of the core loss spectrum is defined as the ratio of the area of the L3 core loss peak to the sum of the areas of the L2 and L3 peaks ([3] and see below) experiment is compared with the results of first principles calculations 3 Results and Discussion Low loss spectra of three known polymorphs of Ni silicide were taken by spatially resolved TEM-EELS as shown in Fig 1 By the ECS method the low loss plasmon energies for Ni2Si NiSi and NiSi2 are determined to be 216plusmn01eV 205plusmn01eV and 199plusmn01eV respectively As there are no overlaps in the low loss energies for the three polymorphs of Ni silicide we can uniquely discriminate the polymorphs of Ni silicide in device structures using low loss edge energies with this proposed ECS method

However the low loss method still has a problem of low spatial resolution (~4nm)[4] for our application target of modern device analyses To analyse the device structure spatial resolution of better than 1nm is required due to delocalization this cannot be achieved with low loss EELS To overcome this problem we adopted the core loss energy measurement of nickel in nickel silicides using EELS that has high spatial resolution of around 05nm [4] The core loss energy of pure nickel L23 is 8550eV The chemical shifts of the Ni L23 edge between nickel

and Ni silicides are known to be 05-2eV [5] The energy instability can be removed by calibration as in the case of the above-mentioned ECS method if we could find good energy standards in our target system However in our device structures there is no nickel layer to be used as a calibration standard Therefore Ni silicide polymorphs should be discriminated by algorithms other than the ECS method

The branching ratio of the Ni L23 edge is a candidate to be considered The idea of the branching method was first applied in X-ray analyses We applied the idea to EELS core loss edge spectrum analyses The branching ratio can be calculated from the transition probability of 2p12 and 2p32 electrons to 3d orbits and Fig 3 The relation between experiment

and calculation of branching ratio

Fig 2 The branching ratio of three nickel silicide polymorphs

Fig 1 The low loss edges of three nickel silicide polymorphs

High Accuracy amp Resolution for the Separation of Nickel Silicide Polymorphs 331

these probabilities are sensitive to the crystal fields in the Ni silicides [6] Therefore Ni silicides can be discriminated by using the branching ratio of the core loss edges even if the chemical shift of the core loss edges cannot be measured accurately The measured core loss spectra of three polymorphs of Ni silicides are shown in Fig 2 Branching ratios obtained from experimental values plotted against values from first principles calculations are shown in Fig 3 A good correlation between the theoretical calculation and experiment is confirmed Therefore three polymorphs of Ni silicides can be discriminated with high spatial resolution by using the branching ratio of the Ni L23 edge in EELS 4 Applications for Semiconductor Devices The application to polymorph discrimination for nickel silicide in semiconductor device structures is shown

Polymorphs of nickel silicide films applied in actual devices of the 90nm node or further can be discriminated with EELS low loss spectra The nickel film is deposited on the polycrystalline gate electrode on the active area of p-type SRAM pull-up MOS (metal oxide semiconductor) transistors Deposited nickel films were processed by two-step annealing to form nickel silicides Part of the Ni layer that did not undergo silicide formation was removed by wet processing Cross sectional TEM observation images of the Ni silicide film and the device structure are shown in Fig 4 The polymorphs of Ni silicides were investigated on gate electrodes and active areas of the p-type MOS transistors Simultaneously spatially-resolved low loss spectra were obtained from inside the white squares in the TEM image The Ni silicide at the gate electrodes was determined to be NiSi and the active area of the MOS transistor to be Ni2Si Even though these Ni silicides are processed at the same time different polymorphs are generated in the gate electrode and active area of the p-type MOS transistor The polymorph of Ni silicides on highly impurity implanted p-type Si substrate area has a tendency to become NiSi2 with a crystallographic orientation of (110) [7]

Narrow line wiring made by Ni silicide is widely used in semiconductor devices The basic structure is the same as the gate electrode of the MOS transistors except for the loss of the active area as shown in Fig 5a This wiring becomes high resistance if unwanted polymorphs of Ni silicide are generated The polymorphs of the Ni silicide wiring were measured by using the branching ratio method because the structures were very narrow The simple step-like function

Fig 4 Discrimination of nickel silicide polymorphs using the low loss spectrum

332 K Asayama et al

background subtraction was adopted in this work After subtraction the branching ratio was calculated from the areas of the L2 and L3 peaks as shown in Fig 5b this was 075 and therefore this Ni silicide wiring was determined to be NiSi

5 Conclusion To discriminate the polymorphs of Ni silicides using plasmon low loss edge energies we used the Si plasmon energy as a reference to overcome the machine hardware instabilities The authors developed the energy calibration by standards method and the comparison of the absolute values of low loss spectra of Ni silicides then the material discrimination became possible To obtain a spatial resolution of less than 1nm we evaluated the discrimination method for the polymorphs of the Ni silicides by use of the branching ratio of core loss edges We calculated the branching ratio of Ni silicides by a first principles calculation and obtained a good agreement with the experimental data These techniques were applied to actual semiconductor device analyses References 1 Lauwers A Steegen A Potter M Lindsay R Satta A Bender H and Maex K 2001 J Vac Sci

Technol B19 2026 2 Asayama K Hashikawa N Kawakami M Yamaguchi T Yonamoto Y and Mori H 2007

submitted to Jpn J Appl Phys 3 Sipr O and Ebert H 2005 Phys Rev B 72 134406 4 Krivanek O L Kundmann M K and Kimoto K 1995 J Microsc 180 277 5 Cheynet M C and Pantel R 2005 International EELS Workshop Grundlsee Austria 6 Pearson D H Fultz B and Ahn C C 1988 Appl Phys Lett 53 1405 7 Chen L J Doland C M Wu W Chu J J and Lu S W 1987 J Appl Phys 62 2789

Fig 5 Discrimination of nickel silicide polymorphs by branching ratio of core spectrum

TEM Study of Ytterbium Silicide Thin Films J Deneen Nowak S H Song1 S A Campbell1 and C B Carter2 Department of Chemical Engineering and Materials Science University of Minnesota 421 Washington Avenue SE Minneapolis MN 55455 USA 1 Department of Electrical and Computer Engineering University of Minnesota 200 Union Street

SE Minneapolis MN 55455 USA 2 Now at Department of Chemical Materials and Biomolecular Engineering University of

Connecticut 191 Auditorium Road Storrs CT 06269 USA Summary In this study ytterbium thin films of various thicknesses were deposited on (100) silicon substrates Transmission electron microscopy (TEM) was used to characterize the structure and composition of the thin films and the interface between the thin film and the silicon substrate which was found to contain an amorphous interlayer TEM samples were prepared using a small-angle cleavage technique to avoid any artifacts induced by ion milling The preparation of ytterbium silicide thin films and the effect of annealing on the structure and composition of the films are discussed

1 Introduction Rare-earth metal silicides are of interest because of their unique properties which make them potentially useful for a broad range of applications Many rare-earth silicides can be produced at low temperatures and they form layers on n-type Si with amongst the lowest known Schottky barrier heights making them potentially useful in infrared detectors and as contact materials in microelectronics Ytterbium silicide has gained particular interest in recent years because it can be grown into interesting nanostructures and can be grown epitaxially on silicon [1-3] Also the Yb-Si phase diagram is not complete intermediate phases are still being discovered crystal structures investigated and the thermodynamics explored [4-6]

The ytterbium silicide films investigated in this work are of specific interest for use as contacts in nanoscale transistors which are described elsewhere [7] As with any device component understanding the structure and chemistry of the films would be useful in optimizing the processing conditions It is particularly important in this case that the film surface is a Si-rich silicide such that Si nanoparticles deposited on the film surface are not consumed as a silicide In this work transmission electron microscopy (TEM) was used to investigate thin films of ytterbium silicides and the effect of annealing on their structure and chemistry

2 Experimental 21 Ytterbium Silicide Films Ytterbium silicide films of various thicknesses were deposited on (100) Si substrates The native oxide on the silicon substrate was first removed using an HF dip The films were then deposited either by sputtering Yb or by co-depositing both Yb and Si The films were deposited at room temperature in an argon atmosphere They were subsequently annealed under nitrogen at 450degC for 300 seconds Non-annealed samples were also investigated for comparison The film thicknesses and annealing conditions were selected based on the electronic properties thermal stability and surface roughness of the films

334 J Deneen Nowak et al

22 TEM Sample Preparation Cross-section TEM samples of the YbSi films were prepared using the small-angle cleavage technique (SACT) This technique is notably beneficial for TEM-sample preparation because it does not require further ion milling to thin the sample [8 9] It is particularly useful for samples that contain amorphous material These samples could not be prepared using ion-assisted methods due to the severe effect ion implantation can have on amorphous materials [10] SACT works well for thin-film samples in which the film of interest can be deposited on and adheres well to a substrate that cleaves easily and predictably (such as silicon) [1112] In this method the sample is carefully back-thinned using hand lapping tools polishing such that the scratches created by the polishing media are at angle of about 15deg to one of the intrinsic cleavage directions of the material

Using the polishing scratches as a guide a diamond scribe is used to induce cleavage along this direction A fine scribe is then used to cleave the sample along the known cleavage direction creating a wedge The tip of the wedge is an electron transparent cross-section of the sample The final sample is prepared by mounting the wedge onto a tabbed TEM washer with a conductive epoxy Figure 1

shows a visible light image of a SACT sample At the very tip of the wedge the sample is thin enough for high-resolution imaging shown in Fig 2 The samples were then examined using a Tecnai G2 F30 TEM

3 Results One of the benefits of preparing the TEM samples using SACT is the ease with which the layer thickness can be measured The graph in Fig 3 shows the film thickness in films of three different thicknesses as measured from TEM images in which the interface is oriented edge-on For all three deposition conditions the thickness of an unannealed sample was also measured Samples 1 and 3 were films of only Yb while sample 2 was YbSi co-deposited In samples 1 and 2 the film thickness increased by roughly 25 (21 and 27 respectively) The relatively small change in thickness for the co-deposited film can be attributed to the initial Si content in the as-deposited film The change in film thickness on annealing was not as pronounced as would be expected for a pure Yb

Fig 1 Visible - light microscopy image of an SACT sample The washer diameter is 3 mm

Fig 2 Si wedge with a silicide film The inset is an HRTEM image of the substrate

Fig 3 Change in thickness on annealing for three silicide films For each sample the + indicates the thickness of the as-deposited film and the times indicates the film thickness after a 300 second anneal at 450degC

TEM Study of Ytterbium Silicide Thin Films 335

film of the same thickness In sample 3 a thicker film prior to annealing the thickness increased by nearly 50 This is likely to occur because the film becomes more Si-rich on annealing as more of the Si substrate is incorporated into the film

In the as-deposited films an amorphous interlayer is often present between the film and the substrate [13] After annealing the amorphous region crystallizes and the interface is no longer atomically flat The uneven interface is consistent with film growth as the result of the Si substrate being consumed as the sample is annealed Similarly the change in thickness also upon annealing suggests that the films became a more Si-rich phase In the Yb-Si equilibrium phase diagram there are six known silicide phases found between pure Si and alpha-Yb [4] All six phases have either hexagonal or orthorhombic crystal structures In the thickest film (sample 3) only Yb was deposited After annealing the film thickness increases by nearly 50 Even at this film thickness the film chemistry is still not entirely uniform after annealing The interface region is still more Si-rich than the film surface as indicated by the cross-sectional energy dispersive spectroscopy (XEDS) maps in Fig 4 The maps were taken from a region of the sample where the substrate had cleaved away during sample preparation so the maps are only from the film In the HRTEM image in Fig 5 the

spacing of the Yb columns is consistent with the Si-rich YbSi2-x phase viewed along the [ 2110 ] direction In the image the horizontal planes of atoms are (0001) planes and the vertical planes are (01 1 0) planes According to the equilibrium phase diagram this phase is one of the most Si-rich phases though it is not expected to form at temperatures below 770degC However the film examined in the present study is not expected to be an equilibrium phase The kinetics involved in the formation of silicides from the deposition of thin metal films is not well understood [1415] Films deposited on (111) and (100)Si under conditions similar to those in this study have reportedly formed the YbSi2-x phase despite the low processing temperatures [31617]

Rare earth metals are known to react particularly well with oxygen so it is important to note that the samples contained no measurable Yb2O3

4 Conclusions This work illustrates the use of HRTEM in investigating thin films of ytterbium silicides and the effect of annealing on their structure and chemistry The sample preparation technique which requires no ion thinning produces thin samples for HRTEM without jeopardizing amorphous

Fig 4 XEDS maps of the Yb and Si content in a film

Fig 5 HRTEM image of a YbSi2-x region of a film which is located at the filmsubstrate interface

336 J Deneen Nowak et al

layers The polycrystalline nature of the films coupled with the number and complexity of the phases involved make phase determination somewhat difficult It is hoped that future EELS studies will address this more intensively Acknowledgements This work was supported in part by the IGERT Program of the National Science Foundation under Award Number DGE-0114372 It was also funded by the NSF under grant number NSF-DMI-0304211 JDN and CBC also gratefully acknowledge support from the 3M Heltzer Endowed Chair References 1 Kuzmin M Laukkanen P Peraumllauml R E Vaara R-L and Vaumlyrynen I J 2004 Appl Surf Sci 222

394 2 Tsai W C Chi K S and Chen L J 2004 J Appl Phys 96 5353 3 Wigren C Anderson J N Nyholm R and Karlsson U O 1991 J Vac Sci Technol A 9 1942 4 Palenzona A Manfrinetti P Brutti S and Balducci G 2003 J Alloys Compd 348 100 5 Brutti S Galducci G Ciccioli A Gigli G Manfrinetti P and Palenzona A 2003 Intermetallics

11 1153 6 Kubata C Krumeich F Worle M and Nesper R 2005 Z Anorg Allg Chem 631 546 7 Deneen J Perrey C R Ding Y Bapat A Campbell S A Kortshagen U and Carter C B 2005 in

Microscopy of Semiconducting Materials AG Cullis and JL Hutchinson Editors (Springer Berlin) p 323

8 Rubanov S and Munroe P R 2001 J Mater Sci Lett 20 1181 9 Ishitani T Koike H Yaguchi T and Kamino T 1998 J Vac Sci Technol B 16 1907 10 Walck S D and McCaffery J P 1997 Thin Solid Films 308-309 399 11 McCaffrey J P 1991 Ultramicroscopy 38 149 12 McCaffrey J P 1993 Microsc Res Tech 24 180 13 Nowak J D Song S H Campbell S A and Carter C B 2007 Microsc Microanal 13 in press 14 Molnar G Peto G Horvath Z E and Zsoldos E 1994 Appl Phys Lett 64 1679 15 Grytsiv A Kaczorowski D Leithe-Jasper A Tran V H Pikul A Rogl P Potel M Noel H

Bohn M and Velikanova T 2002 J Sol Stat Chem 163 178 16 Chi K S Tsai W C and Chen L J 2003 J Appl Phys 93 153 17 Chi K S and Chen L J 2001 Mater Sci Semicon Proc 4 269

TEM Study of the Silicidation Process in PtSi and IrSi Structures A Łaszcz J Ratajczak A Czerwinski J Kątcki N Breil12 G Larrieu1 and E Dubois1

Institute of Electron Technology Al Lotnikoacutew 3246 02-668 Warsaw Poland 1IEMNISEN UMRS CNRS 8520 Avenue Poincare Cite Scientifique BP 69 59652 Villeneuve drsquoAscq Cedex France 2STMicroelectronics 850 rue Jean Monnet 38926 Crolles France Summary The annealing of PtSi and IrSi structures (300 400 and 500degC) leads to the formation of platinum or iridium silicides respectively However the silicidation process proceeds in different ways in both structures In the PtSi structure the silicidation process is completed at each temperature Annealing of the IrSi structure at 300 and 400degC causes only a partial reaction and the formation of a very thin amorphous iridium silicide layer at the IrSi interface At 500degC the reaction is completed and forms a crystalline silicide layer which consists of two phases 1 Introduction Due to interesting properties of metal silicides such as the thermal stability the low resistivity and the reduced silicidesilicon specific contact resistance metal-silicidesilicon structures are widely used in semiconductor technology Metal silicides based on platinum and iridium silicides show the lowest Schottky barrier to p-type silicon For this reason such silicides have been applied as sourcedrain (SD) contacts in low Schottky barrier MOSFETs on SOI In order to obtain a metal silicide layer the metalsilicon structure must be annealed This technology demonstrates the advantage of low Schottky SD over conventional technologies of implanted SD because it is easier to manufacture due to avoiding the highly doped SD regions [1] In this paper we report transmission electron microscopy (TEM) investigations of the silicidation process in the metalSi structures based on platinum and iridium The studies were focused on the analysis of silicide layer morphology and phase composition as well as the determination of the silicide layer thickness and silicideSi interface quality 2 Experimental Silicide layers were formed by the rapid-thermal-annealing (RTA) of 15 nm thick platinum or iridium deposited on p-type Si substrates for 120 s at 300 400 and 500degC The formation of platinum or iridium silicides was investigated by means of cross-sections transmission electron microscopy (XTEM) Specimens were studied in the JEM-200CX microscope operating at 200kV TEM electron diffraction techniques were used to identify the silicide phases 3 Results and Discussion 31 PtSi Structures As a result of annealing the Pt layer has already transformed into the PtSi layer at 300degC The formation of the PtSi phase at this temperature is confirmed by the analysis of TEM diffraction pattern The diffraction pattern revealed poly-rings which correspond to the PtSi

338 A Łaszcz et al

orthorhombic phase (Fig 1b) The grains in the PtSi layer have various shapes however grains are big enough to form the silicide layer as a single layer of the silicide grains placed side by side (Fig 1a) The higher annealing temperature (400degC) caused an increase of PtSi grain sizes Shapes of most PtSi grains are regular They are observed as squares or rectangles in a cross-section (Fig 1c) At the highest temperature (500degC) mostly elongated grains with rounded shapes of the bottom grain-boundaries can be observed in the PtSi layer (Fig 1d) In the samples annealed at 300 and 400degC the PtSiSi interface is rough (Fig 1a and 1c) The thickness of the newly formed PtSi layer for these samples is about 28-30 nm It is almost the double growth with reference to the initial Pt thickness At 500degC the thickness of the silicide layer close to the grain boundaries is significantly smaller (27-28 nm) while far from the boundaries (in the middle of grains) it is the largest (32-35 nm) The PtSiSi interface is very rough for these conditions (Fig 1d)

Fig 1 TEM cross-sections of the PtSi structure annealed at a) 300degC c) 400degC d) 500degC and b) electron diffraction pattern from the structure annealed at 300degC [011]-orientated Si reflections and poly-rings of the PtSi orthorhombic phase are revealed in the diffraction pattern

The formation of PtSi occurs in two steps Firstly Pt atoms diffuse into the Si to form an intermediate Pt2Si phase Secondly Si atoms diffuse into Pt2Si to form a stable PtSi layer For the conventional furnace annealing the first reaction PtrarrPt2Si takes place at the 245-258degC range [2] or at 261degC [3] while the second reaction Pt2SirarrPtSi ends in the 324-338degC range [2] [3] These two reactions occur sequentially ie the first reaction must be completed before the second reaction occurs However it was also reported in [2] that the Pt2Si formation takes less than 3 s at 300degC for RTA annealing of a 15 nm Pt layer while the full silicidation into PtSi phase requires less than 120 s This result confirms our observations that above 300degC only the PtSi phase exists

TEM Study of the Silicidation Process in PtSi and IrSi Structures 339

32 IrSi Structures The formation of iridium silicide in the IrSi structure is more complicated than the formation of platinum silicide in the PtSi structure Annealing of IrSi structure at 300 and 400degC causes only a partial reaction between Ir and Si and the formation of the amorphous iridium silicide layer at the IrSi interface (Fig 2a and 2b) The thickness of the newly formed silicide layer is about 5 and 7 nm after annealing at 300 and 400degC respectively The IrIr-SiSi interface in both cases is smooth but the top surface of the Ir layer is rough (Fig 2a and 2b) After annealing of the IrSi structure at 500degC (Fig 2c) all the Ir atoms from the initially deposited layer reacted with silicon In consequence a crystalline iridium silicide layer was formed The thickness of this layer is about 28-30 nm while the Ir layer before reaction was only 15 nm thick It demonstrates that the ratio of the iridium silicide layer thickness to the initial iridium layer thickness is very close to two Irregular grains were visible in this layer The top surface of the iridium silicide layer is similarly rough as the top surface of the Ir layer at lower annealing temperatures (300 and 400degC) The silicideSi interface is slightly rough It can be observed that the silicide layer consists of two phases in the form of continuous layers (Fig 2c) The thicknesses of the upper phase and of the phase located below equal about 21-23 nm and about 7 nm respectively An analysis of the electron diffraction pattern revealed clear polycrystalline rings corresponding to the IrSi orthorhombic phase and some reflections (marked by black lines) which can correspond to another IrSix phase (Fig 2d) These reflections are close to some lines corresponding to the IrSi15 monoclinic phase (see Table III in [4])

Fig 2 TEM cross-sections of the IrSi structure annealed at a) 300degC b) 400degC c) 500degC and d) electron diffraction analysis of the structure annealed at 500degC [001]-orientated Si reflections and poly-rings of the IrSi orthorhombic phase (white circles) and IrSix phase (black circles) are revealed in the diffraction pattern

340 A Łaszcz et al

The formation of an amorphous silicide layer in the first stage of the solid-state reaction is caused by a negative enthalpy of metal and silicon atoms mixing in the amorphous phase and is observed in many metal-silicon systems [5] The amorphous layer grows to a maximum thickness about several nanometers When the annealing is prolonged the crystalline phase nucleates and consumes the amorphous phase In our case annealing at 500degC for 120 s (with 15 nm thick initial Ir layer) is sufficient for the formation of the crystalline iridium silicide layer which consists of IrSi and other IrSix (supposedly IrSi15) phase which is placed between IrSi and Si Similar results were obtained in [6] The 20 nm thick Ir film completely reacted with silicon during the annealing at 500degC for 1 h The reaction formed IrSi and a very small amount of the Ir2Si3 (ie IrSi15) phase located between IrSi and Si This result confirms our suggestion that IrSi15 phase can be formed in the sample annealed at 500degC 4 Conclusions The silicidation process in PtSi and IrSi structures was studied by means of TEM In the case of annealing of the PtSi structure the silicidation process is completed at each annealing temperature (300 400 and 500degC) and forms homogeneous platinum silicide layers The silicide layers for all investigated samples consist of single layers of PtSi grains placed side by side These results demonstrated that PtSi is the thermally stable phase in the 300-500degC temperatures range and can be applied successfully as Schottky contacts

A very promising candidate for Schottky contacts potentially even more attractive than PtSi is iridium silicide because it demonstrates a lower Schottky barrier to holes However its formation process is very difficult to optimize because annealing at 300 and 400degC for 120 s causes only a partial reaction between Ir and Si and the formation of the very thin and amorphous iridium silicide layer at the IrSi interface At 500degC the reaction is completed and forms a crystalline silicide layer however the silicide layer is inhomogeneous and consists of two phases It is known that various phases of iridium silicide show different Schottky barrier heights on silicon It is related to the phase composition and microstructure difference between various phases of iridium silicide In order to obtain a homogeneous iridium silicide layer with high quality microstructure and interfaces an optimal temperature for its formation should be found In our previous work we showed that the reaction above 600degC was very intensive and produced iridium silicide layers of a very low quality and electrical performance [7] It can be expected that in the temperature range from 400 to 500degC an iridium silicide layer with good features can be formed The other solution can be annealing for a longer time at 400degC Acknowledgements This publication is based on the research partly supported by the European Commission under the project ldquoMetallic SourceDrain Architecture for Advanced MOS Technologyrdquo (IST-016677 METAMOS) References 1 Dubois E and Larrieu G 2002 Solid-State Electronics 46 997 2 Larrieu G Dubois E Wallart X Baie X and Kątcki J 2003 J Appl Phys 94 7801 3 Stark T Grunleitner H Hundhausen M and Ley L 2000 Thin Solid Films 358 73 4 Petersson S Baglin J Hammer W DrsquoHeurle F Kuan T S Ohdomari I De Sousa Pires J and

Tove P 1979 J Appl Phys 50 3357 5 Demuth V Strunk H P Woumlrle D Kumpf C Burkel E and Schulz M 1999 Appl Phys A 68 451 6 Ohdomari I Kuan T S and Tu K N 1979 J Appl Phys 50 7020 7 Łaszcz A Kątcki J Ratajczak J Larrieu G Dubois E and Wallart X 2004 J Alloys Comp 382

24

The Dielectric Properties of Co-Implanted SiO2 Investigated Using Spatially-Resolved EELS V Stolojan W Tsang and S R P Silva Advanced Technology Institute University of Surrey Guildford GU2 7XH UK Summary Metallic quantum dots implanted in a silicon dioxide thin layer grown on a silicon substrate show promising field emission properties giving an emission current of 1nA at electric fields as low as 5Vmicrom This value is achieved for an implantation dose of 5x1016 ionscm2 compared to 120 Vmicrom for the lowest dose Electron microscopy reveals that the Co dots form metallic spheres with a narrow diameter distribution (1-5nm) Increasing the dose to 7x1016 ionscm2 increases the field emission threshold Using energy loss spectroscopy with high spatial resolution we analyse the dielectric properties across the implanted layers in terms of effective media theories Finally repeatable staircase current-field characteristics were observed in field emission measurements of the higher dose samples when compared to the characteristic Fowler-Nordheim behaviour in the lower dose samples 1 Introduction Nanostructured materials show excellent and interesting electron field emission (FE) properties Although the FE mechanisms of nanostructured materials are still under investigation their physically-confined structures play an important role Ultra-thin dielectric coatings on the emitter and quantum well FE structures show resonant tunnelling characteristics in their FE measurements attributed to two-dimensional electron confinement [1] Furthermore one-dimensional nano-structures such as carbon nanotubes and various types of nanowires achieve an emission current at extremely low applied electric fields (typically less than 5 Vmicrom) [2] Hence it is of great practical and scientific interest to study the FE properties of zero-dimension materials

Metallic quantum dots embedded in dielectric matrices exhibit promising nonlinear optical properties particularly the enhancement of the optical Kerr susceptibility and interesting magnetic properties such as super-paramagnetic effect because of their zero dimensional physical structure [3] Ion implantation is an attractive technique for the synthesis of metallic nanoclusters in dielectric matrices due to the possibility of being able to introduce virtually any metallic element into any dielectric matrix in accurate quantities and at fixed depths In this work Co ions were implanted onto thermally oxidised SiO2 layers on silicon substrates to synthesise Co quantum dots The Co ion does not react chemically with the SiO2 matrix and has a small diffusion coefficient in SiO2 as compared to the Ag ion [4] thus small-sized Co nanoclusters with a narrow size dispersion are obtained Excellent FE properties with threshold fields as low as 5 Vmicrom and repeatable staircase-like I-F characteristics are achieved in these samples 2 Experimental Details 21 Sample Preparation SiO2 layers were grown on n-type phosphorus-doped (100) Si wafers using dry thermal oxidation at 1000 degC for 25 hours The resulting layers were subsequently implanted with 50 keV Co+ ions at room temperature using a 200 kV ion implanter The projected range of 50 keV Co+ ions in a 150 nm-thick SiO2 layer on a Si substrate is found using static Stopping and Range of Ions in Matter (SRIM) simulations to be 43 nm The doses ranged from 1times1016 Co+cm2 to 7times1016 Co+cm2 and

342 V Stolojan W Tsang and S R P Silva

0 2 4 6 8 10 120

10

20

30

40

507x1016 Co+cm2(c)

Frac

tion

[]

d [nm]

0 2 4 6 8 10 120

10

20

30

40

505x1016 Co+cm2(b)

Frac

tion

[]

d [nm]

0 2 4 6 8 10 120

10

20

30

40

503x1016 Co+cm-2(a)

Freq

uenc

y

d [nm]

50 nm

50 nm

50 nm

were confirmed by Rutherford backscattering spectrometry (RBS) measurements with a 156 MeV 4He+ beam Cross-sectional electron microscopy samples were prepared by mechanical grinding and polishing followed by ion beam thinning using a Gatan precision ion beam polisher 22 Instrumentation and Analysis The samples were analysed using a Philips CM200 TEM (LaB6 filament 200keV) fitted with a Gatan imaging filter employing energy loss spectroscopic profiling (ELSP) and in a dedicated scanning transmission electron microscope (STEM- Hitachi HD2300A Schottky field emission 200keV) fitted with a Gatan Enfina spectrometer Low loss spectra were collected across the SiO2 layers and the plasmon energy was measured as a function of position across the implanted layers using a modified Lorentzian function Experimental dielectric functions were extracted from spectra collected from pure SiO2 and Co samples using Kramers-Kronig analysis The FE properties of the samples were studied in a high vacuum chamber with a base pressure better than 5times10-4 Pa The current-electric field (I-F) characteristics were measured using a ldquosphere-to-planerdquo electrode config-uration with a 5 mm diameter stainless-steel ball anode The threshold field Fth is defined as the field strength where the emission current reaches 1 nA 3 Results 31 Characteristics and Field Emiss-ion Properties of the Implanted Layers Figure 1 shows histograms representing the distribution of Co particle diameters in the implanted layers The distributions are

relatively narrow when compared to the other material of choice for implantation for field emission applications Ag The average diameter increases from 18 nm at 3times1016 Co+cm-2 to 36 nm at 5times1016 Co+cm-2 and to 57 nm at 7times1016 Co+cm-2 As the surface of these Co implanted SiO2 layers is atomically smooth as verified from AFM measurements surface-geometry field enhancement can be neglected For the sample implanted at a dose of 1times1016 Co+cm-2 field emission is obtained after high-voltage conditioning which creates conductive channels through dielectric breakdown this was not necessary for the higher dose samples The measured threshold field was 120 Vmicrom As the dose

Fig 1 Statistical distribution of Co particle diameters for three implantation doses Insets Energy-filtered images of the Co-implanted SiO2 at the specified doses

The Dielectric Properties of Co-Implanted SiO2 Investigated Using Spatially-Resolved EELS 343

increases to 3times1016 Co+cm-2 the threshold field drops to 50 Vmicrom and further drops to 5 Vmicrom for the 5times1016 Co+cm-2 implantation dose Increasing the dose again to 7times1016 Co+cm-2 slightly raises the threshold field to 12 Vmicrom [5] In order to understand the relationship between the threshold field and the diameter and concentration of Co particles we look to spatially-resolved low-energy loss spectra in order to assess changes in the dielectric function caused by the quantum confinement of the Co clusters and the screening between adjacent clusters with increasing dose 32 Effective Media Dielectric Theories These theories are based on the derivation of an effective dielectric function (εeff) that describes the behaviour of the composite medium [6] The first of these theories is simple averaging of the dielectric functions for a medium composed from two dielectrics A and B This works well for alloys at least to a first approximation Accounting for some inter-particle interactions the Maxwell-Garnett theory is restricted to small particles of the dielectric A (εA) dissolved in B(εB) (Eq 1)

BA

BABeff )f2()f1(

)f1(2)f21(ε++εminusεminus+ε+

ε=ε (1) where f is the fraction of small particles A

embedded in medium B

This theory however does not predict a percolation threshold for higher values of f and does not treat the media A and B symmetrically

Walsh and Howie [6] improved on the early work of Fujimoto and Komaki [7] to develop an excitation theory based on electron trajectories which accounts also for the excitation of interface plasmon modes at the embedded cluster-embedding medium interface (Eq 2)

( )

ε

minusminus

ε+ε

minus+

ε

minusminus+

+

ε

minusminus

ε+ε

minus+

ε

minus=

ε

minus

BBAext

b

ABAint

Aeff

1Im2

3Img1Imf1

1Im2

3Img1Imf1Im

(2)

Equation 2 is written in terms of the two bulk loss functions Im(-1 εA) and Im(-1 εB) and the interface loss function Im(-3 (εA+ 2εB)) with gint and gext accounting for the interface contribution and the concomitant reduction in the bulk contribution (ie the interface contribution does not superimpose on the bulk contribution but replaces it with an appropriate factor that depends on the impact parameter) The factors gint and gext can be expressed in terms of the filling fraction f the particle diameter d the velocity of the incident electron v and angular frequency ω of the excitation for small spheres and filling fractions

( ) ( ) 1int

1ext vd31gf211g minusminus ω+=+= (3)

For our experimental set-up gintasymp0230d[nm] 4 Results and Discussion Figure 2 displays the bulk plasmon energy traces across the implanted layers with the curves for the first three doses displaced upwards for visibility and comparison The bulk plasmon energy does not show changes associated with Co until the last two doses (5 and 7times1016 Co+cm-2) where there is in the region closest to the field emitting surface a 025 and 02meV decrease in the plasmon energy respectively due to the increased weighting of the Co dielectric function with a bulk plasmon at 209eV both through the filling fraction and the particle diameter We also note that the highest dose sample has a further plasmon energy fluctuation of ~03eV at the bottom of the

344 V Stolojan W Tsang and S R P Silva

implanted layer Figure 3 shows the simulated change in the bulk plasmon energy as a function of the filling fraction (Equations 2 and 3) for the average diameters measured in Fig 1 The slightly larger diameter of Co clusters for the highest dose sample can be responsible for the slightly lower decrease (~200meV) in the bulk plasmon energy for the highest dose sample as the interface plasmons contribute less to the overall spectra This indicates that the highest dose sample does not have a uniform Co implantation layer but rather two regions appear to form at the top and the bottom of the implantation layer with a relatively depleted layer in the middle this could account for

the slight reduction in the good field emitting properties of the highest dose sample and not going over the percolation threshold 5 Conclusion Valence electron energy loss spectroscopy is able to provide information about the structure and electronic properties of Co-implanted SiO2 layers for field emission applications We find that the bulk plasmon energy changes as the implantation dose changes correlated with both the filling fraction and the cluster diameter We observed a non-uniform implantation region appearing for the highest implantation dose which could explain the slight increase in its field emission threshold References 1 Wang R Z et al 2005 Phys Rev B 72 125310 2 Rinzler A G et al 1995 Science 269 1550 3 Townsend P D 1987 Rep Prog Phys 50 (1987) 501 4 McBrayer J F Swanson R M Sigmon T W and Bravman J 1983 Appl Phys Lett 43 653 5 Tsang W M Stolojan V Sealy B J Silva S R P and Wong S P 2007 Ultramicroscopy

Programming ndash Fundamental Theory (London Watts) ch 2 6 Howie A and Walsh C 1991 Microsc Microanal Microstr 2 171 7 Fujimoto F and Komaki K 1968 J Phys Soc Jpn 25 1679

Fig 3 The simulated change in the bulk plasmon energy of Co clusters of two diameters embedded in SiO2 as a function of the filling fraction

Implanted depth

Fig 2 The bulk plasmon energy across the implanted SiO2 layers The spectra have been displaced upwards from the Co7 curve for visibility The simulated implantation depth is ~43nm

Removing Relativistic Effects in EELS for the Determination of Optical Properties M Stoumlger-Pollach1 A Laister2 P Schattschneider12 P Potapov3 and H J Engelmann3 1 University Service Centre for TEM Vienna University of Technology Vienna Austria 2 Institute of Solid State Physics Vienna University of Technology Vienna Austria 3 Materials Analysis Lab AMD Saxony LLC and Co KG Dresden Germany Summary Obtaining correct optical properties from low loss electron energy loss spectrometry data is impossible as long as retardation effects are not taken into account In the present work we discuss three methods of removal of Čerenkov and wave guide losses in order to improve the accuracy of the Kramers-Kronig Analysis of semiconducting materials 1 Introduction Kramers-Kronig Analysis (KKA) is widely used for determination of optical properties both from optical data and from electron energy loss spectrometry (EELS) data of the very low loss region (0-50 eV) ndash which is often called the valence EELS (VEELS) region The advantage of VEELS in combination with transmission electron microscopy (TEM) is the high spatial resolution combined with acceptable energy resolution which is usually 07 eV for thermal Schottky field emitters and down to 01 eV with monochromators If optical properties are to be determined by use of conventional KKA one has to ensure that no relativistic effects alter the low loss signal [1]

Retardation effects such as Čerenkov losses and wave guide modes alter the valence electron energy loss spectrum of semiconductors and insulators as soon as the speed of the probing electron exceeds the speed of light inside the probed medium This leads to the problem that optical properties from these media cannot be determined correctly using EELS if no corrections are applied In this work we present three techniques for solving this problem (i) a reduction of the beam energy can help for some materials by avoiding the excitation of retardation losses (ii) also applying an off-line correction is very reliable and (iii) we use the difference method [2] We demonstrate that Kramers-Kronig Analysis can be applied without experiments on standards if thickness determination using TEM and EELS is accurate enough 2 Čerenkov Losses and Kramers-Kronig Analysis 21 Three Techniques for Solving the Problem of Relativistic Losses in VEELS As soon as the speed of the electron probe ve- is larger than the speed of light within the probed medium (ve- gt c0n) with c0 as the vacuum speed of light and n as the refractive index (which is also dependent on the wave length or the energy loss n = n(∆E)) the Čerenkov radiation emitted by the fast electron shows up in the VEELS spectrum If now the optical properties should be determined by an electron beam technique such as EELS a relativistic correction of the low loss spectrum must be applied in order to retrieve accurate results We therefore suggest three methods for removing any structure not stemming directly from the band structure of the probed material One of those is an iterative routine based on calculating the Čerenkov losses and the surface losses using the equation obtained by Kroumlger [3] which will just be called the lsquoKroumlger

346 M Stoumlger-Pollach et al

equationrsquo below It is the relativistic extension of the ldquoRitchie equationrdquo [4] connecting the energy loss of a probe electron with the loss function of the medium

with S(E) as the single scattering probability per energy D the sample thickness ln[] the angular correction term including the collection semi-angle β and the loss dependent characteristic scattering angle ΘE and I(-1ε) is the loss function The loss function is therefore obtained from the single scattering distribution divided by the angular term and a normalization factor containing the sample thickness and some other constants

The second method is simply a reduction of the beam energy in order not to fulfill the conditions for Čerenkov excitation For the investigated SiNxH material 60 keV is sufficient Last but not least we compare the results with the difference method described in [2] 22 Normalization During the Kramers-Kronig Analysis The most critical step during KKA is the normalization of the loss function obtained after angular correction This normalization is crucial because it defines the accuracy of all optical properties obtained via KKA This means that a wrong normalization leads to wrong optical data In principle one has four parameters for normalization a) a known refractive index b) the free electron plasma energy (which in general differs from the maximum in the VEELS spectrum because the free electron approximation is not valid in a semiconductor or insulator ndash even in metals it is only a rough approximation) c) the sample thickness (which can be determined by using EELS with an accuracy of about 10) and d) the mean free path for inelastic electron scattering at the given incident beam energy (which in general is also not well known)

In the present work we determined the normalization constant using the sample thickness The results were compared with optical data and are in perfect agreement 3 Experimental 31 Off-Line Removal Routine

Fig 1 Left Experimentally obtained single scattering distribution for 60 kV (continuous) and 200 kV (dashed) electrons of a 46 nm thick SiNxH film The insert shows the respective simulation using the Kroumlger equation and optical data from [5] Right Loss function of the 60 kV experiment compared with the one from the 200 kV experiment Retardation effects were iteratively removed in the latter case The insert demonstrates the high concordance in the bandgap region

Removing Relativistic Effects in EELS for the Determination of Optical Properties 347

The off-line removal routine is based on the Kroumlger equation starting with the optical properties obtained from a spectrum altered by retardation losses Feeding them into the Kroumlger equation leads to an even worse altered spectrum which is used to calculate the difference from the original one This difference is then subtracted from the experimentally obtained single scattering distribution KKA is performed giving new optical data fed into the Kroumlger equation This routine runs as long as the stopping criterion is not fulfilled which says that the total integral over the whole spectrum after the iteration cycle must not differ by larger than 001 from the original one This is usually reached after three to five iterations depending on the accuracy of the normalization 32 Reduction of Incident Beam Energy As shown in Figs 1 and 2 decreasing the incident beam energy can prevent retardation losses unless the condition for their excitation is not fulfilled In the case of SiNxH 60 kV is sufficient as the calculation in Fig 1 shows For this calculation optical data [5] are used Although the optical data were obtained from polycrystalline stoichiometric Si3N4 whereas the investigated material is amorphous and hydrogenated the agreement with the experiment is excellent 33 The Difference Method Due to the fact that Čerenkov losses (ČL) and wave guide modes (WGM) are restricted to small scattering angles q there is a possibility to blank them out For this purpose two spectra are recorded under the same conditions except of the collection semi-angle β If now the spectrum recorded using the smaller β is subtracted from the one obtained by using the larger β the center of the q-E diagram is blanked out and so are the ČL and WGM

Fig 2 Comparison of the difference method with an experiment at 60 keV beam energy and an experiment at 200 kV using a camera length of 200 mm (β = 088 mrd) Insert Schematic drawing of the geometry used in the difference method

For the presented experiment the camera lengths of the transmission electron microscope were chosen to be 100 mm and 200 mm in order to realize β2 = 176 mrd and β1 = 088 mrd respectively As shown in Fig 2 an excellent agreement between the difference spectrum and the recording using 60 keV beam energy can be found 4 Optical Properties The single scattering distribution after removal of retardation effects can directly be used for KKA in order to determine the optical properties of the SiNxH sample For the normalization we determined the sample thickness very carefully by using EELS Then the mean free path for inelastic scattering can be calculated easily and used for all further normalizations of

348 M Stoumlger-Pollach et al

measurements on the same specimen In order to prove the accuracy of the thickness determination we compared the resulting refractive index (Fig 3) with an optical measurement using 630 nm wave length (asymp 197 eV) As shown in Fig 3 both the 60 kV and 200 kV experiment show a good agreement over nearly the whole energy range The only discrepancy can be found at the interband transition onset where the iterative removal routine added some noise into the loss function The small fluctuation in the loss function is shown in detail in Fig 1 (right)

Fig 3 Refractive index obtained from the 60 kV experiment after classical surface loss removal compared with the iteratively corrected 200 kV experiment The agreement over nearly the whole energy range is very good thus proving the reliability of the iterative removal routine The normalization was performed using the sample thickness

5 Conclusions Using the Kroumlger equation retardation effects can be calculated very accurately This knowledge can either be used for setting up an iterative routine which enables one to remove the retardation losses off-line during data treatment Further on one can calculate the maximum incident beam energy at which no retardation effects appear For the present case 60 kV is sufficient Last but not least the result of the Kroumlger equation if plotted in an E-q diagram (as is shown in Fig 4) gives information about which collection semi-angles should best be chosen for the difference method in order to minimize alteration of the low loss spectrum by all the retardation effects and wave guide modes In general one can say that the smaller collection semi-angle shall be not smaller than 002 mrd When using the difference method care has to be taken during the angular correction within the KKA [2] Finally one should point out that neither the optical properties nor the band gap can be determined accurately from VEELS spectra of semiconductors if retardation losses are not eliminated precisely

References 1 Stoumlger-Pollach M et al 2006 Micron 34 396 2 Stoumlger-Pollach M and Schattschneider P Ultramicroscopy in Press 3 Kroumlger E 1968 Zeitschrift f Physik 216 115 4 Ritchie R H 1957 Phys Rev 106 874 5 Palik E D 1985-1991 Handbook of optical constants of solids (Orlando FL US)

Fig 4 E-q diagram of 46 nm thick SiNxH at 200 kV

Analytical STEM Comparative Study of the Incorporation of Covalent (Ge) or Heterovalent (As) Atoms in Silicon Crystal R Pantel L Clement1 L Rubaldo G Borot and D Dutartre STMicroelectronics 850 Rue Jean Monnet F-38926 Crolles France 1NXP semiconductors 860 Rue Jean Monnet F-38926 Crolles France Summary In this paper we use STEM analytical techniques to compare the incorporation of Ge and As atoms in silicon First we show that STEM EDX EELS and HAADF imaging can give 2D quantitative mapping with nanometre resolution and 1019 cm-3 detection limit In parallel STEM CBED can give locally the lattice parameter change induced by the incorporated atoms As expected we found that in SiGe alloys the lattice parameter increases On the contrary and surprisingly inside highly As doped silicon areas the lattice parameter decreases This could be due to the interaction or clustering of arsenic vacancies 1 Introduction The alloying of germanium with silicon is more and more used for gap modulation in BiCMOS or to create strained structures in CMOS to improve electron mobility In parallel highly doped ultra thin junctions must be developed for short channel MOS or FET transistors Measurement of 2D distribution and atomic scale properties (type of site of incorporated atoms) become critical to improve the technology In this paper we evaluate four STEM analytical techniques to extract this kind of information The work concentrates on a comparative study of the incorporation of Ge (homovalent) and As (heterovalent) atoms in silicon 2 Experimental Set-Up The Si(Ge) Si(As) samples fabricated by CVD epitaxy were thinned using a gallium focused ion beam (FIB) in a dual beam FEI STRATA 400 with a final cleaning at low energy (5 keV) The analytical STEM was carried out at 200 keV using a TECNAI F20 microscope equipped with FEG EDX (EDAX) energy filter (Gatan GIF 2000) and STEM HAADF (Fischione) detector 3 STEM Quantitative Mapping Techniques (EDX EELS Z-contrast) In analytical STEM two spectroscopy techniques energy dispersive X-ray (EDX) and electron energy loss spectroscopy (EELS) are currently used for point line profile or map analysis STEM imaging with large angle detection (HAADF) is known to give Z-contrast but is thought to be more qualitative In this study we demonstrate that the three techniques can be used for precise quantification of Si(Ge) and Si(As) Figure 1 shows EDX EELS spectra and STEM Z-contrast profiles acquired in buried Si(Ge 3) and Si(As 16) layers (50 and 40 nm thick) The high signalnoise ratio of EELS spectra or Z-contrast profiles and the low EDX spectrum background suggests that the three techniques have potentially a detection limit below 01 (ie in the low 1019 cm-3 range) For reproducible quantification the methods should be clearly defined with fixed parameters In the case of EELS we use a 170 eV energy loss window width for the ionisation edge extraction and fitting by Hartree Slater model cross sections An example of such fit is shown in Fig 2 The cross section model is satisfying for Si-K but not totally for Ge-L and As-L Therefore we correct the results by a KE factor (KE = 133) deduced from a reference sample

350 R Pantel et al

presented in Fig 3 For EDX we use the Ge-KSi-K and As-KSi-K ratio (total counts in EDX peaks) and a KX factor multiplication (KX = 086 deduced from reference) This raw processing is far less noisy than the quantification in the EDAX software

Fig 1 EDX EELS spectra and STEM Z-contrast profiles a) Si(Ge 3) b) Si(As 16) in Si

Fig 2 Ionisation edges cross section fitting using Hartree Slater models for Ge As and Si The STEM DF images are acquired using the annular detector at 80 mm camera length (ie collection angle between 60 and 180 mRad) The signal obtained is sensitive to the atomic number of the atoms in the lamella because the scattering cross sections are strongly Z dependent We assume (thin sample case) that Zcont [Si] = Si and Zcont [Si(1-x)Gex] = (1-x) Si + x Ge From the SiGe reference sample we obtain Ge Si = 49 which gives a Z192 law for the Z-contrast dependence This is mainly Rutherford scattering (Z2 law at high angle) rather than thermal diffuse scattering (Z32 law at lower angle)

Figure 3 presents EDX and EELS analyses of the reference sample (SiGe multilayer stack calibrated using SIMS and X Rays diffraction) The perfect linearity of the plot confirms the reference quality and suggests that EDX and EELS quantification precision is better than 01

Table 1 summarises the quantification results using EDX EELS Z-contrast applied to Si(Ge) and Si(As) layers The good agreement between the three techniques confirms the precision (about 01 ) of the quantifications This suggests also that Ge and As have similar properties (cross sections K factors) in spectroscopy (EDX or EELS) experiments

Analytical STEM Study of the Incorporation of Ge or As Atoms in Silicon Crystal 351

Fig 3 EDX Ge-KSi-K and EELS Ge-LSi-K experimental plot versus expected ratio obtained on the reference sample (SiGe multilayer calibrated using SIMS and X Ray diffraction)

Technique Sample

EDX Quanti Si(1-x)Gex (Asx)

EELS Quanti Si(1-x)Gex (Asx)

Z-contrast Quanti

Z-contrast cross sections

Z-contrast Law Zn

SiGe layer1 reference

x = 00389 +- 00010

x = 00389 +- 00010

x = 00389

σGe σSi = 490 +- 010

Z192

Si(Ge) x = 00315 +- 00010

x = 00310 +- 00010

x = 00320

σGe σSi = 495 +- 010

Z192

Si(As) x = 00160 +- 00005

x = 00155 +- 00005

x = 00157

σAs σSi = 519 +- 010

Z192

Table 1 Summary of EDX EELS and Z-contrast quantification results of three samples SiGe layer 1 reference (389 Ge) SiGe(3) and highly As doped SiAs(16)

4 Lattice Parameter Measurement Using CBED The introduction of impurities into a perfect crystal generates local lattice change In the case of relaxed Si(1-x)Gex alloys the monovalent Ge atoms replace the Si atoms (substitutional site) and induce a parameter increase proportional to the concentration x (∆aa = + 00418 x misfit 418) When the Si(1-x)Gex layer is bi-axially strained by the Si bulk the cubic lattice is elongated (tetragonal) Parallel to the interface a = b = aSi perpendicularly ∆cc = + 00746 x (Poisson correction) [1] This strain and the lattice parameters can be precisely measured using the convergent electron beam diffraction (CBED) technique [2] Figures 4a and 4b present the CBED patterns acquired along the [230] axis in Si and in the buried SiGe(3) layer The narrow black lines (HOLZ lines) correspond to (hkl) lattice planes in the exact Bragg condition [2] Therefore their relative positions reflect the crystal lattice 3D geometry By comparing the Figs 4a and 4b one observes HOLZ line shifts revealing a crystal strain The modelling of these Si and SiGe patterns [2] shows that in the SiGe a = b = 05431 nm and c = 05443 nm (ie εzz = + 0221 ) This is exactly coherent with a bi-axially strained SiGe(3) layer and demonstrates that the Ge atoms are almost totally placed in substitutional sites

The case of As (heterovalent) in Si is less studied than that of Ge The dopant is only active if it is placed in substitutional sites The misfit induced by such active As atoms is not known Is it comparable to Ge in SiGe Also for highly As doped silicon most of the As atoms can be inactive and their atomic sites are not known Figures 4c and 4d show the [230] axis CBED patterns acquired in Si and Si As doped (about 16 ) It is clear that the HOLZ line shift directions are opposite compared to the SiGe CBED pattern (see in particular the arrows in Fig 4b and Fig 4d)

352 R Pantel et al

Fig 4 CBED patterns acquired along [230] axis in a) Si b) buried SiGe(3) c) Si d) buried Si(As) layers The arrows show a shift in the opposite direction in SiGe compared to in SiAs The modelling of these CBED patterns shows that in the Si(As) layer a = b = 05431 nm and c = 05422 nm (ie εzz = - 0166 ) Clearly the lattice shrinks compared to pure Si At equivalent AsGe concentration the lattice change in Si(As) is larger but opposite to that in Si(Ge) Similar lattice shrinkage observation (by XRD) in As implanted silicon was already reported [3] and the interpretation given was a lattice compression induced by the conduction electrons Another possible origin could be AsnVm complexes (V vacancies) predicted in the literature [4] by energy formation calculation or indirectly observed by positron annihilation [5] In [5] V-As3 is pointed to as the most thermally stable defect complex These clusters which cannot be directly observed by microscopy may explain the lattice shrinkage and the dopant deactivation Other STEM studies with variable As concentration and annealing should be carried out to discriminate between active dopants and inactive species The objective should be the identification of AsnVm complexes through lattice parameter change and electrical activation measurements 5 Conclusion This study shows that STEM techniques (EDX EELS Z-contrast) allow nanometre mapping of Ge or As dopants with about 1019 cm-3 concentration limit Moreover CBED gives information about site localisation and lattice parameter change Surprisingly when comparing GeSi alloys and highly As doped silicon one observes an opposite lattice parameter change The origin could be the As dopant inactivation by clustering and interaction with vacancies References 1 Hornstra J and Bartels W J 1978 J Cryst Growth 44 513 2 Senez V Armigliato A et al 2003 J Appl Phys 94 5574 3 Cargill G S et al 1988 Phys Rev Lett 61 1748 4 Ramamoorthy M and Pantelides S T 1996 Phys Rev Lett 76 4753 5 Ranki V and Saarinen K 2004 Phys Rev Lett 93 255502

Lattice Location Determination of Ge in SiC by ALCHEMI T Kups M Voelskow1 W Skorupa1 M Soueidan2 G Ferro2 and J Pezoldt3 FG Werkstoffe der Elektrotechnik Institut fuumlr Mikro- und Nanotechnologien TU Ilmenau PO Box 100565 98684 Ilmenau Germany 1FWIM Institut fuumlr Ionenstrahlphysik und Materialforschung FZ Dresden Postfach 510119 01314 Dresden Germany 2Laboratoire des Multimateacuteriaux et Interfaces UMR-CNRS 5615 Bacirct Berthollet UCB Lyon 1 43 Bd du 11 Nov 1918 69622 Villeurbanne Cedex France 3FG Nanotechnologie Institut fuumlr Mikro und Nanotechnologien TU Ilmenau PO Box 100565 98684 Ilmenau Germany Summary The incorporation of Ge into cubic and hexagonal silicon carbide is compared for three different doping methods ion-implantation molecular beam epitaxy and liquid phase epitaxy The lattice location of Ge was determined by the transmission electron microscopy based method ALCHEMI (atomic location by channelling enhanced microanalysis) Depending on the method used for incorporation Ge is able to occupy different silicon carbide lattice locations 1 Introduction Impurity incorporation allows tuning of the properties of semiconductor materials Depending on the concentration and the type of the incorporated impurities the carrier concentration the absorption coefficient the lattice constant and band structure can be changed The last effect can be achieved by forming solid solutions Combining materials with different band structures and similar lattice constants heterostructures can be fabricated allowing the manufacture of advanced electronic optoelectronic and sensor devices

In the case of silicon carbide (SiC) the incorporation of foreign atoms has been studied for group II III and V impurities to achieve p- and n-type doping [1] [2] [3] Doping with other impurities like vanadium was also investigated in order to achieve highly resistive or semi-insulating SiC [2] [4] Up to now less attention was paid to the role of group IV elements in SiC These elements belong to the group of isoelectronic impurities and exhibit a relatively high solid solubility of approximately 1020 cm-3 at 2500 K in SiC [5] In [6] it was shown that Ge may change the incorporation and the lattice site location of nitrogen Furthermore the doping of silicon carbide with Ge increases the conductivity and the carrier concentration [7] and reduces the contact resistivity [8]

During epitaxial growth group IV elements affect the polytype stability At high temperatures in the sublimation growth environment the stabilization of 4H-SiC was observed when a group IV elements were added to the vapour phase [9] In the case of liquid phase epitaxy at low growth temperatures Ge addition supports the formation of 3C-SiC [10] In heteroepitaxial growth of SiC on Si the incorporation of Ge into the heterointerface reduces the residual stress [11] changes the SiC stoichiometry [12] and improves the electronic properties of the heterojunction [13]

In compound semiconductors the impact of the impurity depends not only on the concentration but also on the lattice site [1] Unfortunately there are only a few studies on the lattice site incorporation of the group IV elements In the case of Ge this atom seems to occupy preferentially the Si site [5] [14] [15] From this point of view it is important to know which lattice site will be occupied and if the lattice site can be influenced by the incorporation method For this reason lattice site occupation of Ge as a representative element of group IV isoelectronic dopants was investigated The lattice site occupation for three different incorporation techniques

354 T Kups et al

was studied namely low temperature solid source molecular beam epitaxial growth (SSMBE) liquid phase epitaxy in a Ge-Si melt using the vapour-liquid-solid (VLS) technique at higher temperature than SS-MBE and ion implantation 2 Experimental 21 Sample Preparation The low temperature epitaxial growth was carried out at a substrate temperature of 950degC using Balzers SSMBE equipment Electron beam evaporators were used for Si and C whereas Ge was supplied by an effusion cell The epitaxial growth was carried out on a (3x3)-Si reconstructed 4H-SiC surface with Si-face polarity This reconstructed surface supports two dimensional epitaxial growth exhibiting a 139 ML Si coverage [16] and high adatom mobility [17 18] As a first step carbon was deposited at the beginning of the growth process The duration of the carbon deposition was controlled by the intensity of (3x3)-Si reconstruction streaks and interrupted when the Si mediated surface reconstruction vanished completely After an interruption of 10 s the sample was exposed to simultaneous Ge and Si fluxes The Ge to Si flux ratio was 006 The duration of the 4H-SiC surface exposure to the Ge and Si beams was continued up to the moment when the (13 13) order reconstruction streaks of the (3x3)-Si surface reconstruction reached their maximum Subsequent to an interruption of 10 s the deposition was continued with the next C and SiGe cycle The final thickness of the grown 3C-(Si1-xC1-y)Gex+y was approximately 100 nm

The high temperature epitaxial VLS growth was realized in a vertical cold wall reactor described in [10] High purity Ar was used as the vector gas and propane 5 diluted in H2 was used as the reactant The substrate was a Si face oriented on axis (0001) 6H-SiC crystal To improve the wetting at the alloy melting temperature a Si layer was deposited on the substrate [19] The Ge and Si pieces were stacked on top of the seed inside a 2 mm deep graphite crucible The crucible was then heated under 5000 sccm (standard cubic centimetre per minute) Ar up to the temperature of 1530degC At this point 3 sccm propane was introduced in the reactor for 5 min This high temperature nucleation step was followed by 1h growth at lower temperature (1220degC) in order to limit the melt evaporation After finishing the epitaxy the propane was removed from the reactor and the melt was sucked in by dipping into the melt a graphite tube connected to a vacuum pump The melt composition was Si25Ge75 The final thickness of the Ge doped 3C-SiC layer was 1 microm

A three-fold ion implantation of Ge at 600degC was carried out so that a box like Ge distribution was formed The implantation sequence consists of the following implantation steps (1) 200 keV (2) 85 keV and (3) 30 keV The implantation doses were 603x1015 cm-2 241x1015 cm-2 and 121x1015 cm-2 respectively 4H-SiC was used as a substrate material 22 Method of Investigation ALCHEMI (atomic location by channelling enhanced microanalysis) was used to determine the site occupancy of dopants in the SiC matrix ALCHEMI is a method which permits one to determine the site occupancy [20] [21] [22] [23] when the dopant concentration in the samples is in the range of 02 to 5at For higher dopant concentration the amount of dopants in the crystalline lattice can influence and disturb the channelling conditions

The ALCHEMI method is based on the following electron ndash solid state interaction If high energy electrons propagate through a single crystal they undergo channelling ie electron density appears concentrated either along atomic columns or in interstitials depending on crystal thickness and orientation This effect is most naturally described by thicknessorientation dependent excitation of Bloch waves The propagating electron wave is then represented as a superposition of all Bloch states If the orientation of the sample approaches a special direction (close to a Bragg reflection or to a low index zone axis) where crystal atoms can be separated in projection only a

Lattice Location Determination of Ge in SiC by ALCHEMI 355

few Bloch states dominate the wave function resulting in an inhomogeneous illumination of the unit cell Under such conditions atoms at one crystallographic site are ionized with higher probability compared with those at another site and consequently the ratios between the intensities of the emitted characteristic X-ray lines change This orientation dependent behaviour of the energy dispersive X-ray analysis (EDX) signal complicates the quantitative interpretation of EDX spectra ALCHEMI turns this drawback into an asset by measuring these altered line intensities and deduces the position of a minority component in the unit cell from the magnitude of this change compared with the change in the X-ray intensities of the main components which are used as internal standards [21] [24] The stimulated X-ray emission depends on the relative excitation of different Bloch states which represents the formation of periodic electron waves inside the crystal due to the periodic structure of the crystal

The zone axis [01-10] of 4H-SiC (for the sample prepared by ion-implantation) and [110] of 3C-SiC (for the samples grown by solid source molecular beam epitaxy and liquid phase epitaxy) were chosen for the experiments because at this zone axis the lattice sites of Si C and interstitials are separated in projection so that only rows of the same element in beam direction are formed This elemental separation allows additionally the separation of Bloch states of the Si C and interstitial sites Parallel beam conditions are very important to get accurate results Si C and Ge EDX-signals were recorded at these zone axes for tilts of 05g up to 25g with g = 0004 (ion-implanted 4H-SiC) g = 220 (for MBE grown 3C-SiC) and g = 002 (for VLS grown 3C-SiC)

Bloch wave calculations for ALCHEMI were performed using the program ldquombfitrdquo of Tsuda and Tanaka [25] to determine the dispersion surfaces and excitation of Bloch states This program package incorporates the scattering factors of Doyle and Turner [26] The periodicity of Bloch waves leads to a periodic electron density distribution which results in a higher X-ray excitation probability which can be measured as EDX-signal

For the investigation of the lattice site occupation of Ge in SiC the following algorithm has been applied measurement of tilt dependence of EDX signal Bloch wave calculation of tilt dependence of the excitation of selected Bloch states and comparison of measured and simulated dependencies For statistical reasons every measurement was carried out three times For the experimental investigation the analytical TECHNAI S20 TEM equipped with a LaB6 cathode was used at a voltage of 200 kV with an EDAX EDX system with a Si detector Because of the strong thickness dependency of the Bloch state excitation the same specimen thickness in calculation and experiment has to be observed The calculations were performed for a specimen thickness of 150 nm The experimental thickness was adjusted by comparison of thickness fringes appearing in the background of the central disk in CBED patterns with simulated patterns 3 Results and Discussion Figure 1 shows the results of Bloch wave calculations They were carried out to determine the excitations of atoms on lattice and interstitial sites for ALCHEMI if the beam was tilted in the denoted way for a calculated specimen thickness of 150 nm The Bloch state excitation curves [110] 3C-SiC for tilts along g220 and [01-10] 4H-SiC for tilts along g0004 are similar They differ only in the amplitude of the Bloch state excitation For this reason only the graph for [01-10] 4H-SiC is shown

The accuracy of the measurement was increased by analysing and comparing only relative changes to the strong Si signal This approach avoids the influence of the statistical fluctuations of the absolute count numbers The C signal cannot be analysed using this approach because of the carbon contamination during the measurement which led to a continuous change in the carbon signal For this reason the X-ray signal of Ge was measured and divided by the X-ray signal of Si for all chosen tilt conditions eg for [01-10] 4H-SiC with g0004 and [110] 3C-SiC with g220 respectively As an alternative tilting direction for [110] 3C-SiC the ALCHEMI investigation was undertaken for g002

356 T Kups et al

To verify the interpretation of the measurement results simulations of the Bloch state excitation which are directly correlated to the X-ray emission were carried out These simulated excitation amplitudes are presented in Fig 1a in the case of tilt conditions for [01-10] 4H-SiC with g0004 and [110] 3C-SiC with g220 Figure 1b represents the relative excitation amplitudes with respect to the Si signal The curves of the Bloch state excitation amplitudes are clearly separated depending on the tilt conditions The relative excitation amplitude for the carbon lattice site shows a maximum around 19 g0004 and 19 g220 in the case of [01-10] 4H and [110] 3C-SiC respectively whereas for the interstitial site the relative excitation amplitude displays a maximum at 28 g0004 and 19 g220 Due to the normalisation procedure the Si site excitation is independent of the tilt

Figures 2a and 2b display the calculated graphs for the excitation amplitude and the relative

normalised excitation amplitude of the [110] 3C-SiC tilt dependence in the direction of g002 As in the case of the reciprocal lattice vector g220 the different lattice site excitations show a distinct different behaviour depending on the location of Ge The normalised relative excitation amplitude for the carbon lattice site exhibits a continuous increase The interstitial site shows two maxima in the range of the chosen tilt conditions They are located around 15 g002 and 30 g002 As in the former case the normalisation procedure led to a Si site excitation independent on the tilt

Fig 1a Excitation amplitude of the Bloch states for [01-10] 4H-SiC and [110] 3C-SiC versus the reciprocal lattice vector in units of g0004 and g220respectively (150 nm sample thickness)

Fig 1b Relative excitation amplitude of the Bloch states for [01-10] 4H-SiC and [110] 3C-SiC relative to the Si signal versus the reciprocal lattice vector in units of g0004 and g220 respectively (150 nm sample thickness)

Fig 2a Excitation amplitude of the Bloch states for [110] 3C-SiC as a function of the reciprocal lattice vector in units of g002(150 nm sample thickness)

Fig 2b Relative excitation amplitude of the Bloch states for [110] 3C-SiC relative to the Si signal as a function of the reciprocal lattice vector in units of g002 (150 nm sample thickness)

Lattice Location Determination of Ge in SiC by ALCHEMI 357

Figure 3 shows CTEM images of all investigated samples with encircled areas to mark the region used for ALCHEMI investigations

The results of the ALCHEMI measurements are presented in Fig 4a in the case of ion

implantation into 4H-SiC and SSMBE of 3C-(Si1-xC1-y)Gex+y on 4H-SiC In Fig 4b the measurement results for the Ge doped 3C-SiC grown by VLS are shown For the ALCHEMI interpretation it is essential to recognize that the experimental graphs represent an overlay of all possible dopant lattice site occupations (Figs 1a and 1b Figs 2a and 2b) which can occur in the sample (Ge at Si C and interstitial sites) so that a 11 fit of experiment and simulation is not possible

Comparing Fig 4a with Fig 1b the strong increase of the Ge X-ray signal depending on the tilt

of the beam in relation to the measured Si X-ray signal corresponds to the behaviour of the normalized relative excitation curve for the interstitial position Therefore for the ion implanted sample the Ge dopant atoms are mainly located on interstitial sites

Fig 4a ALCHEMI results of the Ge ion implanted 4H-SiC and the SSMBE grown 3C-SiC sample The comparison with the simulated graphs of Fig 1b indicates the main occupation of Ge on interstitial sites for ion-implantation and on Si sites for MBE grown samples

Fig 4b ALCHEMI result of the Ge doped 3C-SiC grown by LPE The comparison with simulated graphs of Fig 2b indicates the main occupation of Ge on interstitial sites due to the maximum at 15 g002 with a value significantly above 1

Fig 3 Overview XTEM images of the Ge doped samples (a) MBE growth of 3C-SiC on 4H-SiC (b) VLS growth of 3C-SiC on 6H-SiC and (c) ion implantation into 4H-SiC where the maximum of the dopant concentration is situated about 100 nm below the surface The circles mark the area where ALCHEMI investigations were performed

358 T Kups et al

For the interpretation of the ALCHEMI results of Ge doped 3C-SiC layers grown by SSMBE (Fig 4a) the simulation of Fig 1b has to be used The almost horizontal graph around the value 1 (including error bars which are about 5-7) indicates by comparison with Fig 1b that in this sample the dopant atoms are mainly located on Si sites

The ALCHEMI result of the VLS grown sample shows a significant maximum at a tilt of 15 g002 followed by a decrease of the measurement signal taking into account the measurement error In comparison with Fig 2b this behaviour can be interpreted as Ge dopant location on interstitial sites because the simulations show a maximum in the normalized excitation amplitude only for the interstitial site occupation with this tilt condition References 1 Ikeda M Matsunami H and Tanaka T 1980 Phys Rev B 22 2842 2 Lebedev A A 1999 Semiconductors 33 107 3 Greulich-Weber S 1997 phys stat sol (a) 162 95 4 Dalibor T Pensl G Matsunami H Kimoto T Choyke W J Schoumlner A and Nordell N 1997

phys stat sol (a) 162 199 5 Reshanov S A Parfenova I I and Rastegaev V P 2001 Diamond and Related Materials 10 1278 6 Kalabukova E N Lukin S N Shanina B D and Mokhov E N 1990 Sov Phys Solid State 32

465 7 Weih P Stauden Th Cherkashinin G Teichert G Shokhovets S Voelskow M Skorupa W

Ambacher O and Pezoldt J 2004 Proc 8th Intern Workshop on New Approaches to High-Tech Nondestructive Testing and Computer Simulations in Science and Engineering St Petersburg St Petersburg Academy of Sciences C1

8 Katulka G Roe K Kolodzey J Eldridge G Clarke R C Swann C P and Wilson R G 2001 Appl Surf Sci 175-176 505

9 Vodakov Yu V Lomakina G A and Mokhov E N 1982 Sov Phys Solid State 24 780 10 Soueidan M Ferro G Stoemenos J Polychroniadis E K Chaussende D Soares F Juillaguet S

Camassel J and Monteil Y 2006 Mater Sci Forum 527-529 287 11 Zgheib Ch Masri P Weih P Ambacher O and Pezoldt J 2004 Mater Sci Forum 457-460 301 12 Zgheib Ch Kazan M Weih P Ambacher O Masri P and Pezoldt J 2005 phys stat sol (c) 2

1284 13 Pezoldt J Foumlrster Ch Weih P and Masri P 2001 Appl Surf Sci 184 80 14 Guedj C and Kolodzey J 1999 Appl Phys Lett 74 691 15 Diani M Kubler L Simon L Aubel D Matko I and Chenevier B 2003 Phys Rev B 67

125316 16 Starke U Schardt J Bernhardt J Franke M Reuter K Wedler H Heinz K Furthmuumlller J

Kaumlckell P and Bechstedt F 1998 Phys Rev Lett 80 758 17 Tanaka S Kern R S and Davis R F 1994 Appl Phys Lett 65 2851 18 Fissel A Schroumlter B and Richter W 1995 Appl Phys Lett 66 3182 19 Soueidan M Ferro G 2006 Adv Funct Mater 16 975 20 Spence J C and Tafto J 1983 J Microscopy 130 147 21 Tafto J 1982 J Appl Cryst 15 378 22 Kaiser U 2001 J Electr Microsopy 50 251 23 Frommeyer G Fischer R Deges J Rablbauer R and Schneider A 2004 Ultramicroscopy 101

139 24 Labagraver J L 1999 Appl Phys Lett 75 70 26 Tsuda K and Tanaka M 1999 Acta Cryst A 55 939 27 Doyle P A and Turner P S 1968 Acta Cryst A 24 390

Moores Law and its Effect on Microscopy in the Semiconductor Industry John Mardinly

Intel Corporation 2200 Mission College Blvd SC9-7 Santa Clara CA 95054 USA Summary In 1965 Gordon Moore predicted that the number of components in an integrated circuit would double every year [1] The drive for higher performance with greater economy has been a major factor in the pursuit of Moorersquos Law Device scaling is expected to continue without interruption and products manufactured using a nominal 22nm feature size should become commercially available by the year 2011 This paper will detail the technology enablers that make Moorersquos Law possible and the improvements in microscopy techniques required to meet the challenges that Moorersquos Law presents 1 Introduction Gordon Moorersquos observation of a limited data set in 1965 was not just a recognition of a technological phenomenon it was a recognition of an economic principle Improvements in technology made it possible to fit more transistors in less space but the strongest motivation for doing so was economic Failure to maximize the number of transistors per unit area would result in higher costs due to failure to take advantage of technology However putting too many transistors in too little space could result in too many transistors not working which would result in higher costs since non-functional parts cannot be sold Moore predicted an economic advantage of finding a lsquosweet spotrsquo for the pace of shrinking transistors to put more of them in less space As the feature size shrinks there are new demands on electron microscopy support of the new process technology 2 Semiconductor Industry Growth Moorersquos Law has stimulated tremendous growth in the semiconductor industry Figure 1 shows how the number of transistors manufactured and sold each year has increased nine orders of magnitude between 1968 and 2002 At the same time the cost per transistor has decreased nearly seven orders of magnitude resulting in semiconductor industry revenue growth from $3 billion in 1968 to $300 billion in 2002 3 Transistor Shrinkage Moorersquos Law predicted in 1965 an annual doubling in the number of transistors per chip but that was adjusted to doubling every two years in 1975 Figure 2 shows how that prediction has held true in both microprocessors and memory for over three decades In order to accommodate the increased number of transistors the feature size on each chip has been shrunk accordingly Figure 3 shows how the nominal size of features has maintained a steady logarithmic shrink over three decades The only deviation from that trend has been that the transistor gates have been shrunk even faster to produce speedier devices

362 John Mardinly

Fig 1 Data from thirty-four years of semiconductor industry growth show nine orders of magnitude growth in the number of transistors shipped with seven orders of magnitude reduction in cost per transistor [2]

gt 7 Orders of Magnitude Reduction in Price per Transistor

Moores Law and its Effect on Microscopy in the Semiconductor Industry 363

Fig 2 Exponential growth in the number of transistors per die has resulted in integrated circuits today with over 100 million transistors [2]

Fig 3 Exponential reduction in feature size has continued without interruption The transistor gate length shrinkage rate has actually exceeded Moorersquos law [2]

364 John Mardinly

The changes in microprocessors are quite startling when the first microprocessor is compared with the latest model about to be premiered The first microprocessor produced in 1971 had 2300 transistors with a feature size of 10 micrometers as shown in Fig 4 Intelrsquos newest microprocessor scheduled to be released late in 2007 has 410 million transistors with a nominal feature size of 45 nanometres as shown in Fig 5 According to Fig 3 the 45 nanometre devices should have a gate length of only 25 nanometres

Fig 4 Intelrsquos first microprocessor produced in 1971 had 2300 transistors and a gate length of 10 micrometres [2]

Fig 5 Intelrsquos newest microprocessor to be introduced later this year has 410 million transistors with a gate length of 25 nanometres [2]

4 Advanced Transistors Long-range planning for development and production of ever-smaller transistors requires a lsquoroadmaprsquo of dimensions and technologies Figure 6 shows a recent transistor roadmap for the first two decades of the 21st century Devices with 90 nanometer transistors are being phased out while devices with 45 nanometer transistors are being readied for production One feature common to most of these advanced transistors is carrier mobility enhancement through carefully engineered strain in the channels PMOS transistors are in compression through epitaxial silicon germanium grown source-drain regions as shown in Fig 7 whereas NMOS transistors are put in tension by nitride films applied to the outside of the transistors as shown in Fig 8 Beyond simple shrinkage new designs such as trigate carbon nano-tube

Moores Law and its Effect on Microscopy in the Semiconductor Industry 365

silicon or germanium nanowire and III-V superlattice designs are on the development roadmap

Another feature of high performance transistors that needed to be addressed was their high power consumption Current flow through the transistor when the transistor is supposed to be off combined with current leakage through the gate wastes energy and produces excessive heat Figure 9 shows where the excess current flows in a transistor when it should actually be turned off through both the gate and the channel High leakage per transistor combined with growing transistor density could result in thermal loads that could not be dissipated resulting in extreme temperatures that would melt any device as shown in Fig 10 Todayrsquos solution to this dilemma is to reduce the performance of individual transistors just slightly with tremendous benefits in efficiency and then increase the number of lsquocoresrsquo to re-gain performance Figure 11 shows how the lsquodual corersquo strategy enhances performance and efficiency Dropping the voltage and speed by 15 can cut the power consumption in half while dropping performance by only 10 Adding a second core increases power consumption back to where it was before but then the total performance of the two cores working together can be 80 greater than that of the single core working alone Projections are that multiple core microprocessors will be the trend of the future as microprocessor efficiency becomes equal in importance to raw performance

Fig 6 Transistor roadmap predicts what technologies might be required to continue Moorersquos Law through the first two decades of the 21st century [2]

366 John Mardinly

Fig 7 Lattice strain enhances electron mobility PMOS transistors are compressed using epitaxial SiGe [2]

Fig 8 A stressed capping layer is used to apply tension to NMOS transistors [2]

Fig 9 Continued current flow when the transistor is actually off combined with current leakage through the gate wastes energy and results in excessive heat[2] Fig 10 Increasing the density of lsquoleakyrsquo transistors was projected to produce more heat than could be removed by any known cooling systems[2]

Fig 9 Continued current flow when the transistor is actually off combined with current leakage through the gate wastes energy and results in excessive heat [2]

Moores Law and its Effect on Microscopy in the Semiconductor Industry 367

Fig 10 Increasing the density of lsquoleakyrsquo transistors was projected to produce more heat than could be removed by any known cooling systems [2]

Fig 11 Current microprocessor designs optimize performance and efficiency by reducing how hard the transistors are driven and re-gain performance by utilizing multiple cores[2]

Fig 12 Multiple-core microprocessors are the key to continuing performance improvements in the future [2]

368 John Mardinly

Fig 13 Conventional transistors are made from poly silicon deposited on a silicon-oxide insulator [2]

Fig 14 The new high-k metal gate transistors use a metal layer on a hafnium- based insulator [2]

Fig 15 TEM micrograph of the new high-k metal gate [2]

5 Lithography Challenge

Fig 16 Since 1997 semiconductors have been printed using a wavelength of light longer than the size of the feature being printed Extreme ultra violet lithography will not be ready until 2009 [2]

MMaannyy lsquolsquottrriicckkssrsquorsquo aarree rreeqquuiirreedd ttoo ccoonnttiinnuuee ssccaalliinngg uunnttiill EEUUVV iiss rreeaaddyy

Moores Law and its Effect on Microscopy in the Semiconductor Industry 369

Another key development is a change in gate dielectrics Thinner gate dielectrics allowed the field in the channel to be strong enough to switch the transistors on or off with lower applied gate voltage but gate dielectrics could not be made to function reliably when they were thinner than two silicon unit cells Changing to a hafnium-based high-k dielectric allowed a much thicker dielectric Eliminating the silicon above the dielectric removed a charge depletion region that unpredictably influenced the switching voltage of the transistor Figures 13 and 14 show schematics of these two transistor strategies and Fig 15 shows a TEM micrograph of part of the new gate

Since 1997 microprocessors have been made by printing features using optical lithography with a wavelength (193nm) longer than the size of the features being printed (Fig 16) Until optical lithography is replaced by extreme ultra violet (EUV-135nm) a number of tricks will be required to continue producing devices with progressively smaller dimensions Optical proximity correction is a technique altering the projection pattern so that interference within the transmitted plane wave will produce the desired pattern on the wafer Multiple patterning strategies use multiple exposures to refine the pattern being produced on the wafer Finally immersion lithography in which the final lens and wafer are immersed in a fluid increases the effective numerical aperture of the optics to improve the effective resolution and depth of focus

Fig 17 Extreme ultra violet lithography will not use conventional projection optics but will rely on reflection from patterns on dielectric mirrors [2]

370 John Mardinly

Fig 18 EUV machines are huge and expensive as can be seen in this photograph of a prototype tool at SUNY Albany [3]

EUV lithography promises to solve the dilemma of printing features smaller than the

wavelength of light At 135 nanometers wavelength EUV provides the wavelength of choice for the future Since light of this wavelength cannot pass through any known lenses the optics rely on dielectric mirrors to focus the light and the patterns need to be deposited on dielectric mirrors as shown in Fig 17 Since EUV also cannot pass through air the entire tool needs to be inside ultra high vacuum From the 135 nm source to the UHV chamber and optics these machines are huge and expensive as can be seen in the photograph in Fig 18 6 Future Transistors One attractive strategy for advanced transistors would have the channel surrounded on all sides by the gate as shown in Fig 19 This transistor geometry could have the highest efficiency and speed but would provide great challenges for mass production An alternative that could also have excellent efficiency and performance yet still be suitable for volume production is called a lsquotri-gatersquo transistor as shown in Fig 20 Three other transistor designs that are still being researched are silicon nanowires carbon nanotubes and III-V quantum well superlattice devices as shown in Figs 21 22 and 23 However these approaches are all in the research stage and volume manufacturing could be a decade away

Moores Law and its Effect on Microscopy in the Semiconductor Industry 371

Fig 19 An ideal transistor would have the gate surrounding the channel and would have the highest power efficiency possible [2]

Fig 20 The Tri-Gate transistor approaches this power efficiency and promises better manufacturability [2]

Fig 21 Future transistors could still be fabricated from silicon nano-wires surrounded by the gate [2]

Fig 22 Carbon nanotubes are another candidate for future transistors [2]

Fig 23 III-V quantum-well transistors are also contenders but will need integration of high-k dielectric gates [2]

7 Microscopy Challenges The transmission electron microscope (TEM) has been one of the most important tools for characterizing the morphology and dimensions of semiconductor features for many years and will be even more important in the future However changes in the structure of semiconductor devices will require changes in our methods and approach Three-dimensional transistors with dimensions significantly smaller than the mean free path of 200KV electrons will require very special cross-sectioning techniques Dual beam focused ion beam (FIB) tools with which one can view a specimen with improved contrast and resolution for centering the specimens will be essential Specimens will need to be made 10-20 nm thick on a regular basis Figure 24 illustrates some of the challenges When the specimen is not centered within a nanometer it needs to be dramatically thinner to preserve the fidelity of the image Furthermore at these dimensions any surface amorphous layers are not tolerable The specimen surfaces must have an abrupt transition from bulk to vacuum Eventually tomographic techniques will be needed to supplement cross-sectioning Figures 25 and 26 show how x-ray tomography can provide virtual cross-sections of

372 John Mardinly

specimens that cannot be cross-sectioned and Fig 27 shows how tomography has been used to image vertical interconnects However improvements are needed in automation resolution and contrast before tomography can fulfill its promise in the semiconductor industry

Fig 24 Specimen preparation requirements for obtaining clear interpretable images of features comparable to that of traditional TEM specimens are challenging The specimen thickness and centering of the cross-section onto the center of the feature must fall below curves in this plot and the amount of damage on the surface caused by the ion beam must be a small fraction of the total specimen thickness [4]

Fig 25 Medical radiographs are analogous to TEM specimens in which the specimen thickness is comparable to the size of the objects being examined

Fig 26 Computed axial tomography holds the promise of creating virtual cross-sections of objects that challenge the ability of our lsquorealrsquo cross-sectioning capability

100 200 300 400 500

100

200

300

400

500

600

700

Specimen Thickness Aring

Centering Error Aring

2500 Aring 1800 Aring 2 1300

Aring

30 KeV Ga+ Damage

5 KeV Ar+ or 2 KeV Ga+ Damage

Moores Law and its Effect on Microscopy in the Semiconductor Industry 373

Fig 27 Three different views of a vertical metal interconnect reconstructed from a tilt series using high angle annular darkfield scanning transmission electron microscopy (STEM) [5]

References

1 Moore G E 1965 Electronics 38 2 httpwwwintelcomresearchsilicon 3 httpwwwasmlcom 4 Jamison R B 2000 Experimental and Computational Quantification of Focused Ion Beam

Damage in Silicon during TEM Specimen Preparation Ph D Thesis (University Microfilms) 5 Yang Q Mardinly J Kuumlbel C Nelson C and Kisielowski C 2005 Microscopy and

Microanalysis 11 (S02) 209

Tomographic Analysis of a FinFET Structure O Richard A Kalio H Bender and E Sourty1 IMEC Kapeldreef 75 B-3001 Leuven Belgium 1 FEI Company Achtseweg Noord 5 5600 KA Eindhoven The Netherlands Summary A FinFET structure is analysed by HAADF-STEM tomography The dependence of the results for similar FinFET structures on the specimen orientation the TEM specimen geometry the tilt axis of the tomography acquisition and the 3D reconstruction scheme are investigated The 2 nm thick high-k (HfO2) layer surrounding the Fin is clearly observed on the different 3D reconstructions showing the high resolution of the technique 1 Introduction Multiple-gate silicon on insulator MOSFET devices with high drive current and good short channel characteristics are among the most promising CMOS structures beyond the 45 nm node [1 2] The FinFET (Fin Field Effect Transistor) configuration is one of the structures considered for these devices The FinFET structures consist of single crystalline silicon fingers with the gate line crossing in the orthogonal direction Both Fins and polySi gate lines are about 60 nm high and only 20 nm wide

The interfacial roughnesses and the overlap of different materials (Ni silicidepolysiliconmetallic gate (TiCN) high-k dielectric (HfO2) nitride spacer oxide) along the electron beam direction render the observation of the Fins difficult in usual TEM mode due to the projection over the specimen thickness (Figs 1a and 1b) Tomographic 3D reconstruction from high angle annular dark field scanning (HAADF-S) TEM image series overcomes this problem and is therefore the most suited technique to study such 3D devices 2 Experimental Different TEM specimens from similar FinFET structures are prepared and studied Plane-parallel cross-section TEM specimens with the Fin parallel (Fig 1a) and perpendicular (Fig 1b) to the lamella and a cylindrical specimen with the Fin perpendicular to the specimen (Figs 3a and 3b) are prepared with the focus ion beam (FIB) lift-out technique A CVD glass layer and a sputtered Pt layer are deposited beforehand on the area of interest in order to protect the specimen during the FIB milling

10 nm gold beads used as fiducial markers are deposited on the samples in order to achieve a proper alignment of the STEM images composing the series The TEM specimens are fixed to the single tilt holder (Fischione) with the tilt axis subsequently parallel to either of two perpendicular directions 1 and 2 (see Fig 1)

The different materials (silicidepolysilicon gate Fin) comprising the FinFET structure are crystalline inducing diffraction contrast in the TEM image series and breaking the projection criterion needed for tomography This problem is overcome by using the HAADF-STEM mode where the contrast observed on the images is proportional to the thickness of the specimen and to ~ltZgt17 where Z is the atomic number

The STEM image series is acquired with a FEI Tecnai (F30) FEG transmission microscope operating at 300 kV using the Xplore3D software Due to the geometry of the different specimens the tilt range varies but is taken for each series as large as possible (~ plusmn 70ordm with 1ordm tilt increment) till the FinFET is shadowed by the silicon substrate (direction 1) or by a metallic contact or the sidewall of

376 O Richard et al the TEM specimen (direction 2) The image alignment and the 3D reconstruction are performed with an off-line computer with the Inspect3D software The weighted back projection (WBP) scheme and the simultaneous iterative reconstruction technique (SIRT) with 20 iterations are used for the 3D reconstruction [3 4] Slices of the 3D reconstruction are obtained with the Amira software 3 Results and Discussion

Fig 1 Cross-section TEM image of the studied FinFET structures with the Fin a) parallel and b) perpendicular to the lamella The tomographic tilt axes are represented by the direction 1 and 2

31 Fin Paralell with the TEM Lamella A cross-section TEM image of the sample with the Fin parallel with the lamella is presented in Fig 1a Due to the overlap of different materials over the TEM specimen thickness the interpretation of such an image is not obvious

Different slices from the 3D reconstruction obtained with the tilt axis parallel to the direction 1 and with a tilt range of -70ordm - + 70ordm (increment step 1ordm) are presented in Fig 2 The location of the different slices is indicated in the caption of Fig 2

The HfO2 layer ~ 2 nm thick exhibiting bright contrast is clearly detected at the top of the Fin and below the polysilicon gate (white arrows Fig 2c) It is more difficult to observe the high-k layer on the vertical sidewall of the Fin on this image this layer is however clearly observed on the orthogonal slice of Fig 2b The trapezoidal shaped bright contrast observed on Fig 2b (white arrow) comes from the high-k layer and is symmetric with respect to the center of the Fin width (not shown here) This shape should ideally be rectangular It indicates that the etching of the TiCN high-k layer stack orand of the polySi layer was not optimal in this area Such effects cannot be detected on usual TEM images The bright contrast observed in the middle of the Fin under the gate (white arrow Fig 2a) is most likely due to an artifact induced by the depth resolution This contrast is not as clearly observed on the slice coming from the WBP 3D reconstruction (Fig 2f)

The gold bead observed at the edge of the structure on Fig 2c is due to the fact that this specimen was not thinned exactly plane-parallel but is thinner in the area of the FinFET structure X shaped bands are observed on the slices showing the Fin in cross-section (Figs 2c 2d and 2e) The missing wedge due to the limited tilt range is responsible for these artifacts

The slices coming from the WBP reconstruction (Figs 2e and 2f) contain more noise than the slices of the SIRT20 reconstruction (Figs 2a and 2d) obtained at the same position but exhibit better contrast eg between the nitride spacers (white arrows) and the oxide (Fig 2e) voids in the

Tomographic Analysis of a FinFET Structure 377

liner layer above the silicide layer are more clearly observed (white triangles Fig 2f) The 3D reconstruction obtained from the image series acquired with the tilt axis parallel to the

direction 2 (tilt range -69ordm - +63ordm increment step 1ordm) gives similar results to the previous ones It is nevertheless worth noting that the part of the Fin on both sides of the polysilicon gate line is less clearly observed (not shown here)

Fig 2 Slices from the 3D reconstruction obtained with the Fin parallel to the specimen and with the tilt axis parallel to the direction 1 Slice parallel with the Fin length and in the middle of the Fin width with a) SIRT20 and f) WBP reconstruction schemes b) Slice parallel with the Fin length at the level of the high-k layer c) Slice showing the Fin in cross-section in the middle of the polysilicon silicide gate line with SIRT20 reconstruction scheme Slice showing the Fin in cross-section further away from the gate with d) SIRT20 and e) WBP reconstruction scheme

32 Fin Perpendicular to the TEM Lamella A cross-section TEM image of this specimen is presented in Fig 1b Similar results are obtained for the 3D reconstruction obtained from the image series acquired with the tilt axis parallel to the direction 1 and to the direction 2

The high-k layer surrounding the Fin is clearly observed at the top and at the sidewalls of the Fin and below the polysilicon on the different slices showing the Fin in cross-section 33 Cylindrical Specimen TEM images of the cylindrical specimen are presented in Figs 3a and 3b The preparation of such a specimen is quite tedious since in the ideal case the polysilicon line and the Fin should cross in the center of the cylinder The advantage of such specimen geometry is that the structure of interest is isolated and overlap with other structures (eg metallic contact or next Fin) during the tilting of the specimen is avoided Moreover the thickness of the specimen is constant during tilting which is not the case with a usual plan-parallel TEM specimen Using a dedicated holder

378 O Richard et al and slightly different sample geometry to the one presented in Fig 3 it should be possible to reach a tilt range of plusmn90ordm and overcome in this way the artifacts due to the missing wedge in the 3D reconstruction

Fig 3 Cross-section TEM image of the cylindrical specimen showing a) the whole cylinder and b) the FinFET structure c) Slice showing the Fin in cross-section in the middle of the polysilicon silicide gate with the SIRT20 reconstruction scheme d) Slice showing the Fin in cross-section further away from the gate with SIRT20

4 Conclusions The 3D tomographic analysis of nano-structures such as the FinFET presented in this report allows the retrieval of information which is difficult or not possible to obtain via conventional (S)TEM imaging due to the projection of the different materials over the TEM specimen thickness eg the HfO2 trapezoids revealed in the slides next to the Fin On the 3D reconstructions of the specimens with different geometries the ~ 2 nm thick HfO2 layer surrounding the Fin is clearly revealed The best configuration in order to clearly observe the Fin is obtained when the Fin is perpendicular to the specimen Acknowledgements P Van Marcke (IMEC) is acknowledged for the difficult but successful preparation of the different TEM specimens The IMEC Device Implementation Project group has provided the sample References 1 Wong HndashS P 2002 IBM J Res amp Dev 46 133 2 Colinge J P 2004 Silicon-on-Insulator Technology Materials to VLSI 3rd edition (Springer) 3 Midgley P A and Weyland M 2003 Ultramicroscopy 96 413 4 Kuumlbel C Voigt A Schoenmakers R Otten M Su D Lee TndashC Carlsson A and Bradley J 2005

Microscopy and Microanalysis 11 378

3-D Characterisation of the Electrostatic Potential in an Electrically Biased Silicon Device A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley Dept of Materials Science University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary Tomographic off-axis electron holography has been used to reveal the 3-D electrostatic potential distribution in an electrically biased FIB-prepared silicon device Difference tomograms have been reconstructed where the tomogram of the unbiased specimen is subtracted from the tomogram of the specimen under applied reverse bias The electrical activity as a function of depth into the specimen has been characterised revealing that the bias is applied uniformly across the electrically active region in the specimen and that the surfaces of this region have a much lower electrically active dopant concentration than that present in the centre of the specimen 1 Introduction Characterisation of the electrostatic potential distribution in semiconductor devices using off-axis electron holography has become more widely used in recent years with many examples of the successful visualisation of 2-D dopant-related electrostatic potentials (eg [1] [2]) Although electron holography promises to provide fully quantitative results the measured potential is a 2-D projection along the electron beam direction through the semiconductor membrane thickness including all surface potential effects Currently only focused ion beam (FIB) milling can provide the site-specificity required to prepare semiconductor device structures for examination in the transmission electron microscope (TEM) This preparation technique is known to generate amorphous and electrically altered near-surface layers In order to obtain a quantitative characterisation of the bulk and surface properties of a semiconductor membrane a 3-D map of the electrostatic potential variation is required A combination of electron tomography with electron holography promises to fulfil this requirement The phase signal reconstructed from off-axis electron holograms satisfies the tomographic requirement that the signal is a monotonic function of the sample thickness and it should therefore be possible to reconstruct the 3-D phase (and therefore the related electrostatic potential) associated with a doped semiconductor device This measurement is particularly important for the quantitative determination of the electrostatic potential at a FIB-modified semiconductor surface but also has significant relevance to the examination of many nanoscale semiconductor structures

To examine a semiconductor device structure under working conditions an electrical bias must be applied in-situ in the electron microscope Frabboni et al [3] presented results revealing the qualitative electrostatic potential distribution around a reverse biased p-n junction and modelling of the expected electrostatic potential showed that the reference wave is significantly perturbed by the presence of external fringing fields resulting in holographic phase images that must be carefully interpreted to reveal the potential quantitatively [4] More recently off-axis electron holography results have been presented revealing the quantitative 2-D electrostatic potential in an electrically biased semiconductor device [2] These results have shown that for FIB-prepared specimens no external fringing fields are observed and therefore the phase revealed by off-axis electron holography can be more readily interpreted and related to the electrostatic potential in the specimen Additionally even under applied electrical bias the effects of sample preparation using the FIB are still significant and therefore a 3-D analysis of the electrostatic potential in an electrically biased device is required This paper presents experimental results obtained from an electrically biased semiconductor device that has been examined using the combined techniques of off-axis electron holography and electron tomography

380 A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley

2 Experimental Details

21 Electrical Biasing Holders for TEM

Specialised biasing holders for applying electrical contacts in situ in the electron microscope were designed and built in collaboration with Fischione Instruments Inc For these experiments a two-contact holder (illustrated in Fig 1a) was used with a sample geometry as illustrated in Fig 1b For these experiments the novel sample geometry has been used illustrated in Fig 1b where a thin membrane is milled along the edge of a cleaved square of silicon that can be tilted through 360ordm without shadowing by the bulk specimen The two-contact electrical biasing tomography holder is capable of tilts of plusmn 80ordm in the electron microscope A silicon p-n junction device with nominal dopant concentrations of 5 x 1018cm-3 in both p and n regions was prepared in this sample geometry using an FEI FIB 200 operated at 30 kV

Fig 1 a) Diagram of the end of the Fischione TEM holder used for electrically biased electron tomography and holography b) Schematic diagram of the sample geometry used for combined electron holography and tomography of a silicon p-n junction

22 Experimental Procedure

Off-axis electron holograms were acquired on a Philips CM300 field-emission TEM which was operated in Lorentz mode and equipped with a Gatan imaging filter (GIF) 2000 using a biprism voltage of 100 V Three tilt series of holograms were acquired using a tilt range of -70ordm to +70ordm at 2ordm intervals with the specimen under a reverse bias of 0 2 and 3 V Reference holograms were acquired every 10ordm in tilt in order to remove distortions associated with the imaging and recording system Fig 2a shows an off-axis electron hologram acquired at zero degrees tilt (defined as the tilt that results in the junction being edge-on) and Fig 2b shows the corresponding reconstructed phase image Convergent beam electron diffraction was used to determine the crystalline thickness of the FIB-prepared membrane This thickness was determined to be 330 nm giving a total membrane thickness of 380 nm including the thickness of amorphous surface layers generated by FIB milling

23 Data Analysis

Off-axis image and reference holograms were reconstructed to obtain phase and amplitude images using library programs written in the Semper image processing language [5] The amplitude images were used to calculate normalised thickness (tλ) maps of the specimen for each tilt angle Fig 2c shows the tλ map corresponding to the hologram in Fig 2a and Fig 2d plots the variation in tλ over the entire tilt range showing that a number of points lie away from the line of expected thickness variation This variation may indicate that the specimen is in a strongly diffracting condition which affects the measured phase and amplitude images complicating the interpretation of the observed phase image Such images were therefore excluded from the tomographic dataset used for 3-D reconstruction The reconstructed phase images were adjusted to set the average phase of the p and n regions to zero This tomographic reconstruction therefore only reveals the dopant-related electrostatic potential and not the underlying mean inner potential

(a)

FIB-milled specimen

4 mm 25 microm p-type layer

n-type substrate

Si cleaved wedge

FIB-milled membrane

(b)

~1 mm

3-D Characterisation of the Electrostatic Potential in an Electrically Biased Silicon Device 381

Fig 2 a) Off-axis electron hologram b) corresponding reconstructed phase image and c) thickness (tλ) map acquired at 0o tilt of the FIB-prepared silicon p-n junction d) Plot of the variation in thickness (tλ) as a function of tilt angle The solid line indicates the expected variation in thickness with tilt angle The simultaneous iterative reconstruction technique (SIRT) was used to reconstruct the 3-D

electrostatic potential in the specimen Fig 3a shows a schematic diagram of the expected electrostatic potential variation illustrating the amorphous and crystalline electrically inactive surface layers deduced previously [2] The phase change measured between the p and n regions by off-axis electron holography can be expressed as ( )int +=∆

elt

applbiE dzzyxVzyxVC0

)()(φ where

Vappl is the applied reverse bias Vbi is the built-in voltage of the unbiased junction CE is a microscope determined constant and tel is the electrically active thickness as illustrated in Fig 3a The thickness was constrained in the reconstruction to 280 nm because the featureless membrane surfaces cannot be reconstructed accurately with the restricted tilt range due to the lsquomissing wedgersquo of information This reconstructed volume is only the electrically active region of the specimen excluding the crystalline and amorphous electrically inactive surface layers because these do not contribute to the observed phase change The spatial resolution of the tomogram is 25 nm with a potential resolution of ~ 01 V

Tomographic reconstructions of the 2 and 3 V series were also obtained using the 0 V reconstruction as a lsquoreferencersquo to obtain a difference tomogram The tomogram of phase data for the unbiased junction φ0V(xyz) was subtracted from the tomogram of phase data (φnV(xyz)) for the junction under nV applied reverse bias (where n = 2 or 3) Taking CE = 728 times 10-6 V-1m-1 with a voxel size of 58 nm the quantitative 3-D electrostatic potential distribution arising from the applied bias was revealed These tomograms reveal the electrically active regions in the specimen across which the applied voltage is present

3 Results and Discussion

The experimental tomographic reconstruction for the device under 3 V reverse bias taken as a difference tomogram using the 0 V tomogram as a reference is shown in Fig 3b The variation in electrostatic potential revealed in the tomogram shows only the changes in potential that arise from the application of a reverse electrical bias to the specimen Under an applied reverse bias all of the applied voltage should be dropped across the charge depleted region present at the p-n junction which increases the width of the depletion region Figs 3c and 3d show theoretical profiles for the predicted variation in the potential distribution across an abrupt p-n junction for a given reverse bias from which the electrostatic potential distribution across the unbiased p-n junction has been subtracted to give the difference in potential arising from the applied electrical bias Data has been plotted for two different electrically active dopant concentrations illustrating that for a lower electrically active dopant concentration the applied reverse bias is simply dropped over a larger depletion region

Voxel traces taken from the centre top and bottom of the tomographic reconstruction (shown in Fig 3b) are plotted in Fig 3e These profiles reveal that the applied bias is dropped uniformly across the electrically active thickness giving rise to an electrostatic potential change of ~ 3 V across the junction at the top centre and bottom of the electrically active region However the

200 nm

(a) (b) (c)

0

2

4

6

8

10

-75 -50 -25 0 25 50 75Tilt angle ( deg )

t λ

(d)

382 A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley

slowly varying potential at the top and bottom indicates that the corresponding electrically active dopant concentrations are much lower than observed in the centre of the membrane

Fig 3 a) Schematic diagram showing the expected physical and electrical structure of a FIB-milled silicon specimen b) Tomographic reconstruction of the difference electrostatic potential in a FIB-prepared silicon device under an applied reverse electrical bias of 3 V c) Plot of the theoretical variation in electrostatic potential for a symmetrical abrupt junction with an electrically active dopant concentration of 5 times 1018 cm-3 as a function of applied reverse bias The electrostatic potential for an unbiased junction has been subtracted from the total potential thereby revealing only the potential changes that arise from the application of the electrical bias d) Corresponding plot to (c) but with an electrically active dopant concentration of 1 times 1018 cm-3 e) Voxel line traces extracted from the top centre and bottom of the tomogram in (b)

4 Conclusions Off-axis electron holography and tomography have revealed the 3-D electrostatic potential in an electrically biased silicon p-n junction device Difference tomograms have revealed that the applied electrical bias is present across the entire electrically active region in the specimen and that the surfaces of this region have a much lower electrically active dopant concentration than that present in the centre of the specimen This technique is very promising for the examination of more complex semiconductor devices in 3-D under working conditions Acknowledgements The authors would like to thank Philips Research Laboratories (Eindhoven) for providing the silicon device and the Royal Society and the EPSRC for financial support

References 1 McCartney M R Gribelyuk M A Li J Ronsheim P McMurray J S and Smith D J 2002 Appl Phys Lett

80 3213 2 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2005 Microsc

Microanal 11 66 3 Frabboni S Matteucci G Pozzi G and Vanzi M 1985 Phys Rev Lett 55 2196 4 Capiluppi C Migliori A and Pozzi G 1995 Microsc Microanal Microstruct 6 647 5 Saxton W O Pitt T J and Horner M 1979 Ultramicroscopy 4 343

(b)

580 nm 325 nm

280 nm n-type p-typen-type p-type

Amorphous dead layer Crystalline dead layer

Electron beam direction

Amorphous dead layer

(a)

Crystalline dead layer

Electrically active thickness tel

-3-2-10123

0 200 400 600 800Distance (nm)

Ele

ctro

stat

ic p

oten

tial

diff

eren

ce (V

)

-1

0

1

2

3

-80 0 80-1

0

1

2

3

-80 0 80

Ele

ctro

stat

ic p

oten

tial

diff

eren

ce (V

)

Distance (nm) Distance (nm)

Top Centre Bottom

3V 2V

1V

3V2V

1V

(c) (d) (e) E

lect

rost

atic

pot

entia

l di

ffer

ence

(V)

Three-Dimensional Field Models for Reverse Biased P-N Junctions F Ubaldi G Pozzi P F Fazzini1 and M Beleggia2 Physics Department and CNISM University of Bologna Vle Berti Pichat 62 40127 Bologna Italy 1 CEMES-CNRS 29 rue Jeanne Marvig 31055 Toulouse Cedex 4 France 2 Brookhaven National Laboratory Building 480 Upton NY 11973 USA Summary In order to obtain reliable quantitative information on the electrostatic field associated with reverse-biased p-n junctions and on the distribution of dopants the physics of the so-called ldquodead layerrdquo and the influence of charged oxide layers are of paramount importance For this purpose experimental observations near the edge of a TEM sample can be useful Under these conditions however phase computations required to interpret the experimental results are very challenging as the problem is intrinsically three-dimensional In order to cope with this problem a mixed analytical-numerical approach is presented and discussed 1 Introduction Electron holography is a very powerful method for investigating semiconductor devices [1] and reverse-biased p-n junctions [2] at sub-10 nm resolution by means of transmission electron microscopy (TEM) techniques However these experiments are very challenging from the theoretical point of view In fact the simple classical model for describing the electrical behaviour of the reverse-biased p-n junction is no longer valid and software packages like the ISE-tCad suite [3] are required to develop realistic models taking into account unexpected experimental effects like the charging-up of the dielectric layer [45]

In order to cope with this problem in the two-dimensional case we use a mixed numerical-analytical approach in order to take into account the effect of the external fringing field without wasting computational resources Recently we have also developed a suitable analytical solution for the three-dimensional problem of an array of reverse-biased p-n junctions in a very thin specimen [67] We are now investigating whether the numerical-analytical approach can be applied in the three dimensional case in order to have a reliable model of the external field and to interpret edge-on observations 2 Numerical vs Analytical Calculations for a Step Junction With a finite-element numerical sofware package the only way to assess its reliability is to vary the parameters until a stationary result is obtained within some preassigned error In our case the availability of an analytical model allows us to reduce the arbitrariness and to evaluate better the accuracy of the numerical approach Therefore we have first applied the ISE-tCad suite to simulate the case of an abrupt p-n junction in a very thin specimen and compared the results with the analytical calculations for the field and the integrated potential (proportional to the electron optical phase shift which is the important quantity for the simulations of TEM observations) To this end we extended the simulation domain independently in z and y and increased the sampling density across the junction until the electrostatic and the integrated potential showed negligible modifications in the region of interest (Fig 1) The variation along the x direction is not considered because it is directly related to the periodicity of the array

384 F Ubaldi et al

Fig 1 Equipotential surfaces of a step junction (plusmn1 V) with negligible thickness ∆x=4 microm ∆y=8 microm a) ∆z=2 microm the simulation domain is too small along z and artifacts introduced by the boundary condition

0ˆ =sdotnabla nV are evident when the potential topography is compared to b) where the

simulation domain was extended to ∆z=8 microm in this case the electrostatic potential is well contained in the simulation domain vanishing before z reaches the domain edge Note also that the choice of ∆z affects the equipotential surfaces also along y as visible by comparing the stray field potentials in the vacuum region (ylt0) of the junction plane z=0 In (b) ∆zi denotes the range of the integration of the potential used to evaluate the phase shift associated with the junction

The comparison of numerical results with those obtained by calculating the analytical expressions through Mathematica [8] shows that we determined the appropriate domain size and sampling resulting in a maximum relative error of 0001 in the potential and of 002 in the integrated potential calculated over the whole vertical length (Fig 2) where the phase shifts are calculated for 200 keV electrons

Fig 2 a) Phase shift obtained by numerical integration along z of the ISE-tCad potential of a -1 V (p) +1 V (n) step junction b) its difference with the analytical phase shift of the same junction

The absolute difference decreases down to 08 rad by adjusting the range of integration ∆zi to half ∆z (see Fig 1)

It is interesting to note that this error decreases to 001 if the potential is integrated only up to half the simulation domain ∆z This effect is due to the distortion of the electrostatic potential near the simulation edges induced by the boundary conditions used in the numerical computation These results show that the numerical evaluation of the phase shift is affected by the choice of the boundaries more strongly than the potential and that in order to have reliable results we have to ldquowasterdquo numerical resources to include the empty space around the specimen These resources may be better utilized in the device simulation if the external field and phase shift are calculated using the analytical results whenever possible

Since however real specimens are most likely thick objects we have to account for their finite thickness To this end we employ a mixed numerical-analytical approach that consists in taking the analytical model for the zero-thickness step junction (Fig 3a) and prolonging its z=0

Three-Dimensional Field Models for Reverse Biased P-N Junctions 385

plane potential along z over the thickness t This approximate potential is then compared with the fully 3D model (Fig 3b) the equipotential lines look very similar for both cases and the comparison between the phase shifts confirms that the ldquoprolongedrdquo model is affected by a maximal relative error of about 004 The error however lies mainly outside the region of interest across the junction

Fig 3 a) Vertical section of the potential at 05 microm from a 200 nm thick junction obtained by prolonging the z=0 plane potential along the specimen thickness This procedure results in straight equipotential lines over the thickness t b) the fully 3D simulation over the same region showing small differences with respect to (a) c) phase difference contours between the models (a) and (b) the largest error is of 25 and becomes 4 at 300 nm thickness However the error lies mainly outside the region of interest across the junction

3 The CPAC Model for the Semiconductor Junction To improve further our 3D model we extend the former considerations to a realistic semiconductor junction and introduce the CPAC model (Cut Paste Analytical Computation) This approach consists in building a 3D potential by cutting pasting and prolonging with analytical computations parts of a two-dimensional (2D) potential cuts of a 2D simulation The way of cutting pasting and prolonging the potential is suggested by the similarity between the ldquoprolongedrdquo potential as discussed above and the fully 3D simulated potential First a 2D simulation is taken (Fig 4a) and its surface potential is utilized to compute the potential in the region 3 of Fig 4b by using the analytical formula [1] while the inner potential is cut and pasted with a 45 degrees pattern to recover the 3D specimen potential The choice of this particular patching angle (45deg) is somewhat arbitrary and most likely depends on the thickness In fact while it is certainly reasonable that in the limiting case when the specimen thickness is very large the symmetry dictates the same potential topography as a function of y and z for thin specimens it is conceivable that other patching angles may be more appropriate Also it is not clear whether patching should occur along a straight line rather than along a more general curve However for very thin specimens the smaller relative contribution of the internal field topography to the total potential may minimize the effects of choosing a different patching procedure Finally the analytical potential of region 3 is extended over the thickness in front of the specimen with a constant z prolongation to recover the potential of the region 4

Such a model is a good approximation for a real device if the full 3D surface potential doesnt vary appreciably in the directions orthogonal to the junction ie y and z Figure 4c shows the equipotential lines of a 3D numerical simulation the condition of constant surface potential along the y and z directions is satisfied The comparison with the corresponding CPAC simulations (Fig 4d) shows that the two models look very similar the relative differences between phase shifts do not exceed 003 over the specimen (Fig 4f)

4 Conclusions We have described several steps towards the development of a realiable three-dimensional model for the electrostatic potential and electron-optical phase shift associated to a p-n junction The availability of a relatively simple analytical model for an ideal junction of negligible thickness is

386 F Ubaldi et al

the building block of the realistic 3D model which is constructed from suitably cutting pasting and prolonging two-dimensional slices of the potential Since the CPAC model works rather satisfactorily for the thick abrupt and for the ldquoidealrdquo thick semiconductor junction we plan to extend it to cover even more realistic cases such as specimens coated with native surface oxides and electrically inactive layers

Fig 4 The CPAC model of a Sb-B junction at 3V reverse bias a) f(xz) is the 2D potential b) the CPAC 3D model region 1 the potential is V(xyz)=f(xz) region 2 V(xyz)=f(xy) region 3 analytical computation from the 2D surface line region 4 constant prolongation from region 3 c) numerical 3D model to be compared with the CPAC d) phase shift associated to the numerical 3D model e) phase difference between the two models over the specimen the relative error is less than 3

Acknowledgements Financial support was provided by MIUR FIRB funding RBAU01M97L and by the US Department of Energy Basic Energy Sciences under contract number DE-AC02-98CH10886 References 1 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 Phys Rev Lett 82

2614 2 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2005

Microsc Microanal 11 1 3 ISE Tcad v 80 by Integrated System Engineering httpwwwisech 4 Beleggia M Fazzini P F Merli P G and Pozzi G 2003 Phys Rev B 67 045328 5 Fazzini P F Merli P G Pozzi G and Ubaldi F 2005 Phys Rev B 72 085312 6 Beleggia M Fazzini P F and Pozzi G 2003 Ultramicroscopy 96 93 7 Fazzini P F Pozzi G and Beleggia M 2005 Ultramicroscopy 104 193 8 Wolfram S The Mathematica book Product web page httpdocumentswolframcomv5

Automated Quantification of Dimensions on Tomographic Reconstructions of Semiconductor Devices A Kalio O Richard E Sourty1and H Bender IMEC Kapeldreef 75 B-3001 Leuven Belgium hugobenderimecbe 1 FEI Company Achtseweg Noord 5 NL-5600 KA Eindhoven The Netherlands Summary Electron tomography analysis allows a detailed structural investigation of nano-devices The development of software to deduce quantitative metrological information about the structures is discussed 1 Introduction The ongoing miniaturization in the field of microelectronics has led to more complex geometries of semiconductor devices (S)TEM imaging finds applications after all kinds of semiconductor process steps and becomes crucial for the metrology of the advanced devices In such structures important details can often not be revealed anymore by scanning electron microscopy eg for the metrology of thin layers (gate dielectrics metal barriers seed layers) and small structures the characterization of over- and under-etch or the modified layers in etched low-k materials As the dimensions of these structures are on the same scale (or less) than the typical thickness of TEM specimens the projection effects in the 2D images obtained by standard TEM or STEM become a strong limitation to the analysis of the fine details of the structures For the inspection of these nano-devices 3D analysis methods are required Electron tomography in STEM or TEM mode is a relatively recent method in material science that has the potential to fulfil the mentioned requirements for advanced nano-electronic devices [1-5]

Besides the qualitative 3D views and the possibility of extracting image slices from the reconstructed volume in any direction there is a need for fast measurement of different features through the reconstructed volumes The data can for example be used to identify the variation of line width and height in differently processed devices Hence the possibility for quantitative comparisons between datasets is required The metrology options in the current standard software packages do not fully fulfil these needs

In this work a semi-automated procedure (ldquoQuanti3Drdquo) is developed that allows us to determine and visualize various dimensions eg widths heights areas or volumes of selected features based on the slices of a reconstructed volume The methodology is applied for quantification of STEM tomography reconstructions to deduce the dimensions of their features eg tungsten plugs different silicide phases in fully silicided gates and line width and silicide thickness variations in non-volatile memory cells 2 Procedure The routines for the determination of the various dimensions through the reconstructed volume are written in Matlab software The data analysis flow starts with the standard reconstruction procedure with the Inspect3D software Next the reconstructed volume is exported for visualization to the Amira software In Amira surface rendering of the different materials is performed A manual inspection of the isosurfaces between the different materials as determined by the software based on a chosen threshold of the gray level is generally necessary in a number of slices through the volume The boundaries in

388 A Kalio et al

the intermediate slices can then be obtained automatically by interpolation The interval between the slices that needs manual inspection depends on the dataset ie the contrast between the materials artefacts due to the reconstruction and the shape of the structures For accurate description of the interface between the different materials the manual determination of the borders generally needs to be done every ~10 slices which results in a time consuming procedure The surfaces of the materials obtained in this way are represented by a triangulated surface For each material this surface is exported from Amira as a VRML (virtual reality modelling language) file which is used as an input for the further analysis with Matlab

For the measurement of distances in a given direction the intersecting points of the chosen direction with the mesh of the triangulated surface must be determined Due to the shape of the triangles forming the surface generally corresponding points on both intersects will not be present and some interpolation is necessary To obtain a high accuracy the number of points on the triangulated surface should be high (typically 10-20 MB files) Currently the procedure is limited to directions parallel to the principal axes of the xyz reference system of the reconstruction Due to the triangulation often in every second slice a much lower point density is present leading to erroneous length calculations that appear as noise in the plots of the dimensions versus the slice number Removal of every second value removes this noise Areas in the sections of the slices with the volume are determined by calculating the lengths in a given direction in the slice in subsequent steps corresponding to the voxel size The area is then determined by summing the lengths and taking into account the step size of the voxel

0

20000

40000

60000

80000

50 150 250 350 450 550POSITION (nm)

AR

EA (n

m2)

X

Y

Z

cFig 1 a) Tomographic reconstruction of the HAADF-STEM tilt series of a CuWNiSi contact b) (voxel units) export of the W surface after triangulation to the Matlab Quanti3D routine c) plot of the sections through the volume determined along the x y and z directions

Automated Quantification of Dimensions on Tomographic Reconstructions of SDs 389

3 Experimental Details Tomography datasets are acquired on a Tecnai F30 microscope with a single-tilt tomography sample holder that allows a plusmn75deg tilt range The acquisition is done with the Xplore3D software suite (FEI Company) in HAADF-STEM mode 4 Applications Figure 1a shows an ortho-slice view of a tomographic reconstruction of a CuWNiSi contact structure The presence of a void in the centre of the contact plug and a constriction at the top of the plug are clearly revealed This information would otherwise be masked by projection effects in standard 2D images The boundaries of the W plug are determined in Amira and exported in VRML format The volume imported in the Matlab routine is shown in Fig 1b

0

25000

50000

0 100 200 300 400 500POSITION (nm)

AR

EA (

nm2

)

x

y

z

f Fig 2 a) HAADF-STEM image of a FUSI gate structure b) tomography reconstruction of the Ni-rich upper phase c) visualisation of the slice in which the heights of the silicide phase are determined d) cross-section of the phase in that slice e) heights determined in that cross-section and f) area of the sections through the silicide in the x y and z direction

390 A Kalio et al

The areas of the sections of the slices of this volume are calculated in the three basic directions of the reference system (Fig 1c) The slope of the W plug with depth can directly be judged from the area plot in the y direction Also the decrease of the plug cross-section at the top is clearly visualized

An application to a fully silicided (FUSI) gate structure is shown in Fig 2 The 2D HAADF-STEM image reveals the presence of two Ni-silicide phases in the gate ie at the top a phase Ni-richer than at the bottom (Fig 2a) The Ni-rich phase seems to extend much deeper at the right hand side but from the 2D image no further information on the distribution of the phases can be obtained The tomographic reconstruction of the upper silicide phase (Fig 2b) clearly shows the non-uniform distribution of the Ni-rich phase in the gate Examples of the length measurement in a selected slice are shown in Fig 2 c-e The area plots through the volume allow the study the non-uniformity of the silicide phase (Fig 2f)

The tomogram of a dual poly non-volatile memory (NVM) gate structure is studied in Fig 3 The reconstruction of the silicide on the upper poly clearly shows its non-uniformity and the presence of holes The silicide thickness and other dimensions of the gate can be determined in any slice of interest Fig 3b illustrates the silicide thickness variations along 3 positions

Fig 3 a) Triangulated surface rendering of the silicide on an NVM gate and b) silicide thickness along the gate line in the sections indicated by the arrows on (a)

5 Conclusions Automation of the deduction of metrology information from electron tomograms is possible The accuracy of the surface rendering is crucial for the quantification and still requires time-consuming manual action in particular in the case of materials with low differential contrast Further developments on the automated edge recognition by filter procedures and the further extension of the metrology features is necessary References 1 Midgley P A and Weyland M 2003 Ultramicroscopy 96 413 2 Kuumlbel C Voigt A Schoenmakers R Otten M Su D Lee T-C Carlsson A and Bradley 2005 J

Microscopy and Microanalysis 11 378 3 Weyland M Yates T J V Dunin-Borkowski R E Laffont L and Midgley P A 2006 Scripta

Materialia 55 29 4 Kuumlbel C Kuumlbel J Kujawa S Luo J-S Lo H M and Russell J D 2006 AIP Conf Ser 817 223 5 Bender H Richard O Kalio A and Sourty E 2007 Microelectronic Engineering in press

Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography D Cooper A C Twitchett1 P A Midgley1 and R E Dunin-Borkowski1 CEA LETI Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France 1 University of Cambridge Department of Materials Science University of Cambridge Pembroke

Street Cambridge CB2 3QZ Summary Off-axis electron holography promises to fulfil the demands of the semiconductor industry for a technique that can provide information about dopants with nm-scale resolution Typically when examining focused-ion-beam-prepared specimens containing p-n junctions the theoretical built-in potential is not recovered even after accounting for the presence of damaged surface layers Here we show that the potentials measured in simple GaAs n-p junctions are strongly dependent on the intensity of the incident electron beam and that by attaching electrical connections to the specimen the correct built-in potential can be recovered 1 Introduction Off-axis electron holography is a transmission electron microscopy (TEM) based technique that uses an electron biprism to form an interference pattern from an electron wave that has passed through a specimen with one that has passed through only vacuum From the interference pattern (or hologram) phase and amplitude images of the sample can be reconstructed As the phase of an electron is sensitive to the electrostatic potential of a specimen in principle electron holography should be able to measure the variation of potentials arising from the presence of dopants In the absence of magnetic fields and diffraction contrast the phase change of an electron as it passes through a specimen of thickness t is given by

∆φ = CE int0t V(xyz)dz

where CE is a constant dependent on the energy of the electron wave V is the electrostatic potential and z is the direction of the electron beam [1]

Specimens are now routinely prepared using focused ion beam (FIB) milling due to its unprecedented site specificity as well as the ease with which a parallel-sided specimen can be prepared However it is known that FIB-preparation can have a profound effect on the phase shifts measured in specimens containing semiconductor devices which can be observed in the form of amorphous and electrically lsquoinactiversquo layers [2] 2 Off-Axis Electron Holography of FIB-Prepared GaAs p-n Junctions GaAs specimens were grown for this study using molecular beam epitaxy (MBE) and comprised a 10-microm-thick 1times1018 cm-3 Be-doped (n-type) layer grown onto a 10-microm-thick 1times1018 cm-3 Si-doped (p-type) layer on lightly p-doped GaAs (001) substrate The theoretical built in potential Vbi of the junction is 134 V after accounting for the effects of degeneracy Specimens were prepared for examination using an FIB miller operated at 30 kV using a conventional geometry for electron holography [3]

Holograms were acquired using a Philips CM300-ST field emission gun TEM operated at 200 kV equipped with an electron biprism and a 2048 pixel charge-coupled device (CCD) camera The biprism was operated at 100 V providing an interference fringe spacing of 5 nm and a theoretical resolution of 15 nm in the phase images The specimens were tilted from the zone axis with the

392 D Cooper et al

junction lsquoedge-onrsquo to minimise the effects of diffraction contrast Reference holograms were acquired to remove distortions associated with the imaging and recording system Figure 1a shows a wrapped phase image of a 390-nm-thick GaAs specimen containing a n-p junction the n-doped region appears brighter than the p-doped region because it is at a higher potential Figure 1b shows that the step in phase measured across the junction is significantly less than expected by theory Figure 1c shows the step in phase measured across a series of GaAs n-p junctions examined as a function of the crystalline specimen thickness measured using convergent beam electron diffraction (CBED) An electrically lsquoinactiversquo thickness is revealed from the x-axis that does not contribute towards the measured step in potential In this instance the electrically inactive thickness is 230 +- 15 nm a significant proportion of the total thickness of all of the specimens

However a value of Vbi can be calculated from the gradient of Fig 1c using a method that is not dependent on the presence of the electrically lsquoinactiversquo thickness where

Vbi = CE-1 times [∆φ (tcrystalline ndash tinactive)-1]

Using this approach the value recovered is only 096 +- 01 V which is much less than predicted by theory It has been shown that the electrically lsquoinactiversquo thickness results from the presence of defects deep in the specimen introduced during FIB-milling Previously specimens have been annealed at low temperature leading to a reduction of the electrically inactive thickness but the theoretical Vbi was still not recovered [4] Simulations suggest that the effects of charging [5] and surface depletion in a thin TEM specimen could be responsible for a reduction of the measured potential [6] 3 In situ Biasing in the TEM An alternative approach was used to recover the Vbi of the specimen Assuming the electrically lsquoinactiversquo layer remains constant under an applied reverse bias Vappl the built in potential of the specimen can be determined by applying a reverse bias and using the equation ( )( )E bi appl cryst inactive E appl active E bi activeC V V t t C V t C V tfD = + - = + A 450-nm-thick specimen was biased in situ using a Fischione single tilt two-contact holder Figure 2a shows the phase measured across the junction at different applied reverse bias

Fig 1 a) Phase image of a 390-nm-thick GaAs specimen containing a p-n junction b) the step in phase measured across the junction is less than is expected from theory c) if we plot the step in phase across a series of junctions as a function of the crystalline specimen thickness we reveal the presence of an electrically lsquoinactiversquo thickness from the x-axis

Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography 393

voltages Figure 2b shows the step in phase measured across the junction as a function of reverse bias voltage From the gradient the electrically lsquoactiversquo thickness of the specimen was calculated to be 240 nm The value of Vbi calculated using this approach is 135 +- 005 V which is consistent with theory

Two different approaches that are each independent of the electrically lsquoinactiversquo thickness have

been used to determine the value of Vbi By plotting the step in phase as a function of the crystalline specimen thickness the value recovered is much less than is predicted by theory whilst by reverse biasing the specimen the correct Vbi is obtained One difference between the two experimental arrangements is that each side of the n-p junction examined in the biasing holder has an excellent conduction path to earth 4 Specimen Charging in the TEM The phase across the junctions was investigated as a function of the intensity of the electron beam irradiation The intensity was varied as a function of the microscope spot size which controls the number of electrons that enter the second condenser lens Holograms were formed whilst taking care to keep the area of illumination constant Figure 3a shows that the step in phase measured across the conventionally prepared specimen is dependent on the intensity of the electron beam used However in Fig 3b an improvement can be seen after electrical connections are attached to each side of the junction The signal-to-noise ratio in the phase profiles measured for the specimen in the biasing holder is poor as the presence of the electrical connections to the specimen holder interferes with the hologram formation This is especially evident in the phase profile associated with the hologram formed using the most intense and therefore least coherent electron beam

Figure 4a shows the step in phase measured across the specimens as a function of crystalline specimen thickness for specimens prepared using conventional geometries and two different specimens examined in the biasing holder at zero bias The specimens examined in the biasing holder have a higher step in phase per unit thickness measured across the junctions than is observed in the specimens in the conventional geometry Values of Vbi were determined for the junction for each of the experimental approaches using spot sizes 2 and 4 Figure 4b shows that when the specimen is examined using the conventional geometry the measured gradient is dependent on the intensity of the electron beam used with values of 085 and 096 + 01 V obtained for Vbi at spot sizes 2 and 4 respectively Figure 4c shows that for specimens examined in the biasing holder the measured gradient does not vary

Fig 2 a) The phase measured across the junctions at different reverse bias voltages b) the step in phase measured across the junction plotted as a function of the reverse bias

Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography 394

5 Conclusions We have shown that the step in potential measured across GaAs p-n junctions using off-axis electron holography is dependent on the intensity of the electron beam The use of electrical connections attached to each side of the specimen appears to remove this problem presumably as the electron-hole pairs generated in the specimen can be conducted to earth Further work is required to determine whether these conclusions apply to p-n junctions as well as the n-p junctions examined here In addition a systematic study of these effects on Si p-n junctions is currently being performed

References 1 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 App Phys Lett 82 2614 2 Twitchett A C Dunin-Borkowski R E and Midgley P A 2002 Phys Rev Lett 88 238302 3 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2002 J Micros

214 287 4 Cooper D Twitchett A C Somodi P K Midgley P A Dunin-Borkowski R E Farrer I and Ritchie D

A 2006 App Phys Lett 88 063510 5 Houben L Luysberg M and Brammer T 2004 Phys Rev B 70 165313 6 Somodi P K 2005 PhD Thesis University of Cambridge

Fig 4 a) The step in phase measured across the n-p junctions as a function of crystalline specimen thickness for specimens examined using a conventional geometry and for specimens examined in a biasing holder b) the step in phase measured as a function of crystalline specimen thickness and using different electron beam intensities for a conventionally prepared specimen c) the step in phase measured as a function of reverse bias voltage and using different electron beam intensities

Fig 3 Phase profiles extracted from holograms formed using different electron beam spot sizes with the specimen a) in a conventional geometry and b) in a biasing holder Spot size one represents the most intense electron beam

Observation of Dopant Distribution in Compound Semiconductors Using Off-axis Electron Holography H Sasaki S Ootomo T Matsuda K Yamamoto1 and T Hirayama1 Yokohama RampD Lab Furukawa Electric Ltd 2-4-3 Okano Nishi-ku Yokohama 220-0073 Japan 1 Japan Fine Ceramics Center 2-4-1 Mutsuno Atsuta-ku Nagoya 456-8587 Japan Summary This paper describes a method to map dopant distributions in compound semiconductors by off-axis electron holography A cross-sectional transmission electron microscopy (TEM) specimen with n+ nndash and p gallium arsenide thin films was prepared using the micro-sampling technique of a focused ion beam and Ar+ ion milling A phase map was obtained by off-axis phase-shifting electron holography and the dopant distributions across a p-n junction are clearly observed Furthermore the low and high dopant concentration regions are remarkably distinguished with high contrast 1 Introduction The application of electron holography in the silicon semiconductor industry has attracted considerable attention in recent years [1-4] It has become an important technique for analysing dopant distributions in semiconductor devices and is used in the development and failure analysis of new devices

In silicon materials amorphous layers several dozen nanometers thick are formed on both sides of a transmission electron microscopy (TEM) specimen during focused ion beam (FIB) milling [5] Despite its amorphous damaged layers electrostatic potential distributions in Si devices have been successfully observed However damaged layers on compound semiconductors such as GaAs InP and GaN consist of amorphous and nano-crystal regions [6 7] This damaged layer on the surface of compound semiconductors usually possesses a high electric conductivity that conceals the potential drop across the p-n junction and the small crystalline particles cause complicated phase distributions due to electron diffraction Therefore Cooper et al used low temperature annealing during TEM to remove defects resulting from Ga+ implantation [8]

Here we describe an effective method to reduce the thickness of the damaged layers on the surface of the specimens using Ar+ ion milling [7 9] Off-axis phase-shifting electron holography applied to a compound semiconductor is also introduced 2 Experimental P-n-p thin films having n+ nndash and p dopant concentrations were grown on a semi-insulating GaAs

p(10e19)

n-(13e16) n+(30e18) n-(13e16) p(10e19) Semi-insulatingGaAs substrate

100nm 700nm 700nm300nm 500nm

p(10e19)

n-(13e16) n+(30e18) n-(13e16) p(10e19) Semi-insulatingGaAs substrate

100nm 700nm 700nm300nm 500nm

Fig 1 Schematic diagram of the GaAs p-n-p test sample Thin films with different dopant concentrations were grown on a semi-insulating GaAs substrate by MOCVD

396 H Sasaki et al

Ar+

Ar+

(a)Sample

Ga

Al thin foil Epoxy resin

(b)

Ar+

Ar+

(a)SampleSample

Ga

Al thin foil Epoxy resin

(b)

Fig 2 FIB-Ar+ ion milling method a) Fixing GaAs specimen to the cross section of an Al thin foil and FIB thinning b) Ar+ ion milling

substrate by metal-organic chemical vapour deposition (MOCVD) A schematic diagram of the p-n-p test sample structure is shown in Fig 1 In this experiment silicon and carbon were used as n-type and p-type dopants respectively Secondary ion mass spectroscopy measurements showed that the dopant concentration was 13 times 1016 cmndash3 in the nndash region 30 times 1018 cmndash3 in the n+ region and 10 times 1019 cmndash3 in the p region

The procedure for preparing the TEM specimens is shown in Fig 2 A Hitachi FB-2100 FIB system was used for specimen preparation and was operated at an accelerating voltage of 40 kV First a thin aluminium foil was attached to a cut copper plate (3 mm in diameter) with a single hole using epoxy resin as shown in Fig 2a Then platinum and tungsten were deposited on the surface of the GaAs test sample to protect it from the Ga ion beam A small cross-sectional specimen was extracted from the test sample by FIB micro-sampling and was fixed to the cross sections of the thin aluminum foil by tungsten deposition The specimen suitable for TEM observation was thinned down to a thickness of 300 nm by the FIB system Finally as shown in Fig 2b both the top and bottom surfaces of the specimen were milled for 5 minutes by an Ar+ ion beam at an accelerating voltage of 15 kV and an incident angle of 10 degrees in a GATAN Dual Ion Mill In this method the thickness of the damaged layer in the compound semiconductors was reduced to less than 2 nm by the final Ar+ ion milling [7] The thickness of the crystalline region in the TEM specimen was measured to be 280 nm by convergent beam electron diffraction

We used off-axis phase-shifting electron holography [10] to obtain phase maps with high spatial resolution and high phase-measurement sensitivity In a conventional Fourier transformation method the spatial resolution is limited by the radius of the filter mask ie the spatial resolution is approximately three times the fringe spacing In contrast the spatial resolution of an image

Tungsten GaAs

(a)

(b)

Tungsten GaAs

(a)Tungsten GaAs

(a)

(b)(b)

Fig 3 a) Electron hologram obtained from the test GaAs specimen prepared by FIB-Ar ion milling b) Corrected hologram without Fresnel fringes

Observation of Dopant Distribution in Compound Semiconductors Using Off-axis EH 397

reconstructed by the phase-shifting method is the same as the pixel size of the electron detector at low to medium magnification In our experiment the spatial resolution used was approximately 2 nm this corresponds to one pixel of a charge-coupled device (CCD) camera The sensitivity of the phase measurement was estimated to be approximately 002 rad [11] The specimen was observed using a JEOL-3000F operated at 300 kV equipped with a Schottky field-emission electron gun and an electron biprism Digital holograms with 1024times1024 pixels were recorded using a GATAN 794 slow scan CCD camera system In this experiment 13 holograms with different initial phases were obtained from the cross-sectional specimen A phase image was then reconstructed from these 13 holograms 3 Results and Discussion An example of the electron hologram obtained from the GaAs test specimen prepared by the FIB-Ar+ ion milling method is shown in Fig 3a In this hologram the interference fringes are not clear because of the Fresnel fringes However the contrast of these interference fringes can be enhanced by the method we developed [11] Figure 3b shows a corrected hologram obtained from the region enclosed by the broken line as shown in Fig 3a where the spacing between the interference fringes is approximately 60 nm Figure 4a shows the reconstructed phase image obtained from the 13 holograms The p and nndash regions are distinguishable as regions with dark and bright contrast Additionally an n+ region exhibits a brighter contrast

To quantitatively analyse the phase distributions we obtained the average phase profile across the p-n junction and the n+nndash interface from the phase image The line profile is plotted in Fig 4b where the phase difference between the p and nndash regions is approximately 11 rad and that between the n+ and nndash regions is approximately 08 rad

To interpret the phase distribution in the phase map we calculated the band diagram by solving Poissonrsquos equations self-consistently Figure 4c shows the simulation result which indicates that the potential step ΔV across the junction between the p and nndash regions is 14 eV and that between the n+ and nndash regions is 02 eV The ratio of 11 rad for the pn junction to its potential drop of 14 eV is significantly different from that of 08 rad for the n+nndash interface to its potential drop of 02 eV This is due to a nonuniform electrical potential in the TEM specimen to the direction of the incident electron beam In this case the phase shift is given by

(a)

p n- n+ n- p

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(b) p n- n+ n- p

Distance (nm)

Phas

e (r

ad)

-2

-15

-1

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(c)

Ec(eV) Ev(eV) Ef(eV)

Distance (nm)

Pote

ntia

l Ene

rgy

(eV

)

p n- n+ n- p(a)

p n- n+ n- p

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(b) p n- n+ n- p

Distance (nm)

Phas

e (r

ad)

(a)

p n- n+ n- p

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(b) p n- n+ n- p

Distance (nm)

Phas

e (r

ad)

-2

-15

-1

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(c)

Ec(eV) Ev(eV) Ef(eV)

Distance (nm)

Pote

ntia

l Ene

rgy

(eV

)

p n- n+ n- p

-2

-15

-1

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(c)

Ec(eV) Ev(eV) Ef(eV)Ec(eV) Ev(eV) Ef(eV)

Distance (nm)

Pote

ntia

l Ene

rgy

(eV

)

p n- n+ n- p

Fig 4 a) Reconstructed phase image obtained from 13 holograms The p- and n-type regions are distinguished as areas of dark and bright contrast Also an n+ region is displayed with brighter contrast b) Phase profile across the p-n junction and n+nndash interface from the phase image c) Band diagram of the test sample obtained by solving Poissonrsquos equations self-consistently

398 H Sasaki et al

where CE is an interaction constant V is the electrostatic inner potential of the specimen and z is the electron beam direction We calculated the phase shift from the equation and the band diagram simulations in the direction of the electron beam [12] In the band diagram simulations we assumed that the respective Fermi energies of the specimen surface were 090 eV and 065 eV for the p-type and n-type GaAs below the conduction band [13 14] The simulation results yielded a phase difference of 162 rad between the nndash and p regions and 111 rad between the n+ and nndash regions The discrepancies between the simulation and experimental results are attributed to an electrically dead layer damaged by the Ga+ ions implanted during the FIB milling [2] In this specimen if the thickness of the electrically dead layers is assumed to be about 40 nm the phase difference between the n+ and nndash regions should be 084 rad and that between the nndash and p regions should be 108 rad these values agree well with the experimental results 4 Conclusions In conclusion we have succeeded in observing the p-n junction and the difference in dopant concentrations between the low (13 times 1016 cmndash3) and high (30 times 1018 cmndash3) dopant concentration regions of a GaAs semiconductor This was achieved by the combination of the FIB-Ar+ ion milling to prepare the sample and off-axis phase-shifting electron holography Because phase-shifting electron holography is advantageous from the viewpoint of high spatial resolution and high phase-measurement sensitivity it is suitable for analysing the dopant concentrations in very small semiconductor devices These results indicate that these methods will be useful in the compound semiconductor industry References 1 McCartney M R Smith D J Hull R Bean J C Voelkl E and Frost B 1994 Appl Phys Lett 65

2603 2 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 Phys Rev Lett 82

2614 3 Wang Z Hirayama T Sasaki K Saka H and Kato N 2002 Appl Phys Lett 80 246 4 Twitchett A C Dunin-Borkowski R E and Midgley P A 2002 Phys Rev Lett 88 238 5 Wang Z Kato T Hirayama T Kato N Sasaki K and Saka H 2005 Appl Surf Sci 241 80 6 Yabuuchi A Tametou S Okano T Inazato S Sadayama S Yamamoto Y Iwasaki K and

Sugiyama Y 2004 J Electron Microsc 53 471 7 Tanabe K Matsuda T Sasaki H and Iwase F 2005 Proc 14th Int Conf Microscopy of

Semiconducting Materials (Springer Berlin) pp 417-420 8 Cooper D Twitchett A C Somodi P K Midgley P A Dunin-Borkowski R E Farrer I and

Ritchie D A 2006 Appl Phys Lett 88 063510 9 Sasaki H Matsuda T Kato T Muroga T Iijima Y Saitoh T Iwase F Yamada Y Izumi T

Shiohara Y and Hirayama T 2004 J Electron Microsc 53 497 10 Ru Q Endo J Tanji T and Tonomura A 1991 Appl Phys Lett 59 2372 11 Yamamoto K Kawajiri I Tanji T Hibino M and Hirayama T 2000 J Electron Microsc 49 31 12 Sasaki H Yamamoto K Hirayama T Ootomo S Matsuda T Iwase F Nakasaki R and Ishii H

2006 Appl Phys Lett 89 244101 13 Spicer W E Chye P W Skeath P R Su C Y and Lindau I 1979 J Vac Sci Technol 16 1422 14 Monch W 1983 Surf Sci 132 92

int= dzzVCE )(ϕ

Dopant Profiling of Silicon Calibration Specimens by Off-Axis Electron Holography D Cooper R Truche F Laugier F Bertin and A Chabli CEA LETI Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary Si calibration specimens have been grown for characterisation using off-axis electron holography Holograms were acquired using an FEI Titan electron microscope in a specially designed room allowing long acquisition times to be used We show a significant improvement in the signal-to-noise ratio in the phase images whilst using a low electron beam intensity to reduce the effects of specimen charging 1 Introduction The international roadmap for semiconductors (ITRS) assesses the needs and challenges facing the semiconductor industry over the next 15 years In 2006 the ITRS specifically described dopant profiling of 32 nm gate-architecture devices as a lsquodifficultrsquo challenge Off-axis electron holography is a TEM-based technique that uses a charged biprism to form an interference pattern between an electron wave that has passed through a specimen with an electron wave that has passed though only vacuum From the interference pattern phase and amplitude images of the specimen can be reconstructed In the absence of magnetic fields and diffraction contrast the phase of an electron that has passed through a semiconductor specimen of thickness t is given by

∆φ = CE int0t V(xyz)dz

where CE is a constant dependent on the energy of the electron wave V is the electrostatic potential and dz the direction of the electron beam [1] If a parallel-sided specimen of known thickness is examined then in principle a quantitative 2D map of the potentials arising from the presence of dopants in a semiconductor specimen can be recovered with nm-scale resolution

At present focused ion beam (FIB) milling is used to prepare specimens for examination using off-axis electron holography as the parallel-sided specimens that are required for electron holography can easily be prepared from a region of interest FIB milling introduces significant artefacts into the specimen in the form of an amorphous surface layer and an electrically lsquoinactiversquo thickness that arises from the presence on defects deep in the specimens and can pin the Fermi level [2] In addition effects from charging [3] and surface depletion [4] lead to a reduction in the measured potential in specimens containing semiconductor devices 2 The Specimens Specimens containing differently doped layers of boron were grown for examination using reduced pressure chemical vapour deposition (RPCVD) Figures 1a and 1b shows secondary ion mass spectrometry (SIMS) profiles (solid line) and 1D simulations calculated directly from the SIMS profile (dashed line) for the two different specimens examined here

The lsquodelta dopedrsquo specimen consists of highly-doped layers with spacings of 30 and 60 nm with a low-doped background The theoretical step in potential across these layers is 032 V and

400 D Cooper et al

034 V for the 30 and 60 nm spaced layers respectively assuming that all of the dopants are electrically active The lsquotrench dopedrsquo sample consists of layers of relatively low dopant concentrations of different thickness with a highly doped background The smallest layer is only 10 nm in width and has a step in potential across it of only 002 V

The specimens were prepared for examination by in situ lift out [5] and then thinned to electron transparency using a FIB miller operated at 30 kV and a low beam current to ensure parallel-sided specimens 3 Examination of the Specimens Holograms of both specimens were acquired using an FEI Titan transmission electron microscope (TEM) operated at 200 kV The microscope has been installed in a new building specifically designed to house TEMs with an anti-vibration stage excellent temperature stability a low rate of airflow and background electromagnetic fields well within the specification supplied by the manufacturer

To achieve an optimum phase resolution and a good signal-to-noise ratio in the phase images the contrast of the holograms must be high whilst sampling as many electrons as possible [6] A weak electron beam intensity is preferable to reduce the effects of charging in the semiconductor specimens but long acquisition times lead to a degradation of the hologram contrast The excellent stability of the Titan microscope allowed holograms to be acquired for 4 16 and 64 seconds with contrast levels of 36 36 and 20 and a mean signal on each CCD pixel of 625 2500 and 10000 counts (measured in the reference holograms) The fringe spacing of the holograms was adjusted to give a theoretical phase resolution of 10 nm and a field of view of 600 nm The specimens were tilted by a few degrees from the zone axis to reduce diffraction contrast in the phase images whilst taking care to ensure the doped layers were lsquoedge onrsquo relative to the electron beam

Figure 2a shows phase images reconstructed from holograms acquired for 4 16 and 64 seconds The improvement in the phase images is clear Profiles have been averaged over 100 nm of the phase images and are shown in Fig 2b The 30 nm-spaced layers are clearly resolved in the phase images reconstructed from the holograms acquired for 16 and 64 seconds The low signal-to-noise ratio in the phase image reconstructed from the hologram acquired for 4 seconds does not allow the highly-doped layers to be clearly resolved

Fig 1 SIMS profiles (solid lines) and simulated 1D potentials (dashed lines) for a) the delta-doped and b) the trench-doped specimens

Dopant Profiling of Silicon Calibration Specimens by Off-Axis Electron Holography 401

The thickness of the specimen was measured using convergent beam electron diffraction

(CBED) and was found to be 520 nm Using the experimentally determined step in phase measured across the layers and the crystalline specimen thickness a value of Vbi of less than 01 +- 0005 V is obtained which is much less than the 032 V suggested by the simulations Reasons for this discrepancy will include the presence of the electrically lsquoinactiversquo layer the effects of specimen charging and of surface depletion However SIMS detects the number of dopant atoms in the specimens [7] therefore it is possible that the highly doped layers of 4times1020 cm-3 are not fully electrically active This is supported by the shape of the phase profiles extracted from the phase images that do show the sharp peaks observed in the SIMS profiles and the actual step in potential across the layers may be significantly less than that suggested from the simulations

Figure 3a shows phase images acquired for the trench-doped specimen reconstructed from holograms acquired for 4 16 and 64 seconds In the phase images reconstructed from the holograms acquired for 4 seconds only the four widest layers with the largest step in potential can be detected above the noise In the phase images reconstructed from the holograms acquired for 16 seconds six layers are detected above the noise and in the phase images reconstructed from the holograms acquired for 64 seconds all seven layers are detected including the 10 nm-wide layer with a step in potential of only 002 V Figure 3b shows profiles averaged across 100 nm of the phase images By acquiring a series of CBED patterns from the top to the bottom of the specimen the ramp in the phase profiles shown in Fig 3b was found to be due to a small thickness ramp measured to be 20 nm across a depth of 40 microm

The widest layer indicated in Fig 1d has a dopant concentration of 2times1016 cm-3 with a

Fig 3 a) Phase images reconstructed from holograms acquired for 4 16 and 64 seconds (trench-doped specimen) b) profiles averaged across 100 nm of the phase images

Fig 2(a) shows phase images reconstructed from holograms acquired for 4 16 and 64 seconds (b)(a)

Fig 2 a) Phase images reconstructed from holograms acquired for 4 16 and 64 seconds (delta-doped specimen) b) profiles averaged across 100 nm of the phase images

402 D Cooper et al

background dopant concentration of 2times1019 cm-3 and a theoretical Vbi 02 V The specimen thickness at this layer was measured using CBED to be 430 nm By using the experimentally determined step in phase measured across this layer a value of 04 V is calculated for Vbi which is much higher than the 02 V suggested from the simulations Simulations have suggested that in Si specimens with dopant concentrations of less than 1times1018 cm-3 the effects of surface depletion result in a significant reduction in the potential measured in a thin TEM specimen This effect would explain the mechanism behind the measurement of a potential that is larger than predicted by theory However it is difficult to directly determine the extent of the surface depletion as the effects of specimen damage and charging will also influence the phase measured across the junction 4 Conclusions Silicon calibration specimens have been characterised using off-axis electron holography Holograms have been acquired using a very low intensity electron beam for different time periods to assess the improvement in the signal-to-noise in the phase images

In the delta-doped specimen 40 nm-spaced highly-doped layers have been detected with the best results being reconstructed from a hologram averaged for 64 seconds with 20 fringe contrast and an average of 10000 electron counts incident on each CCD pixel (in the reference hologram) The potential calculated from the phase profile is less than expected from theory due to the effects of the electrically lsquoinactiversquo thickness introduced during FIB milling with energetic Ga+ ions specimen charging and the fact that not all of the dopant atoms in the specimen are electrically active

In the trench-doped specimen we have detected all of the doped layers from a hologram acquired for 64 seconds including the smallest layer with a theoretical step in potential of only 002 V We have also demonstrated the effects of surface depletion in the lightly-doped layers

Although these results are promising it is necessary to improve the specimen preparation procedure to reduce the damage introduced in the specimens This can be done by reducing the energy of the FIB operating voltage [8] by using low energy low angle Ar milling [9] or by annealing the specimens in high vacuum [2] It would also be beneficial to reduce the build-up of charge in the semiconductor specimens by improving the electrical connections to earth When the specimen preparation technique has been perfected it will be necessary to model the effects of surface depletion in thin TEM specimens Ultimately we would like to be able to measure the variations of potential associated with the presence of dopants directly with the excellent signal- to-noise ratios demonstrated here References 1 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 App Phys Lett 82

2614 2 Cooper D Twitchitt A Somodi P K Midgley P A Dunin-Borkowski R E Farrer I and Ritchie

D A 2006 App Phys Lett 88 063510 3 Cooper D Twitchitt A Midgley P A and Dunin-Borkowski 2007 J Appl Phys In press 4 Somodi P K 2005 PhD Thesis University of Cambridge 5 Overwijk M H F van der Heuvel and Bulle-Lieumwa C W T 1993 J Vac Sci Technol 11

2021 6 Harscher A and Lichte H 1996 Ultramicroscopy 64 57 7 Zalm P C 1995 Rep Prog Phys 58 1321 8 Cooper D Truche R Rouviere J L and Chabli A 2007 Ultramicroscopy Submitted 9 Sasaki H Yamamoto K Hirayama T Ootomo S Matsuda T Iwase F Nakasaki R and Ishii H

2006 App Phys Lett 89 244101

Novel Approach for Visualizing Implants in Deep Submicron Microelectronic Devices Using Dopant Selective Etching and Low keV SEM Y Chakk I Vidoshinsky and R Razilov Intel Electronics Ltd P O Box 100 Kiryat Gat 82109 Israel Summary There is a significant need for direct dopant profile measurements in deep submicron microelectronic technologies In this work a novel approach for implant visualization is reported The approach consists of two sequential steps (1) selective chemical wet etch of doped regions with the new dopant selective etching (DSE) recipe and (2) scanning electron microscopy imaging of the decorated regions under optimized low keV conditions It was demonstrated that any combination of pn type dosage density or implant penetration depth can be visualized simultaneously using this method The new DSE chemistry and low keV contrast mechanisms are described in detail 1 Introduction With the shrinkage of modern semiconductor devices to deep submicron level no current method exists for direct dopant profile measurements which meet all of the following specifications sub-10nm spatial resolution plusmn10 accuracy and sensitivity to dopants over the 1016ndash1020 cm-3 range There are four major carrier profiling techniques currently in use (1) secondary ion mass spectrometry (SIMS) (2) dopant selective etching (DSE) [1-3] (3) SPM scanning probe microscopy (SPM) techniques such as scanning capacitance microscopy (SCM) [3-6] and (4) scanning and transmission electron microscopy (SEM and TEM respectively) [7-11] However none of these techniques are able to simultaneously fill all of these desired specifications

For example SIMS provides only 1D information and requires special test structures eliminating its applicability to real devices Poor control of the etching conditions limits the reproducibility of DSE In addition it is not possible to visualize both p and n dopants or light and heavy doped regions simultaneously Finally the reaction mechanisms of the etching are not completely understood Sample preparation creates many artefacts and may result in the difference between the metallurgical and electrical p-n junctions known as carrier spilling in 2D SCM images SCM images also clearly vary with Vbias changing junction location

Recently a novel method for using a SEM to simultaneously image both p- and n-doped regions in Si was demonstrated A new dopant contrast mechanism incorporating dynamic charging effects as a result of the e-beamspecimen interaction is suggested [12] However surface imperfections caused by sample preparation (eg mechanical cleave polish ion beam) and oxidationcarbon build up can destroy the contrast between differently doped regions From the above a robust method for implant analysis in real devices that provides reproducible results in a wide dopant range with high resolutionaccuracy is still required

In this work a novel approach developed for implant visualization that utilizes modified DSE followed by SEM imaging under optimized low keV conditions is reported In contrast to the existing methods this approach enables simultaneous visualization of pn dopants throughout a wide range of concentrations in real deep submicron devices with SEM resolution DSE chemistry and low keV dopant contrast mechanisms are suggested and described in detail

404 Y Chakk I Vidoshinsky and R Razilov

2 Experimental Details Patterned and blanked pn junctions were fabricated in (100) oriented p- and n-doped silicon wafers Dopant concentrations within the 1016ndash1020 cm-3 ranges were used The penetration depth of the dopants was controlled by implant energy

The samples were cleaved in air along a direction perpendicular to the [001] to expose a (110)-type plane The cleaved cross section samples with pn junctions were treated with the modified DSE recipes a combination of HNO3 CH3OOH and buffered oxide etch (BOE) solution - 961 H2ONH4FHF) diluted by H2O Samples were analyzed using a Hitachi S4800 Field Emission SEM under optimized low keV conditions All the images were acquired with a through-the-lens upper secondary electron detector

The same structures were also measured using a Digital Instruments Dimension 5000 atomic force microscope (AFM) to determine the etch profile Commercially available AFM probes were used for the profile analyses 3 Results This novel approach for implant visualization consists of two sequential steps (1) wet etch using a highly selective DSE recipe and (2) SEM imaging of the selectively decorated regions under optimized low keV conditions It was demonstrated that the new recipe decorates p- and n-type areas light and heavy deep and shallow doped regions simultaneously For the step (2) low keV imaging conditions as established in [12] achieved the best SEM contrast as shown in Figs 1a b c

Fig 1 SEM micrographs of the test structures show the effect of DSE on differently doped Si regions a) deep low dose boron doping (appears as a bright area vs un-doped Si) b) shallow high dose phosphorus doping (appears as a dark region vs un-doped Si) c) and f) complementary SEM and AFM images captured from the identical areas d) and e) AFM line-scans reveal depth profiles of boron and phosphorus doped regions respectively Line-scan location is shown by the line on the corresponding SEM images

In order to understand the DSE chemistry and SEM contrast mechanism AFM depth profiles for

the previously imaged structures were measured (eg Figs 1c f) Comparing the two measurement techniques revealed that B-doped regions are under-etched vs un-doped Si regions and appear as peaks In contrast PAs-doped regions are over-etched and appear as wells vs the surrounding un-doped Si (see Figs 1d e respectively) In the low keV SEM images (Figs 1a b) the contrast is directly related to the dopant type and concentration dose where B-doped areas are brighter and PAs are darker when compared to the surrounding un-doped Si There is also a direct correlation between the SEM image contrast and the AFM depth measurements

Visualizing Implants in Deep SM ME Devices Using DSE amp Low keV SEM 405

4 Discussion The proposed dopant contrast mechanism is based on the previously described observations Standard Si etching solutions are commonly based on a mixture of HF (49) HNO3 (70) and CH3COOH (96) diluents [13 14] The etching occurs through two sequential reactions (I) Si surface oxidation by HNO3 and (II) oxide removal by HF These reactions can be described as follows Si + 2HNO3 rarr SiO2 + 2HNO2 Ea = 586 kJmol SiO2 + 6HF rarr SiF6

2- + 2H+ + 2H2O Ea = 293 kJmol

Usually a 138 ratio of HF HNO3 and CH3COOH is used For this mixture the Si etching rate is controlled by the HF transport from the bulk solution to the Si surface every HF molecule arriving at the surface is consumed by the reaction When HF is consumed the etch rate drops

The wet etch mechanism of doped Si is similar However kinetics of the oxidationetch processes are dependent on the type and amount of dopants P and As atoms in Si serve as oxidation initiators [15 16] Positively charged P+ and As+ dopant ions attract the negative ions of the adsorbed oxidant species This promotes their preferential inter-diffusion creating defects such as activated Si atoms with dangling bonds vacancies etc

Preferential oxidization of AsP atoms causes the surrounding Si atoms to oxidize at a higher rate than the un-doped Si In contrast to enhanced oxidation caused by the PAs dopants negatively charged B- ions reduce Si oxidation rate as compared to un-doped Si Thus the oxidation rates (OR) reveal the following order OR[Si(AsP)] gt OR[Si] gt OR[Si(B)] (see Fig 2aI) Furthermore PAs dopant concentration directly affects the oxidation rate where higher concentrations of PAs result in higher oxidization rates The opposite holds true for B implants and higher concentrations of B result in lower oxidization rates

Fig 2 a) Sketch of the sequential oxidationetch reaction rate differences between un-doped vs differently doped Si b) dependence of Si interaction volume on SEM PE beam energy as obtained by Monte Carlo simulation c) sketch of the charge distribution inside the Si interaction volume vs maximum PE range (R) and max SE escape depth (~5λ) The best SEM contrast is achieved when Rgt5λ [12]

In an excess of HF oxides grown on differently doped regions can be successfully etched However

with the standard recipe as described above the HF is quickly consumed by heavily doped regions while lightly doped areas remain unaffected This substantially decreases selectivity of the DSE process

In order to improve the DSE sensitivity HF is replaced by BOE diluted with H2O HF rarr (HF + NH4F + H2O) In the modified recipe as HF is consumed by SiO2 removal BOE maintains a constant HF concentration throughout the reaction NH4F + H2O harr NH4OH + HF The HF concentration remains constant until the buffer capacity is fully utilized This provides consistent low etch rates allows longer dip times and both highly and lightly doped regions are etched simultaneously

Etch rates (ER) correspond to the oxidation rates ER[Si(AsP)] gt ER[Si] gt ER[Si(B)] (Fig 2aII)

406 Y Chakk I Vidoshinsky and R Razilov

and subsequently the etch rate closely reflects the dopant type and concentration This results in Si morphology changes and B-doped regions appear as highs while PAs-doped regions appear as wells

In order to obtain the maximum SEM contrast from differently doped Si optimized low keV imaging conditions as established in [12] were applied (Fig 2b c) The most favorable case for dopant contrast is when the primary electron (PE) range (R) is comparable to or higher than the maximum secondary electron (SE) escape depth (5λ) As a result B-doped regions appear as a bright spots while PAs-doped regions are darker when imaged by low keV SEM Un-doped regions are of intermediate contrast 5 Conclusions In this work a novel approach for implant visualization is reported The approach consists of two sequential steps (1) wet etch of differently doped regions with a new highly selective DSE recipe and (2) SEM imaging of the decorated regions under optimized low keV conditions During step (1) the recipe decorates both p- and n-doped regions simultaneously For step (2) it was established that the best dopant contrast by SEM is when the primary electron range (R) is slightly higher than the maximum secondary electron escape depth (5λ) The SEM contrast mechanism affects doped Si regions highlighting differences in the oxidation rates of PAs-implanted Si vs un-doped and B-doped Si As a result B-doped regions appear as bright spots while PAs-doped regions are darker when imaged by SEM Un-doped regions are of intermediate contrast Combination of steps (1) and (2) allows simultaneous visualization of different implants (pn lightheavy and deepshallow) in deep sub-micron devices Acknowledgements The authors would like to thank Dr V Glezer Dr D Horvitz and B Idelchik for their stimulating discussions comments and encouragement throughout this study References 1 Barrett M Dennis M Tiffin D Li Y and Shih K 1995 IEEE Electron Device Lett 16 118 2 Mahaffy R Shih K and Edwards H 2000 J Vac Sci Technol B 18 566 3 De Wolf P Stephenson R Trenkler T Clarysse T Hantschel T and Vandervorst W 2000 J

Vac Sci Technol B 18 361 4 Duhayon N Clarysse T Eyben P Vandervorst W and Hellemans L 2002 J Vac Sci Technol

B 20 741 5 Smoliner J Basnar B Golka S Gornik E Loffler B Schatzmayr M and Enichlmair H 2001

Appl Phys Lett 79 3182 6 Stephenson R De Wolf P Trenkler T Hantschel T Clarysse T Jansen P and Vandervorst W

2000 J Vac Sci Technol B 18 555 7 Perovich D Castell M Howie A Lavoie C Tiedje T and Cole J 1995 Ultramicroscopy 58 104 8 Venables D Jain H and Collins D C 1998 J Vac Sci Technol B 16 362 9 Schonjahn C Broom R F Humphreys C J Howie A and Mentink S A M 2003 Appl Phys

Lett 83 293 10 Mullerova I El-Gomati M M and Frank L 2002 Ultramicroscopy 938 223 11 Neogi S Venables D Ma Z Maher D Taylor M and Corcoran S 1997 J Appl Phys 82 1 12 Chakk Y and Horvitz D 2006 J Mater Sci 41 4554 13 Turner D R 1960 J Electrochem Soc 107 810 14 Schwartz B and Robbins H 1976 J Electrochem Soc 123 1903 15 Ying W B Mizokawa Y Tanahashi K Kamiura Y Iida M Kawamot K and Yang W Y 1999

Thin Solid Films 343-344 393 16 Yano F Hiraoka A Itoga T Matsubara A Kojima H Kanehori K and Mitsui Y 1996 J Vac

Sci Technol B14(4) 2707

Quantitative Dopant Profiling in the SEM Including Surface States K W A Chee C Rodenburg1 and C J Humphreys University of Cambridge Department of Materials Science and Metallurgy Pembroke Street Cambridge CB2 3QZ UK 1 University of Sheffield Department of Engineering Materials Mappin Street Sheffield S1 3JD UK Summary To study the basis of dopant contrast in secondary electron (SE) imaging in the scanning electron microscope (SEM) we have performed experiments to show the dependence of the dopant contrast on the surface charges and have used computer modelling to investigate the effects of surface states and doping concentrations on the surface band bending and external patch fields We have tested the validity of our calculations against experimentally measured SE energy spectra and derived a density of surface states in silicon of 1-3times1012 cm-2 The method described in this paper will help to enable the accurate quantification of dopant mapping of semiconductors in the SEM 1 Introduction Secondary electron (SE) image contrast is observed from doping differences in semiconductors examined in the scanning electron microscope (SEM) In general p-type regions appear brighter than n-type regions Experimentally an approximately direct proportionality of the dopant contrast to the logarithm of the doping concentration is found [1-5] It was demonstrated that the SE yield is sensitive to ionised dopants rather than the total number of dopant atoms [256] In the above work it is proposed that the dopant contrast mechanism is due to the built-in electric field across a p-n junction modified by the effects of surface band-bending and external patch fields El-Gomati et al [7] challenged this model by proposing that a surface metal-semiconductor contact is responsible for the observed contrast in which a Schottky barrier and an ohmic contact form on the surface of the n-type and p-type areas of the p-n junction respectively Carbon contamination was suggested to lead to such a contact on Si because of its higher work function Although this hypothesis has not yet been confirmed independently to be responsible for dopant contrast in SE imaging it challenges the basis for the theory of SE imaging and its quantification

We report here that there is indeed a pronounced influence of the surface on the observed doping contrast which can even lead to a scan rate dependent contrast reversal We explain our findings not by a metal-semiconductor contact model but by a difference in surface states caused by the surface treatment process Our investigation of the effect of surface states on doping contrast may raise questions on the quantifiability of dopant contrast However in the second part of this paper we demonstrate that we can use a combination of SE spectroscopy and finite-element modeling to determine the density of surface states and hence account for them in the generation of dopant contrast thereby showing the viability of SE dopant contrast quantification in the SEM 2 Experimental Procedures and Findings 21 Surface Treatment Experiments The silicon p-n junction specimen used in the experiments was a CVD grown 25 microm thick B-doped (5 x 1018

cm-3) layer on Sb-doped (5 x 1018 cm-3) substrate The p-n junction was imaged

immediately after it was cross-sectioned by cleavage in air to expose a 100 plane and re-imaged

408 K W A Chee C Rodenburg and C J Humphreys

again following surface treatment The treatment was an ARISTAR ammonium fluoride solution 40 (NH4F) After dipping the sample into the solution for ~1 min the surface was rinsed with distilled water for ~1 min The SE images were sequentially obtained at a magnification of 5000times on a FEI XL30 sFEG-SEM using the TTL detector activated in the UHR mode The deflector voltage was at 60 V and the extractor bias at 20 V The other settings used were a beam accelerating voltage of 1 kV a spot size number 3 a probe current of 20 pA and a working distance of ~5 mm An objective aperture of 30 microm diameter was used and the operating base pressure in the vacuum chamber was 3 x 10-6 mbar

Fig 2 Contrast profiles as a function of depth from the surface relating to the SE images in Fig 1 The p-n junction is at a depth of 25 microm

As shown in Figs 1a and 2 the p-doped region appears brighter than the n-doped region on a freshly cleaved cross-section of the silicon sample as expected After surface treatment the SE image at TV scan mode exhibits contrast reversal (Figs 1b and 2) ndash the p-doped region now appears darker than the n-doped region However when a slower scan rate (SL1) is used the p-doped region becomes slightly brighter than the n-doped region (Figs 1c and 2) Figure 1d shows that as the imaging is reverted back to TV scan mode the original contrast reversal characteristics are obtained again By definition the TV scan period is ~2 sframe64x where frame64x refers to 64 averaged frames and SL1 scan mode is ~10 sframe 22 Discussion In Fig 1a the freshly cleaved Si specimen will have a surface layer of native silicon oxide about 1 nm thick which forms rapidly after cleaving in air Treatment with 40 (NH4F) is believed to remove this oxide layer and passivate the surface so that the oxide layer does not re-form rapidly After this surface treatment the sample was placed into the SEM and the images shown in Figs 1b c and d were taken within about 5 minutes so the sample almost certainly does not have an oxide layer for these images The density of surface states on the silicon in Fig 1a compared with Figs 1b c and d is therefore very different and it is this difference which must be responsible for the contrast reversal observed We will discuss this elsewhere but note here that dopant contrast is a sensitive function of the density of surface states We will now demonstrate how the measurement of the junction built-in voltage at the surface in combination with finite-element simulations solving the semiconductor

Fig 1 SE images of the silicon p-n junction when the sample had been a) freshly cleaved (slow scan mode at 10 sframe) then surface treated with 40 (NH4F) then b) TV scan c) slow scan d) TV scan of same scanned area

Quantitative Dopant Profiling in the SEM Including Surface States 409

Poissonrsquos equation for the sample can be used to determin the number of surface states present This in turn will form a more reliable quantification method for dopant contrast 23 SE Spectroscopy Measurements and Finite-Element Modelling The surface built-in voltage is different from that in the bulk because of surface band-bending effects Consequently the surface potential difference across the p-n junction is reduced to

Vbi΄ = Vbi ndash ∆Vs (1) Elliott et al [4] examined the SE dopant contrast obtained from a series of voltage biasing experiments on a Si p-n junction sample and ascertained its flatband voltage when the bulk built-in voltage was zero by considering the behaviour of direct proportionality in the relationship of the dopant contrast to the internal junction potential The derived potential is 07 plusmn 014 V at the flatband condition for the p-n junction sample with donor and acceptor concentrations of 5 times 1018

cm-3 at room temperature

Kazemian et al [8] performed calibrated energy-filtered SE imaging with the TTL detector to obtain SE energy spectra from the differently doped regions of an unbiased silicon p-n junction sample The junction at the surface was determined directly from the energy displacement of the SE spectra For a p-n junction sample with an acceptor concentration of 28 times 1019

cm-3 and donor concentration of 5 times 1018 cm-3

the room temperature measured junction potential difference at the surface was 072 plusmn 015 V

amp Finite-element simulations were performed to solve Poissonrsquos equation on the Si p-n junction samples that were used in the experiments mentioned above [4] [8] The junction built-in

Fig 3 Plots of computed junction built-in potential values at 1 nm below the surface as a function of the area density of surface states for the silicon p-n junction sample

410 K W A Chee C Rodenburg and C J Humphreys

potential values have been calculated (see Fig 3) at a depth of 1 nm below the silicon surface The surface states were simulated in a uniform 1 nm silicon layer on the surface of the semiconductor and are specified to have an energy level at the mid-gap position for silicon (055 eV) which is a known surface state level [9] The numerical solutions obtained have been used to quantify the surface state densities on the samples that have been characterised experimentally The surface state density that corresponds to the measured ∆Vs is determined from the two sets of experiments reported in [4] and [8] using Fig 3 The results are summarised in Table 1 The estimate of the surface state density is in the order of 1012

cm-2 and the close agreement between the solutions confirms the excellent accuracy of the simulation model used in the theoretical framework

NS1 (cm-2) NS1Min (cm-2) NS1Max (cm-2) NS2 (cm-2) NS2Min (cm-2) NS2Max (cm-2)

130times1012 100times1012 154times1012 183times1012 129times1012 340times1012 Table 1 List of values and error margins for the density of surface states derived from solutions of finite-element methods (cf Fig 3) 3 Summary and Conclusions We have demonstrated the strong influence of surface states on dopant contrast and those states therefore have to be taken into account in reliable dopant contrast quantification We have demonstrated a methodology to quantify surface states in the SEM based on a combination of SE emission spectroscopy or biasing experiments together with finite-element modelling to solve Poissonrsquos equation for the semiconductor sample The derived values for the density of occupied surface states are within the range (~1011 to 1013 cm-2) quoted in the literature on silicon [9] Hence the dopant contrast mechanism as confirmed by the findings in this work is a result of patch fields on the specimen surface that are governed by the change in the internal energy across the junction in the bulk of the specimen and determined by the distribution of surface charges The results of this work will help to enable the accurate quantification of dopant mapping of semiconductors in the SEM Acknowledgements A Chee acknowledges L Zhao for her assistance and ORSAS Cambridge Commonwealth Trust Trinity Hall Brockhouse Studentship and the FEI company for their support C Rodenburg thanks the Royal Society for their support The authors are grateful to B Kaestner for introducing the use of the ATLAS software programme from the Silvaco company References 1 Perovic D D Castell M R Howie A Lavoie C Tiedje T and Cole J S W 1995 Ultramicroscopy

58 104 2 Turan R Perovic D D and Houghton D C 1996 Appl Phys Let 69 1593 3 Venables D Jain H and Collins D C 1998 J Vac Sci Technol B 16 362 4 Elliott S L Broom R F and Humphreys C J 2002 J Appl Phys 91 9116 5 Perovic D D Turan R and Castell M R 1997 Proc Int Centennial Symposium on the Electron

Cambridge UK IOM Communications Ltd 6 Castell M R Perovic D D and Lafontaine H 1997 Ultramicroscopy 69 279 7 El-Gomati M M Zaggout F Jayacody H Tear S and Wilson K 2005 Surf Interface Anal 37

901 8 Kazemian P Mentink S A M Rodenburg C and Humphreys C J 2006 J Appl Phys 100

054901 9 Sealy C P Castell M R and Wilshaw P R 2000 J Electron Microsc 49 311

On the Asymmetric Splitting of CBED HOLZ Lines under the Gate of Recessed SiGe SourceDrain Transistors A Benedetti1 2 and H Bender1 1 IMEC Kapeldreef 75 B-3001 Leuven Belgium hugobenderimecbe 2 current address ICMAB-CSIC Campus UAB 08193 Bellaterra Barcelona Spain Summary The behaviour of the CBED HOLZ line splitting under the gate of transistor structures with recessed SiGe in the sourcedrain regions is investigated Structures with nitrideoxide dummy gates or with poly gates and nitride spacers are studied In the gate region as well as below the SiGe splitting of the HOLZ lines in the CBED patterns is observed with increasing magnitude towards the interface The splitting under the gate shows an asymmetry for equivalent lines which inverts along horizontal cutlines under the gate The behaviour is explained by a 3-dimensional relaxation of the stress 1 Introduction Convergent beam electron diffraction (CBED) in the transmission electron microscope is a high spatial resolution method for measuring stress distributions on a nanometre scale [12] At shallow depths below the interface the HOLZ lines within the CBED pattern are often ldquosplitrdquo which hinders pattern interpretation in the classical way [3-8] The splitting is generally related to a relaxation of the stress in the TEM specimen such that the planes along the electron beam path are variably rotated The effect occurs for all kinds of stressing layers on silicon including amorphous poly-crystalline or epitaxially grown materials [8] Although splitting is widely reported to occur in highly stressed regions other reports showed that splitting can be avoided eg in LOCOS and MOSFET structures [9 10] and under the gate in structures with SiGe grown in the sourcedrain regions [11 12]

In this work the splitting of the HOLZ lines in CBED patterns obtained under the gate of transistors with recessed SiGe sourcedrain regions is investigated Some results obtained with other blanket layers are discussed for reference 2 Experimental Details Long transistor structures are prepared with recessed 1 microm wide SiGe (15 and 20 Ge deposited in a ASM Epsilon 2000 Reactor) source and drain regions and different gate lengths The considered structures have either dummy oxidenitride gates with 250 or 1200 nm length or unsilicided poly-Sioxide stacks with 50 nm gate length and nitride spacers Seventy micron wide stripes are diced through the transistor structures The TEM specimen thinning is done with a focused ion beam by the H-bar technique A wide opening angle is used allowing 113deg tilt to the [230] zone The specimen thickness is on the order of 370-400 nm The energy filtered CBED patterns are acquired along the [230] zone axis at 200 kV with both a JEM3000F and a Tecnai30F microscope 3 Results and Discussion 31 Blanket Layers A typical [230] CBED pattern as obtained under a blanket polycrystalline CoSi2 layer is shown in Fig 1a The ldquosplittingrdquo results in two stronger outer lines and a series of weaker fringes in between

412 A Benedetti and H Bender

Equivalent lines eg -755 and -75-5 show an equal amount of splitting Within a pattern the magnitude of the splitting is proportional to the cosine of the angle between the HOLZ line and the 004 lines ie the component of the splitting along the 004 reciprocal direction is the same for all HOLZ lines (black lines on Fig 1a) This component which can be determined for any HOLZ line as a ruler for the strength of the splitting also corresponds to the long diagonal of the diamonds formed by the crossing of the equivalent HOLZ lines and is particularly easy to measure at the crossing of the -755-75-5 lines (continuous white ruler of Fig 1a)

The splitting is related to relaxation of the stress in the thinned TEM foil so that through the specimen thickness the (001) planes rotate with respect to the electron beam The magnitude of the splitting can be simulated with kinematical [8] or dynamical models [5 7] while for the accurate simulation of the inner fringes dynamical modelling is required [5 7] Qualitatively the splitting can be understood by a superposition of two patterns corresponding to a rotation of the lattice over an angle plusmn∆θ around the [1-10] direction [4] as schematically illustrated on Fig 1b

-7 5 5

-7 5

-5[230]

-1 1 11

-1 1 -11

0 0 4 -7 5

7

5 -3 -9

5 -3 7b

-7 5 5

-7 5

-5[230]

-1 1 11

-1 1 -11

0 0 4 -7 5

7

5 -3 -9

5 -3 7b

Fig 1 a) [230] CBED pattern obtained 210 nm under the CoSi2Si interface in a 420 nm thick sample with a blanket silicide layer and b) schematic of the major HOLZ lines The arrows indicate the shifts of the patterns compared to the unsplit case their total absolute length equals the diagonal of the -755-75-5 crossing

The splitting of the CBED patterns under different kinds of blanket stressor layers shows the

following characteristics [8] the splitting increases towards the interface between the layer and the silicon substrate very close to the interface the line patterns are fully blurred the splitting increases with increasing specimen thickness and scales with the stress in the layer Similar behaviours are observed for amorphous poly-crystalline and epitaxial layers 32 Recessed SiGe Transistor Structures Figure 2a shows a bright field TEM image along the [110] zone axis of a 250 nm wide dummy nitride gate between SiGe sourcedrains [230] CBED patterns are acquired at the left center and right side of the gate At the positions indicated by the dotted lines splitting of the CBED patterns is observed while closer to the gate the patterns are too blurred to judge the strength of the splitting and deeper in the silicon unsplit patterns are obtained The dots on the image indicate the positions at which the patterns shown on Fig 2c are acquired Contrary to the case with blanket layers the splitting under the gate shows asymmetry for equivalent HOLZ lines Moreover the asymmetry is inverted moving from left to right under the structure while it is absent in the center Due to the asymmetry the crossing of equivalent lines shows a parallelogram shape the long diagonal of which can be used as a ruler for the local splitting of the patterns The components of this splitting ruler as measured at the crossing of the -755-75-5 lines along the 004 and -320 reciprocal directions is

Asymmetric Splitting of CBED HOLZ Lines under Gate of Recessed SiGe SD Transistors 413

plotted on Fig 2b The splitting is the largest in the center of the gate where it has only a component along the 004 direction while it decreases in magnitude towards the edges where the component along the -320 direction becomes important This behaviour can qualitatively be understood by a 3-dimensional relaxation which results in a rotation of the (001) planes giving rise to the component of the splitting in the 004 direction and a rotation of the (-110) planes which results in projection in the -320 component Due to the symmetry of the structure the latter rotation is inverted on either side of the gate The behaviour is schematically illustrated for the -755-75-5 crossing in Fig 3 The situation of Fig 2c corresponds to the middle 3 drawings of Fig 3 The outer cases shown on this figure where one of the lines is strongly split while the other one is a single one is observed for a similar transistor structure with larger gate length (Fig 4) In that structure the splitting is found to be minimal in the center of the gate

-001

000

001

002

003

004

0 50 100 150 200 250

DISTANCE x UNDER GATE (nm)

SPLI

TTIN

G (n

m-1

)

y = -125 nmy = 0 nmy = 125 nm-320 y = -125 nm-320 y = 0 nm-320 y = 125 nm

004

-320

b

Fig 2 a) Bright field TEM image of the 250 nm transistor with dummy nitride gate with indication of the positions at which split patterns are observed b) magnitude of the components of the splitting in 004 and -320 direction versus the distance under the gate and c) [230] CBED patterns taken 100 nm under the gate at the points indicated on Fig 2a

Fig 3 Schematic representation of the crossing of the -755-75-5 HOLZ lines in case of rotation of the lattice due to relaxation in the direction indicated by the arrow

Fig 4 The crossing of the -755-75-5 HOLZ lines as observed 280 nm under a 12 microm wide gate at the indicated y positions measured relative to the center of the gate

414 A Benedetti and H Bender

Similar asymmetric splitting effects are observed under structures with 50 nm poly gates and nitride spacers as illustrated on Fig 5 A large splitting is observed under the gate as well as under the SiGe As for the wide transistor with nitride dummy gate also for this structure the 004 component of the splitting is the smallest under the center of the gate

-001

000

001

002

0 50 100 150 200 250

DISTANCE y (nm)SP

LITT

ING

(nm

-1)

x = 155 nmx = 155 nm

004

-320

x = 155 nm

b Fig 5 a) [230] HAADF STEM image and b) 004 and -320 components of the splitting measured along the arrow (the origin of y is taken at the start of the arrow)

4 Conclusions Asymmetric splitting of the HOLZ lines is observed under the gates of devices with recessed SiGe sourcedrain regions The behaviour can qualitatively be explained by a 3-dimensional relaxation of the silicon in the thin TEM foil Due to the splitting in the patterns the determination of stress from the HOLZ patterns is impossible with the classical theory For quantitative modeling of the displacements in the relaxed sample except for the uniaxial stress induced by the SiGe the stresses induced by the gate and spacers will also need to be taken into account References 1 Humphreys C J Eaglesham D J Maher D M and Fraser H L 1998 Ultramicroscopy 26 13 2 Armigliato A Balboni R Frabboni S Cullis A G Benedetti A and Pavia G 2001 Inst Phys

Conf Series 169 467 3 Benedetti A Bender H Torregiani C Van Dal M and Maex K 2004 Mat Sci and Engin B

114-115 61 4 Clement L Pantel R Kwakman L F Tz and Rouviere J L 2004 Appl Phys Lett 85 651 5 Armigliato A Spessot A Balboni R Benedetti A Carnevale G Frabboni S Mastracchio G and

Pavia G 2006 J Appl Phys 99 064504 6 Benedetti A Bender H Lauwers A Torregiani C and Maex K 2006 J Microsc 223 249 7 Houdellier F Roucau C Cleacutement L Rouviegravere J L and Casanove M J 2006 Ultramicroscopy

106 951 8 Benedetti A Bender H and Torregiani C 2007 J Electrochem Soc 154 H217 9 Toda A Ikarashi N and Ono H 2000 J Cryst Growth 210 341 10 Toda A Ikarashi N Ono H Ito S Toda T and Imai K 2001 Appl Phys Lett 79 4243 11 Huang J Kim M J Chidambaram P R Irwin R B Jones P J Weijtmans J W Koontz E M

Wang Y G Tang S and Wise R 2006 Appl Phys Lett 89 063114 12 Li J Domenicucci A Chidambarrao D Greene B Rovedo N Holt J Dunn D Ng H and

Rim K 2006 MRS Symposium Proceedings 913 D05-03

CBED and FE Study of Thin Foil Relaxation in Cross-Section Samples of Si Si1-xGex and Si Si1-xGex Si Heterostructures L Alexandre1 G Jurczak1 C Alfonso1 W Saikaly1 2 C Grosjean3 A Charaiuml1 and J Thibault1

1 TECSEN-UMR 6122 Universiteacute Paul Ceacutezanne 13397 Marseille Cedex 20 France 2 CP2M Universiteacute Paul Ceacutezanne 13397 Marseille Cedex 20 France 3 ST Microelectronics (RCCAL) ZI Peynier Rousset 13106 Rousset Cedex France Summary In order to determine residual stressstrain fields in CMOS devices and validate tools used to quantify the strain field we first studied residual strains in SiSi1-xGex and SiSi1-xGexSi TEM samples Because of sample thinning for TEM observations elastic relaxation occurs and modifies the initial stress present in the bulk sample Nevertheless if the main parameters which play a role on the elastic relaxation process can be determined we show that it is possible to reproduce from FE and diffraction simulations the complex profile of the HOLZ lines observed on experimental CBED patterns which makes possible the determination of the initial stress state 1 Introduction The determination of mechanical stresses is of major interest to the microelectronics industry Whether they are undesirable because they generate defects in components or voluntarily introduced to optimize certain electrical properties they have to be perfectly controlled Because of component size (critical size lt180 nm) a strain measurement technique with a very high spatial resolution combined with a very good sensitivity has to be used

CBED has been widely employed for many years in order to determine local strains in many systems such as microelectronic devices and epitaxially strained layers Nevertheless one of the major problems related to these measurements concerns the sample thinning down to electron transparency which induces a stress relaxation via the formation of free surfaces perpendicular to the milling direction In such cases lattice planes bend near interfaces giving rise to HOLZ line broadening [12] because of inhomogeneous variation of lattice parameters Conventional strain analysis using quasi-kinematical measurement of HOLZ line shifts for such broadened lines becomes impossible and new models have to be developed [3-6]

Because elastic relaxation causes HOLZ lines broadening we tried to prevent plane bending by depositing a cap layer with mechanical properties similar to those of the substrate This allowed us to perform conventional strain measurements in certain areas We combined finite element (FE) simulations and CBED measurements in order to validate the elastic model used in our mechanical simulations and electron diffraction simulations In this way we evaluated the importance of initial stress sample geometry and thickness on stress relaxation in SiSi1-xGex and SiSi1-xGex Si strained heterostructures 2 Samples and CBED Acquisition The studied Si1-xGex layers were deposited on (001) silicon substrates The Ge composition was of 1 3 or 6 in order to obtain a different residual strain level in each sample Layer thickness was about 100 plusmn 5nm Moreover in order to prevent plane bending at least in some parts of the layer a fourth sample was fabricated by depositing a 100 nm silicon cap layer on a Si097Ge003 layer Table 1 summarizes expected strains and stresses in Si1-xGex layers

Ge composition () aSi1-xGex misfit () biaxial εxx () εzz () biaxial stress σ0 (MPa) 1 054330 0042 -0042 0030 -75 3 054375 0125 -0125 0096 -225 6 054443 0241 -0241 0173 -450 Table 1 Strains and stresses in bulk Si1-xGex layer versus Ge concentration

416 L Alexandre et al

In order to check the influence of sample preparation technique on relaxation process transmission electron microscope (TEM) specimens were prepared by two different methods tripod polishing and ion milling (PIPS)

CBED experiments were mainly performed on a JEOL 2010F microscope operating at 200kV and equipped with an imaging filter (Gatan GIF 200) A FEI Tecnai microscope equipped with a STEM was also used to record CBED patterns profiles exhibiting sharp HOLZ lines In a previous paper [7] we showed that it is possible to reach a good experimental sensitivity on strain measurement (~ 2510-4) with this microscope even if no filtering system is used

All patterns were acquired along the lt230gt Si zone axis The samples were studied in a thickness range between 200 and 500 nm To determine the sample geometry sample thickness measurements at different positions in the lamella were performed Sample thickness values were determined by fitting the experimental intensity profile of the diffracted disk 004 with the theoretical one given by dynamical diffraction theory [7 8] The precision of this method is about 1 on the crystalline thickness measurement 3 FE Modelling and Electron Diffraction Simulation To quantitatively measure strains in samples andor regions giving sharp HOLZ lines quasi-kinematical simulations (ASACtrade software) have been used to measure the shift of these lines In the case of broadened HOLZ lines a combination of FEM and diffraction calculations was employed For this latter point the methodology proposed by Cleacutement et al [3] was applied It consists of a) thin lamella preparation with a given geometry b) acquisition of CBED patterns with broadened lines (Fig 1a) from which we extract experimental rocking curves c) use of elastic relaxation model (FEM) to determine displacements field for thin sample d) rocking curves calculations on the basis of FE results and e) comparison between simulated and experimental rocking curves

FE simulations were performed in Finite Element Analysis Program (FEAP) [9] using a custom model based on anisotropic hyperelasticity [10] Residual strains were calculated by introducing a lattice mismatch due to Ge composition and assuming an elastic accommodation of the layer Elastic stiffness coefficients and lattice parameters in Si1-xGex were calculated using Vegardrsquos law In our approach we used 3D FE calculations to simulate plane strain state present in TEM sample Size of finite elements was optimized to get the best compromise between accuracy and computation time It was found that a point resolution of 25 nm is the most suitable

Rocking curves calculations were done with home-made routines written in SciLabcopy (INRIA-ENPC) software using dynamical electron diffraction approach (two-beam approximation) To compare experimental and simulated rocking curves a dedicated procedure has been developed to automatically estimate the error and determine the best simulated profile among a set of displacements extracted at different distances d from the interface The best match between the simulated and experimental profiles corresponds to the lowest χsup2 value (Fig 1b)

Fig 1 a) CBED pattern with broadened diffraction lines b) Best match between simulated rocking curve and experimental one for the (5 -3 -9) reflection (χsup2=0073)

CBEDFE Study of TFR in Samples of SiSi1-xGex amp Si Si1-xGexSi Heterostructures 417

4 Results 41 Influence of Sample Thickness on Lamella Relaxation FE calculations of elastic relaxation in Si-capped 100 nm Si097Ge003 layer for TEM samples of different thicknesses (from 20 to 1000 nm) have been compared to direct measurements of lattice parameters in the layer (from 150 to 450 nm) (Fig 2) A good agreement between experimental and simulated lattice ldquoardquo parameter is always observed Concerning ldquocrdquo parameter deduced from previous ldquoardquo values experimental results show a good agreement except for two thicknesses (150 and 225 nm) Work is in progress to check this discrepancy

Fig 2 Comparison between calculated and measured lattice parameter in Si097Ge003 layer as a function of sample thickness

Moreover FEM shows that even for very thick samples (1000 nm) ldquoardquo and ldquocrdquo parameters differ

from the ones corresponding to completely strained bulk system (see theoretical values in Fig 2) That should mean that relaxation has already begun On the other hand on thin samples (20 nm) no total stress relaxation is observed in the layer That means that a correction of measured strains is necessary to determine initial strains whatever the sample thickness is in the range 20-1000 nm Complementary FE simulations show that the elastic relaxation depends on the ratio between the layer thickness and the sample thickness This explains why this sample is not completely strained for a 1000nm thick lamella The geometry of the system is one of the parameter which has to be considered 42 Validation of Rocking Curve Simulations HOLZ line broadening observed in TEM samples near the interface makes strain analysis more difficult To check the accuracy of our model broadened HOLZ lines in the system with 3 and 6 of Ge (σ0 =-225MPa and -475MPa respectively) were compared with simulated diffraction lines (Fig 3) CBED profiles taken in the substrate at different positions from the interface and different reflexions were studied 9 3 5 and 1111 (Fig 3) We observed a very high sensitivity of the rocking curves shape and intensity with the variation of Ge composition the sample thickness (t) and the position from the interface (distance below the interface d) The two latter parameters have to be known with a precision inferior to 10 nm to reproduce the shape of experimental rocking curves and deduce the initial stress state present in the strained layer 5 Conclusions Quantitative strain analysis was performed in Si1-xGex samples Firstly by comparing measurements with FE modelling the relaxation behaviour in TEM cross-section samples was explored for a large range of thicknesses Whatever the thickness is this relaxation can not be ignored and has to be taken into account to deduce the initial strain state Secondly we showed

c)

0 200 400 600 800 10005430

5432

5434

5436

5438

5440

5442

5444

c theoretical limit for thin sample

a theoretical limit for thin sample

a theoretical limit for bulk sample

c from FEM c experimental a from FEM a experimental

a an

d c

latt

ice

par

amet

ers

(An

gst

rom

s)

Sample thickness (nm)

c theoretical limit for bulk sample

418 L Alexandre et al

Fig 3 Comparison between experimental and simulated rocking curves

that the complex rocking curves observed experimentally can be reproduced by combining FE modelling and dynamical simulationsThe good agreement confirms that the initial strain state used in FE simulation is valid We have outlined the influence on the calculated strain of the accuracy of sample thickness and position determination measurement Finally numerical tools are now operational to analyse strains in real CMOS devices However some optimizations need to be done to reproduce for example the asymmetry observed in experimental rocking curves Acknowledgements The authors thank D Dutartre (ST Crolles France) for providing samples This work has been supported by the lsquoConseil Geacuteneacuteral des Bouches du Rhocircnersquo and the lsquoConseil Reacutegional PACArsquo References 1 Chou C T Anderson S C Cockayne D J H Sikorski A Z and Vaughan M R 1994 Ultra-

microscopy 55 334 2 Banhart F 1994 Ultramicroscopy 56 233 3 Cleacutement L Pantel R Kwakman L F T and Rouviegravere J L 2004 Appl Phys Lett 85 651 4 Chuvilin A Kaiser U de Robillard Q and Engelmann H J 2005 J Electron Microsc 54 515 5 Houdellier F Roucau C Cleacutement L Rouviegravere J L and Casanove M J 2006 Ultramicroscopy 106 951 6 Spessot A Frabboni S Balboni R and Armigliato A 2006 Nucl Instrum Meth B 253 149 7 Alexandre L Rousseau K Alfonso C Saikaly W Fares L Grosjean C and Charaiuml A 2007

httpdxdoiorg101016jmicron200701005 8 Delille D Pantel R and Van Cappellen E 2001 Ultramicroscopy 87 5 9 Zienkiewicz O C and TaylorR J 1989 The Finite Element Method McGraw-Hill London 10 Dłużewski P 2000 J Elasticity 60 119

Stress and Strain Measurement in Stressed Silicon Lines A Beacutecheacute J L Rouviegravere J C Barbeacute1 F Andrieu1 D Rouchon1 J Eymery2 and M Mermoux3

CEA-Grenoble DRFMCSP2MLEMMA GEM-Minatec 17 rue des Martyrs 38052 Grenoble Cedex 9 France 1 CEA-Grenoble LETI 17 rue des Martyrs 38052 Grenoble Cedex 9 France 2 CEA-Grenoble DRFMCSP2MNPSC 17 rue des Martyrs 38052 Grenoble Cedex 9 France 3 CNRS LEPMI 1130 rue de la Piscine BP 75 38402 St Martin drsquoHegraveres Cedex France Summary Stressed Si lines are attractive conduction channels for transistors due to the improvement of the carrier velocity The stress and strain in 1 microm width Si lines on top of silicon oxide have been characterized by analysing plan view Moireacute patterns obtained by transmission electron microscopy (TEM) and comparing them with Raman spectroscopy and X-ray diffraction results A good agreement is found between experimental measurements and results from simulations which validates our approach of measuring structural deformation by Moireacute fringes Regions having a Si substrate thicker than 400nm produce Moireacute period profiles similar to the ones that would be obtained on the initial thick wafer The relaxation of the stress at the edge of the lines is clearly shown in Moireacute fringe images 1 Introduction The ITRS roadmap for the MOSFET down-scaling asks for improved carrier mobility [1] It has been shown that stressed Si layers can have an enhanced mobility [2 3] Improved properties should also be present in stressed Si lines but characterization methods are required to measure stress in these new devices

Here we show that the residual stress in Si lines realised in strained Si on top of insulator (sSOI) can be measured by analysing Moireacute fringes in plan view geometry Moireacute fringes are obtained by double diffraction between the substrate and the strained Si lines The lattice parameter of the Moireacute fringes has been measured by geometrical phase analysis (GPA) [4-6] The modification of the stress in the plan view specimen has been taken into account by using finite element (FE) simulations These results have been compared to measurements performed using both Raman spectroscopy and X-ray diffraction 2 Experimental Details Stressed Si lines are made by using a series of technical steps (i) On a pseudo-relaxed SiGe wafer (Si08Ge02) a 15 nm thick epitaxial Si layer is deposited by remote plasma-enhanced chemical

Fig 1 a) Reference position of a wedge shape TEM lamella before relaxation The dark material is the Si02 layer The other parts are in Silicon b) Deformed TEM lamella (amplification factor 30) Note the curvature near the thin areas (relaxation type ii)

a b

420 A Beacutecheacute et al

vapour deposition (RPECVD) The Si layer is biaxially strained by the SiGe pseudo-substrate and the following steps try to preserve the stress in the Si layer where the lines will be realised (ii) The previous wafer is then bonded to another Si wafer covered with a 135 nm thick silicon oxide layer (iii) The first wafer and the SiGe layer are then removed in order to get sSOI ie a stressed Si film on insulator The wafer is then composed of three parts the Si substrate a silicon oxide layer and the stressed Si film (iv) A final step consists in lateral etching of the stressed Si film to form line patterns of 1 microm widths parallel to the xS=[220]S direction (Fig 1) The lines and non-patterned wafers were respectively analysed by Raman spectroscopy and X-ray diffraction

The small crystallographic misalignment between the Si film and the Si substrate (principally the angle α in the xSyS plane (Fig 1)) which is introduced during bonding was measured by X-ray diffraction α=01deg X-ray diffraction was performed at the European Synchrotron Radiation Facility (ESRF)

Experimental Moireacute images were acquired on plan view samples since thin areas of cross-section samples suitable for high resolution electron microscopy (HRTEM) tend to release stress in a chaotic manner To realize these plan view samples the Si substrate was first mechanically thinned down to 200microm then etched by a HFHNO3 chemical solution in order to form tiny holes Images were recorded on 2kx2k CCD cameras either on a FEI Titan 300kV electron microscope in TEM mode or on a JEOL 4000EX microscope The direction of observation was normal to the (001) surface and a small aperture selected the transmitted beam and its surroundings Moireacute fringes are then formed by double diffraction of the electron beam in the substrate and in the lines Moireacute fringes are characterized by the reciprocal lattice vector gM [7] SLM ggg minus= (Eq 1) where gS and gL are the reciprocal lattice vectors of two families of planes respectively in the substrate and in the line In this study measurements have been performed with diffracted vectors gS = [2-20]S and gL =[2-20]L It should be noticed that the modulus of gM

[ ]21

SL2

S2

LM cosgg2ggg αminus+= (Eq 2) is very sensitive to the misorientation angle α 3 TEM Lamella Relaxation and Finite Element Simulations In order to measure accurately the thickness of the TEM samples areas with nice wedge shapes were selected (Fig 1) Three kinds of stress relaxation can happen in this geometry (i) the relaxation due to the thin sample thickness (ii) the relaxation at the edge of the wedge and (iii) the relaxation at the edge of the lines Finite element (FE) calculations realised either in CASTEM or COMSOL software in order to simulate these different types of stress relaxation

As indicated previously the stress in the lines is created by the Si08Ge02 pseudo-substrate Assuming that there is no stress relaxation before the final etching step (iv) the Si film should be in a biaxial tensile state That it to say in the (xy) plane the strain in the Si film at the end of step (iii) should be equal to the misfit between Si and Si08Ge02 ie εxx= εyy =08 This biaxial state in which

-063 C2

xx11

12zz =minus= εε

C and GPaCC

CC xxxx 4612

11

212

1211 =

++= εσ will be our reference state In

the FEexperiment comparison the stress σxx before relaxation will be our fitting parameter The local distortion field in the lamella is characterized by a deformation matrix D(r) which

includes rotation and strain The local deformed reciprocal lattice matrix [ ])()()( rrr D

D

D cba

characterized by the three column vectors )(raD )(rb

D and )(rcD is determined as the inverse of

the transpose matrix of the local direct lattice matrix [ ] [ ] 1))))(((()()()( minus+= tI cbacba rrrr D

DD

D (Eq 3) where I is the identity and a b and c

the undeformed lattice vectors Eq 3 is applied in the substrate and in the lines The local deformed reciprocal lattice vectors gL(r) and gS(r) of Eq 1 can then be expressed as functions of

Stress and Strain Measurement in Stressed Silicon Lines 421

Fig 3 a) Moireacute fringe image of a plan view sample A 1microm-line with its Moireacute fringes extends from the bottom right to the top left The sample has a wedge shape visible from the broad fringes which are thickness fringes b) Moireacute period map (in nm) of the left image c) Moireacute period profile averaged along the short size of the white rectangle of Fig 3b two simulations are added to the experimental data

Fig 2 Calculated Moireacute period profile along the direction yS of a line yS=0 is the middle of the line yS=500nm is its edge The calculations of a 3D wedge shape sample taken at a thickness of 200nm (Fig 1) and of a uniform 2D lamella of the same thickness are given

the indexes of the undeformed vectors gL and gS For instance [ ] LL gcba(r)g

LLL)()()( rrr

DD

D=

(Eq 4) Figure 2 shows the Moireacute periods across a line calculated from eq 3 and eq 4 The relaxation at the edge of the line (type (iii)) is clearly detected by the Moireacute fringes as the Moireacute period can nearly double at the edge of the line Our calculations show that the relaxation type (ii) which is mainly a rotation around the yS axis (Fig 1b) can be neglected far from the edge of the wedge and in particular for substrate thickness thicker than 200 nm (Fig 2) Importantly FE simulations show that the Moireacute period profiles do not change significantly for substrate thicknesses greater than 400nm Measurements realised at thicknesses greater than 400nm can be considered directly as ldquobulk measurementsrdquo 4 Moireacute Fringe Measurements Figure 3a is a Moireacute image of an area having the desired geometry a uniform wedge shape where the line is perpendicular to vacuum (bottom right of Fig 3a) and a uniform thickness along the yS direction The sample thickness increases by 39nm for each thickness fringe (2-20) Moireacute fringes were analysed using GPA [4-6] Maps giving the local Moireacute periods are obtained (Fig 3b) In Fig 3c a profile has been extracted from Fig 3b and compared to FE calculations The simulated Moireacute period profiles reproduce very well the experimental shape The noise observed in the experimental Moireacute profile is certainly due to the wavy shape of the equal thickness fringes (see Fig 3a) which is certainly due to a bending of the sample In Fig 3c two curves corresponding to two initial in-plane stress σxx are plotted It suggests that the initial biaxial stress in the film is between 13 GPa and 155 GPa with an average value of 144GPa The precision of the method

can then be estimated to be plusmn10

a

b c

422 A Beacutecheacute et al

5 Comparison with Raman and X-ray The probe diameter in Raman spectroscopy is approximately 800 nm which gives an average value of the stress stored in the Si lines In spite of the limited penetration depth of photons in Si both the substrate and the stress Si film can be detected For a point taken in a middle of a line (Fig 4) there is a difference ∆ω = -62cm-1 in the frequency response between the substrate and the line This difference allows us to measure the average stress in the middle of the lines which is about 13 GPa Small variations are measured on the side of the lines indicating a type (iii) relaxation but the lateral resolution of the probe is not small enough to allow a detailed analysis

X-ray measurements which were performed on a non patterned sample measured (i) the misorientation α (Fig 1) (ii) the (220) and (2-20) lattice planes of the substrate and the lines that allow one to compute the in-plane stress σxx of the lines It was found that the homogeneity of the wafers was not very good Depending on the measured areas σxx varies from 16 GPa to 13 GPa

The three different methods used here agree in terms of measurements of the average stress The homogeneity of these first wafers was not perfect and three explanations could be given (i) The SiGe pseudo-substrate has defects andor chemical composition variations that modify the initial stress in the lines or (ii) the silicon oxide layer is not stress free when deposited on the Si substrate and induces inhomogeneous relaxation into the Si stressed layer or (iii) there is an inhomogeneous relaxation during the process The first hypothesis is unlikely due to the relative reproducibility of silicon-germanium alloys The second and third hypotheses are more complicated and will need further investigation 6 Conclusion Comparisons with Raman and X-ray diffraction have shown that the Moireacute method can be a tool to determine the stress in stressed Si lines However for studying inhomogeneities on large areas or making quantitative measurements Raman and X-ray seem more adapted because they study the lines without specimen preparation The advantage of the Moireacute method is to be able to measure the stress relaxation at the edge of the wire For the future nanoelectronics industry these three methods should not provide fine enough resolution to characterize a single device (for instance the Moireacute period is about 20-30nm) However these three methods should provide useful data to better understand the mechanism of stress relaxation References 1 httppublicitrsnet 2 Andrieu F Weber Ernst T Faynot O and Deleonibus S 2007 Microelectron Eng 84 2047 3 Andrieu F Dupre C Rochette F Faynot O et al 2006 IEEE conference proceedings

(httpieeexploreieeeorg) 2006 symposium on VLSI technology 134 4 Rouviegravere J-L and Sarigiannidou E 2005 Ultramicroscopy 106 1 5 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 6 Rouviere J-L this Proceedings volume 7 Williams D B and Carter C B 1996 Transmission Electron Microscopy (Plenum Press NY

Fig 4 Raman spectrum in the centre of a line

Measuring Strain in Semiconductor Nanostructures by Convergent Beam Electron Diffraction L Cleacutement1 2 J-L Rouviere1 F Cacho3 and R Pantel3 1 CEA-Grenoble DRFMCSP2MLEMMA GEM-Minatec 17 rue des Martyrs 38052 Grenoble Cedex 9 France 2 NXP semiconductors 860 rue Jean Monnet F-38920 Crolles France 3 STMicroelectronics 850 rue Jean Monnet F-38926 Crolles France Summary Convergent beam electron diffraction (CBED) experiments and simulations and finite element calculations are used to measure the strain and stress in a complex device such as a series of periodic MOS transistors When approaching the transistor active regions the HOLZ lines in the CBED patterns acquired in the silicon substrate become increasingly broad This HOLZ line broadening which is due to the relaxation of stress in the lamella is used to determine quantitatively the strain and stress in the thin lamella and then in the bulk device Two parameters the intrinsic material stresses in the NiSi and Si3N4 layers of the transistors are successfully fitted by trial and error These fitted values are respectively equal to 12 and 095GPa 1 Introduction Great efforts have been made in order to control stress in electronics devices and the future 45nm technology will incorporate locally-induced strain that enhance carrier velocity [1-3] However a reliable and accurate tool to measure strain and stress at a nanometre scale is still needed In the last few years different electron microscopy method have been applied for instance high resolution transmission electron microscopy (HRTEM) [4] nano-beam-diffraction (NBD) [5] electron diffraction contrast imaging (EDCI) [6] large angle convergent beam electron diffraction (LACBED) [7] and convergent beam electron diffraction measurements [8] In principle CBED is the most accurate of all these techniques as by examining HOLZ (high order Laue zone) line displacements local lattice parameter measurements can be achieved with a resolution of 210-4 in strain and a spatial resolution of 1nm [9] However stress relaxation in TEM lamellae can lead to CBED patterns with HOLZ line broadening due to a high strain gradient along the beam direction [10] In [10] we propose a procedure to determine stress from such CBED patterns and the procedure was applied as if the sample contained only a NiSi layer on top of the Si substrate The purpose of this work was to evaluate whether the procedure can be applied to a more complex device and can lead to a coherent result 2 Presentation of the Methodology and Experimental Details The methodology outlined in [10] contains the following steps

(1)-A thin lamella with a controlled geometry was extracted from the bulk sample by focused ion beam (FIB) milling Cross-sections with a uniform thickness in the 100nm - 500nm range were obtained (2)-Using the STEM mode of a FEI TECNAI F20 microscope equipped with a Gatan imaging filter (GIF) filtered CBED patterns were acquired along particular lines in the Si substrate (see Fig 1) (3)-The elastic energy of the thin lamella was minimised by introducing initial guessed stresses in the different materials This energy minimization was realised in ANSYS finite element software and the displacement field of the structure was calculated

424 L Cleacutement et al

(4)-Using this displacement field parts of CBED patterns were simulated at different places of the structure Different dynamical simulations were tested [10] (5)-The calculated CBED patterns were compared visually to the experimental ones (6)-The steps 3 4 and 5 were repeated with different initial stresses in order to improve the goodness of the fit ie until the simulated HOLZ line broadenings visually matched the experimental ones (7)-By using the calculated initial stress values the stress field of the initial bulk structure that is to say before the creation of the thin lamella was calculated

In this paper we have studied a periodic set of MOS transistors integrating nickel silicide (NiSi) and tensile stressed nitride Si3N4 contact etch stop layer (CESL) deposited over the gates (Fig 1) The length of the gate in the [110] direction is 280nm This test structure was realised without drain and gate contacts The transistor width was equal to 10microm in order to facilitate the extraction of TEM lamella

Fig 1 [110] STEM image of the periodic transistors Spots where CBED patterns have been acquired are labelled by a line letter (A B and C) and a number For instance spot A4 The NiSi and Si3N4 layers have respective thicknesses of 28 nm and 35 nm Horizontal points along A and B lines are separated by 140nm Vertical points along line C are separated by 55nm

3 Experimental Results and Simulation Figures 2abcd show some CBED patterns acquired at positions A4 A6 B4 and B6 As observed in [10] the splitting of HOLZ line is more important near the interfaces that produce stress It can be pointed out that the splitting under the poly-Si gate (A4 B4) is smaller than under the active region (A6 B6) This is consistent with the fact that the poly-SiSiO2Si interface creates less stress than the Si3N4NiSiSi interface and that the distance from A6 to Si3N4NiSiSi interfaces (yA=130nm) is smaller than the distance from A4 to Si3N4NiSiSi interfaces (yA + thicknessPoly-Si)

The periodicity of the strain and the variations of splitting along the lines A and B are best seen by making profile maps of a given HOLZ line (Figs 3abcd) Figure 3 and Figs 2ef show simulated and experimental profile maps of the (5-37) HOLZ line along the lines A B and C The agreement between simulation and experiment is rather good although not perfect The number of oscillations in the split HOLZ line is correctly reproduced for lines A and B however the splitting is slightly underestimated along the line B under the gate (Fig 3e) The fit is less good for line C in the simulation the splitting extends further in the Si substrate (down to 370nm whereas it extends down to 315nm in the experiment) and the split (5-37) HOLZ line at position

Measuring Strain in Semiconductor Nanostructures by CBED 425

C3 contains 3 minima in the simulation where it has 2 minima in the experiment (Fig 2h) This indicates that the simulated initial stress is slightly overestimated above the active layer whereas it

Fig 3 a-b-c-d) (5-37) profile maps along A and B lines The numbers 1 2 hellip9 indicate the positions where the vertical profiles of the maps are taken Figs 3a and b are experimental profiles Figs 3c and d are simulated ones e-f) Experimental points are marked with crosses Intensity units along the vertical axis are arbitrary

Fig 2 a-b-c-d) (230) CBED patterns acquired at positions A4 A6 B4 and B6 respectively of Fig 1 e-f) (5-37) profile maps along line C The numbers 1 2 hellip8 indicates the positions C1 C2 hellip C8 where the individual horizontal profiles are taken Successive points are separated by 55nmg-h) Superposition of experimental and simulated (5-37) profiles taken at position C2 (Fig 2g) and C4 (Fig 2h)Experimental points are marked with crosses Intensity units along the vertical axis are arbitrary

426 L Cleacutement et al

Fig 4 Mapping of von Mises strain in deformed geometries (magnified by a factor 30) Half of the period along x is shown a) Bulk configuration The system is uniform along z b) The lamella after the FIB extraction Both free z-surfaces are shown The thickness of the lamella is 475nm In a first approximation the strain is uniform along the z-direction inside the region of the lamella marked by an arrow

is slightly underestimated over the gate Of course it would be surprising to have a perfect fit as (i) we have realised a fit by trial and error (ii) we do not take into account the slight asymmetry of the CBED patterns (see Fig 2 and

[12]) and (iii) we have simplified our model by restricting the unknown parameters to two values

the initial intrinsic stress in the NiSi above the active region (fitted value σNiSi Si

int =12GPa) and the intrinsic stress in Si3N4 (fitted value int43NSiσ = 095GPa)

The other intrinsic stress values have been fixed by making different assumptions based on the measure of curvatures of test Si wafers covered with uniform layers [11] For instance it was measured that the poly-silicon is slightly in tension (about 40 MPa) and that NiSi on Si and NiSi on poly-Si have different intrinsic stress (respectively 12 GPa and 02 GPa) It is interesting to note that our fitted intrinsic value in NiSi above Si is equal to 12GPa which is exactly equal to the one measured by wafer curvature However our fitted value for Si3N4 (095 GPa) is slightly higher than the wafer curvature value which is equal to 075 GPa

Figure 4 highlights the different strains between the bulk and the TEM lamella In the lamella

due to the creation of free z-surfaces the strain and stress initially located in the NiSi and Si3N4 layers are partially transferred in the silicon substrate In the 475nm thick lamella the silicon is distorted up to a depth of roughly y=500nm In a crude approximation the depth of perturbation is roughly equal to the thickness of the lamella The difference between the bulk and the lamella is of course more important near the free z-surface but this region is not spread and it is interesting to compare the stress and strain at the middle of the lamella to the values of the bulk sample (Fig 5) The main differences come from the z-components of strain and stress that are in the bulk configuration uniform in the NiSi and Si3N4 layers and roughly equal to 0 in the Si and poly-Si In the lamella they are not constant having smaller values in the layers and becoming negative in the poly-Si and in the Si near the poly-Si One can notice that in both configurations the NiSi and Si3N4 layers behave as one unique layer although the intrinsic stresses of these layers are different

Measuring Strain in Semiconductor Nanostructures by CBED 427

This is certainly due to the fact that (i) in our calculation the elastic constants of NiSi and Si3N4 are taken to be equal and that (ii) the more stressed material (on poly-Si it is Si3N4 and on Si it is NiSi) imposes its stress in the less stressed material

For the electrical properties of the device it is important to note the strain and stress under the gate in the bulk geometry (Figs 5df) From Figs 5df we can see that under the gate the silicon is slightly in compression in the vertical direction (at a depth z=20nm in Si εyy ~ -017 and σyy ~ -200MPa) whereas it is slightly in tension in the lateral direction (εxx ~ 01 and σxx ~ 100MPa) This distribution of strain enhances the mobility of n-transistors [4] These mobility improvements were effectively observed in our structure

Fig 5 Strain and stress profiles along y Left and right curves are respectively from the centre of the TEM lamella and the bulk geometry The different material positions (Si NiSi and Si3N4 for Figs 5ab and Si poly-Si NiSi and Si3N4 for Figs 5cdef) are indicated by thick lines ended by arrows a-b) Stress in the middle of the active layer c-d) Stress in the middle of the gate e-f) Strain in the middle of the gate

428 L Cleacutement et al 4 Conclusion We demonstrated that it is possible to apply the analysis of HOLZ line splitting to the measurement of strain and stress in complex devices such as MOS-transistors We succeeded in fitting two parameters The fit is not perfect as it was made by trial and error but the fitted values are in good agreement with wafer curvature measurements and consolidate the results of finite element simulations However future work is needed to make the procedure more systematic and automatic References 1 Andrieu F Weber O Ernst T Faynot O and Deleonibus S 2007 INFOS Conf Proc to be

published 2 Rim K Anderson R Boyd D Cardone F Chan K Chen H Christansen S Chu J Jenkins K

and Kanarsky T 2003 Solid-State Electronics 47 1133 3 Volgelsang T and Hofmann H 1993 Appl Phys Lett 63 186 4 Ang K W Chui K J Bliznetsov V Tung C H Du A Balasubramanian N Samudra G Li M F

and Yeo Y C 2005 Appl Phys Lett 86 093102 5 Usuda K Mizuno T Tezuka T Sugiyama N Moriyama Y Nakaharai S and Takagi S I 2004

Appl Surf Sci 224 113 6 Li J D Anjum D Hull R Xia G and Hoyt J L 2005 Appl Phys Lett 87 222111 7 Li B Zou H and Pan J 2001 Mater Sci and Eng A308 65 8 Kim M Zuo J M and Park G S 2004 Appl Phys Lett 84 2181 9 Senez V Armigliato A Wolf I D Carnevale C Balboni R Frabboni S and Benedetti A 2003 J

Appl Phys 94 5574 10 Clement L Pantel R Kwakman L and Rouviere J L 2004 Appl Phys Lett 85 651 11 Cacho F 2005 PhD thesis Ecole des Mines de Paris 12 Benedetti A and Bender H this Proceedings volume

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences J Gierak A Madouri A L Biance E Bourhis G Patriarche C Ulysse X Lafosse L Auvray1 L Bruchhaus2 R Jede2 and Peter Hawkes3 Laboratoire de Photonique et de Nanostructures Route de Nozay F-91460 Marcoussis 1 MPI Universiteacute drsquoEacutevry Val drsquoEssonne Bd Franccedilois Mitterrand F-91025 Eacutevry Cedex 2 Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Germany 3 CEMESCNRS 29 rue Jeanne Marvig F-31055 Toulouse Summary Controlled and reproducible fabrication of nano-structured materials is one of the main scientific and industrial challenges for the next few years We have recently proposed exploitation of the nano-structuring potential of a high resolution focused ion beam tool to overcome basic limitations of current nano-fabrication techniques The aim of this article is to present our research efforts in combining ion source and ion optics specifically for high-resolution applications First we detail the very high resolution FIB instrument we have developed specifically to meet nano-fabrication requirements Finally we present the instrument now capable of fabricating directly nano-pores with diameters below 5 nm 1 Introduction Focused ion beam (FIB) technology using a probe diameter smaller than 50 nm has attracted a high level of interest in the last few years FIB technology is now very popular and widely used by a community extending from the semiconductor industry to academic research Nowadays typical FIB applications involve micro-device editing cross-sectional transmission electron microscopy (TEM) specimen preparation magnetic thin head trimming or local deposition of materials For a recent review see [12] Since the middle of the 1980s several generations of high-performance systems have been successfully developed and released to address these different fields of application

A few years ago in our laboratory we decided to re-examine the operating characteristics of the liquid-metal ion source (LMIS) We showed that the exploitation potential of a gallium LMIS could be improved further A high-resolution ion optics column was then developed to achieve a calculated resolution in the sub-10 nm range Later using this instrument nanofabrication applications were carried out demonstrating its potential Direct clean and reproducible material nano-structuring close to or below the 10 nm resolution level has been demonstrated

For nanometre-scale FIB patterning we have shown [3] that the appropriate level of interaction between ions and solids is efficiently achieved with very low dose irradiation Defects caused by collisions in the vicinity of the surface irradiated layers play the major role in our approach This is due to the shrinkage of the lateral dimensions to the very small thickness of the active layers used and to the extreme sensitivity of these materials towards gallium bombardment Indeed most of the target materials involved in nano-fabrication experiments (III-V crystals thin magnetic crystalline films or inorganic compounds with weak bonds) exhibit very high ion sensitivity well below the 1014 ions cmsup2 range (30 keV Ga+ ions) As a direct consequence local chemical reactivity or crystal modification of these materials induced by local ion bombardment becomes a high-speed process offering new possibilities for localized structuring of materials or selective deposition of nanograins for example

432 J Gierak et al

2 Emerging Applications in Nanoscience for FIB Technology Manipulating and sculpting matter below 10 nm is nowadays a key point for nano-fabrication and its applications in electronics chemistry and biology Among diverse research tools and processes currently developed for meeting nano-fabrication challenges high resolution FIB is attracting a continuously growing interest Compared to lithography techniques it allows the direct patterning of an integrated device or component and the low scattering rate of gallium ions offers a very good resolution This parameter is becoming essential to meet nano-fabrication specifications

In this field an emblematic emerging application for FIB is the nanoengraving of membranes as a template for nanopores and nanomasks Just as ion tracks are formed in membranes when energetic ions pass through a membrane it is possible with a FIB system to fabricate and organize nano-sized holes within thin membranes having thicknesses between 10 and 100 nm The main advantage here in comparison with the classical high energy approach is that by using a FIB system one can also produce nanosized holes but at specified locations with customized organization On the other hand the main limitation with FIB is that the length of the narrow cylinder fabricated is limited by the membrane thickness itself

One first application generating a considerable amount of interest is the so-called ldquonanostencilrdquo approach [4] where a thin membrane is processed with a FIB as a mask to be subsequently used to grow or depose nanostructures within a clean environment allowing resistless processing and atomically precise lithography A second development of a FIB-based processing on membranes was reported recently [5] In this work a sculpting technique was developed to fabricate nanopores in Si3N4 membranes Using a FIB artificial nanopores were fabricated and successfully used as single molecule electronic detectors (for substances such as DNA) This clearly illustrates the potential of FIB techniques to open emerging fields for nanoscience 3 The Development of a Dedicated FIB Nanowriter 31 Improved Gallium Liquid Metal Ion Source Geometry The LMIS was introduced in the late 1970s allowing the development of focused ion beams The LMIS has been shown to be a remarkably high brightness source for a large number of ionic species As a result these ion sources now play a major role in FIB technology Indeed amongst the different point ion sources investigated in the two last decades the LMIS remains the best choice for developing high performance ion probes [6] The very high brightness and small source size of the LMIS and its easy handling remain its chief and most decisive advantages but some weaknesses are also well known

Long term spatial stability This is crucial for an instrument based on an optics transfer principle The position of the emitting point has to remain constant at the nanometre scale for the duration of patterning periods that can exceed several hours in nanofabrication Operating in-situ several kinds of LMIS geometries in high voltage TEM (1 MV) we found that the emission site could shift to different positions at distances of several tenths of nanometres depending on the supply rate of gallium In addition multiple parasitic cusps appearing simultaneously even in low voltage regimes were also observed This problem of multiple emission sites at the apex of the tungsten polished tip is well known by FIB users operating a damaged or contaminated LMIS In such cases off-axis emission and multiple Taylor cones are prevalent

Very low emission current stability and energy width The most significant constraint on LMIS stability results from electrohydrodynamic instabilities in the liquid supply Experimentally a liquid metal ion source has a minimum emission current and this limits performance [7] A benefit of reducing LMIS emission current is that the FWHM (full width at half maximum) of the energy distribution should fall rapidly whilst the angular intensity falls slowly This was supported by the

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences 433

current dependence of the energy spread initially modelled with the Boersch effect [89] In practice this is not verified

The LMIS is the most important element of the FIB technology We have developed and optimised an ion source geometry using a rough needle type which is described elsewhere [10] The main characteristic of this geometry is that the end of the tip is sharpened using a mechanical polishing process In our case the tip is polished to a 49deg half angle and the characteristic apex radius is in the range 20 to 50 microm A first direct consequence is that this large tip geometry is much less sensitive to contamination effects caused by backsputtering Moreover the supporting needle remains permanently protected by a large amount of gallium that preserves the needle surface morphology from the consequences of perturbations (surface smoothing and apex rounding) This emitter is extremely stable and allows long unattended nanofabrication processes as shown in Fig 1 In this figure the source is started within a lsquocold startrsquo procedure and ramped up to a 30 kV potential The source is operated in the constant current mode and the voltage applied to the source is adjusted automatically by a dedicated power supply This graph was obtained without voltage drift corrections and the beam stability is controlled within the volt range for a 30 to 40 kV acceleration voltage

0 500 1000 150000

50x103

10x104

15x104

20x104

25x104

30x104

35x104

Em

itter

vol

tage

(V)

Time (s)

Fig 1 Detail of the gallium LMIS ramp-up procedure After a period of ~ 5 min the beam voltage is stabilised and controlled within the one volt range

This stability is a first basic requirement for nanofabrication purposes In addition we have

observed source lifetimes exceeding 1000 hours without any noticeable degradation of both the IV and optical characteristics for operation at a gun base pressure around 1x10-8 mbar Finally this geometry allows the on-axis angular intensity of the beam to be significantly increased The beam current value Ip is proportional to the square of the beam half-angle α0 and to the on-axis beam angular intensity dIdΩ Our LMIS is unconventional in its operational characteristics the threshold voltage can be set up to 17 kV without damage (twice the normal voltage) and the on-axis angular intensity is 80 microAsr (compared to 20 microAsr normally) 32 Ion-Optics and Properties The FIB system we have developed is dedicated to one key application nano-fabrication ie direct patterning at the sub-10 nm scale This ion optics was designed using a high-performance optical architecture allowing the routine generation of ion probes with FWHM diameters well below 10 nanometres The transported current is maintained in the range 5 to 10 pA depending of the beam

35

30

25

20

15

10

5

0

(kV

)

434 J Gierak et al

defining aperture size and position In our FIB column the beam-defining aperture (typically 5 microm in diameter giving opening angle around 01 mrad) is placed in front of the first lens (Fig 2) just at the entrance of the ion optics This combination was chosen to ensure an effective rejection of any accelerated ions having divergent or perturbed trajectories so that only emitted ions with paraxial trajectories can enter the optics and reach the target without loss In addition the ion extractionaccelerating region is separated from the ion transporting focusing and scanning unit This is particularly important because the extractionaccelerating region plays a major role by governing the size of the virtual source and the angular distribution of the emitted beam This combination also allows us to vary the emission parameters widely without influencing the optical settings of the ion optics itself In our optics design the maximum ion energy may be increased up to 40 keV Two asymmetric lenses work in the decelerating mode and in infinite demagnification conditions In this column the deflection plates are located between the lenses (Fig 2) allowing a reduction of the final lens working distance (WD) a necessary condition to achieve a strong demagnification of the virtual source size

LMIS Extractor Condenser lens

Chargedparticle beam

+

-

+

-

VmicroA

+

-

+

-

Objective lens

0 - 10 A

0 - 40 kV 0 - 30 kV 0 - 30 kV 0 - 30 kV

EmissionVSource VLens1 VLens2

I Filament

ScanningStigmBlk

+

-

V0 - 1 kV

+

-V0 - 10 V

Blk StigmicroA

I probeI +

Inv X X

Fig 2 Schematic view of the Nano-FIB system architecture The separation between the ion extractionacceleration region and the ion optics is clearly visible

The performance of this high-resolution ion optics column was initially calculated for various

configurations using state-of-the-art theory and modelling software [11] The results are as follows for a beam voltage V = 40 kV an ion source size δ = 30 nm an energy spread of the beam ∆V = 5 eV an acceptance angle α0 = 01 mrad and a focusing angle αP 084 mrad M the source magnification dG = M δ = 358 nm

The contribution of chromatic and spherical aberration to the spot size can be expressed as

oCchromatic VVCd α ∆

= = 293 nm and 321

oSspherical Cd α= = 003 nm

Using the usual summation method in quadrature the optimum value of the spot diameter d is about 5 nm (FWHM) For the experiments described in this article the system was able to deliver a 6 to 8 nm spot size for a 35 keV gallium beam Finally we point out that as reported earlier by Zworykin et al [12] too large tolerance in the ion optics machined parts can introduce additional defects greater than the classical aberrations of the designed optics This argument justifies the extreme attention and care we have paid to the mechanical design and realisation of the ion optics

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences 435

Nevertheless if the FWHM spot diameter is essential for direct FIB nano-fabrication processes another crucial parameter is the shape of the ion probe current density profile at the target plane As already reported earlier in this article our column design allows a strong reduction of the so-called tails in the generated FIB probes The reason is due to the limitation of the acceptance angle at the ion optics entrance level It is the result of our analysis and experience that injecting gallium ions from a LMIS without any filtering action into a condenser lens will allow on one hand to easily maximize the probe current but on the other hand a wide class of emitted particles or ions with perturbed trajectories will be redirected onto the target following unpredictable paths Then as a result these atypical paths will cause non-negligible spreading of particles away of the central distribution axis This is the main cause of the deleterious tails often reported in FIB probes that are impossible to control afterwards at the user side This is the base of our patented approach to have developed an optics concept generating considerably reduced tails In addition we have also developed specific methods for shaping the FIB probe with settings that were found to be different from those for ldquobest focusrdquo images Indeed we have verified that if residual astigmatism is present defects in the rotational symmetry of the central core of the current density distribution are very hard to detect in imaging at the sub-10 nm regime Following this at the light of systematic tests we regularly carry out mainly because of target erosion phenomena probe sharpness corrections remains challenging and difficult to combine with acceptable selectivity One second basic requirement for achieving FIB nano-patterning close or below the 10 nanometre scale is that the probe current must remain sufficiently high to allow reproducible and controlled nano-fabrication experiments in order to avoid statistical fluctuations of the number of incident ions injected per each scanned pixel 33 Nanowriter Instrument Architecture The platform on which the system is based is a Raith150 EBL writer modified for operation with a UHV FIB column with a higher vacuum at sample level (10-8 to 10-7 mbar) and maximum immunity to external vibrations It possesses 6 travel range and up to 8 wafer handling capability The sample transfer is performed by an automatic load-lock system in order to preserve the column vacuum level during specimen exchange Thanks to this there is no crucial need for a column gate valve and it is possible to select ultra short working distances The highly accurate stage is controlled by a 2-axis Michelson-laser interferometer with a numerical resolution of 2 nm allowing the system stitching capability to be better than 60 nm (mean plus 3σ) A three point height piezo levelling system ensures stable working distance over the wafer in combination with an electrostatic chuck In a second step a 10 MHz pattern generator governs the ion beam electrostatic deflection in a writing field typically 60 x 60 microm for a beam energy of 35 keV The software platform combines wafer navigation with multilayer direct GDSII-based exposure capabilities The exposure routine dwell time can be increased up to the very large dose range required for FIB applications This capability allows very high patterning speeds (low pixel dwell times down to 100 nspoint) as well as milling tasks (high dwell times several mspoint to define alignment marks) This can be combined within one single pattern Finally dedicated auto-mark detection in combination with task-creation tools allows long runs and unattended batch processing 4 FIB Patterning at the Nanoscale The Resolution Limiting Factors Before considering FIB nanofabrication of structures on a substrate it is important to keep in mind that this process encounters several kinds of limitations that are independent of the ion optics itself They can be summarised as follow

Sample characteristics As FIB machining is a direct process a first limitation in fabrication of sub-10 nm features originates from the physical characteristics of the target (composition hardness electrical conductivity) and geometrical features (surface roughness homogeneity) Indeed these target characteristics have a huge effect both on the sputtering yield and the resulting machined structure geometry

436 J Gierak et al

30 nm 80 nm

110 nm

Spatial extension of the defects induced by FIB irradiation These effects may originate from a lack of selectivity of the FIB probe Ultimately they are caused by the scattering of the implanted ions inside the target material and by Radiation Enhanced Diffusion (RED) effect taking place only during the ion bombardment process [13]

Redeposition of sputtered materials Scanning an energetic ion beam over a substrate allows patterns of arbitrary shape to be etched as a result of physical sputtering This sputter rate (sputtered atomsprimary ion) is found to depend on both the sample and primary ion species This effect is also strongly influenced by redeposition effects of the sputtered material randomly distributed in the vicinity of the processed area FIB processing requires appropriate scanning strategy to avoid material redeposition on areas of interest

Local material fluence and swelling These effects are evidenced in high-resolution patterning where the incoming spot size matches the characteristic lengths of collisional cascades (lt 10 nm) In this case it has been observed that spot impacts exhibit reproducible bumps or volcano shapes This effect of swelling [1415] originates from structural changes at the surface due to ion beam induced damage and the additional implanted ions 5 Ultra-Thin Membranes as Ideal Templates for FIB Nanoprocessing

Fig 3 a) SEM image of an array of dots used for dose calibration and identification of the critical dose allowing the fabrication of the smallest ldquoopenrdquo hole in a given membrane In this picture the dose is decreased from left to right from 5107 to 2107 ionsdot b) Evolution of the Pore diameter for SiC membranes with different thicknesses (Symbols times 30 nm ∆ 80 nm and loz 110 nm) versus the number of incident ions

0

20

40

60

80

100

1E+06 1E+07 1E+08 1E+09

Number of Incident ions

Pore

dia

met

er (

nm)

(a)

(b)

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences 437

In the first experiment we used 80 nm thick SiC membranes These membranes were drilled with a 6 to 8 nm FWHM probe transporting 8 pA The point dose was varied from 10 ms to 200 mspoint within a single writing field giving respectively point doses ranging from 25 106 up to 5 107 ionspoint (Fig 3a) For these point doses the fabricated nano-holes exhibit a diameter ranging from 100 nm down to 20 nm One limiting parameter in this experiment is when attempting to obtain a nano-pore it appears that the diameter of the hole is mainly determined by the membrane thickness itself In the present case using a 8 nm thick SiC membrane the minimum reproducible diameter for ldquoopenrdquo nano-pores was found to be around 40-45 nm corresponding to 25 107 ionspoint In this case the ratio diameter depth is around 21 For lower ion doses holes having diameters down to 10 nm are fabricated but in this case the membrane is not completely drilled Then only the use of a thinner membrane allows reduction of the pore diameter (Fig 3b)

These masks were then used for testing the shadow evaporation method The SiC drilled membranes were attached to the surface of a silicon sample and then exposed to a collimated beam of evaporated gold particles (Fig 4a) From our experiments on shadow evaporation (Fig 4b) it appears that the main resolution factor of this nanostencil method is related to an insufficient brightness of the particle beam emitter thus giving a low deposition speed and therefore high sensitivity to drift Additionally clogging with gradual stencil deformation causing pattern blur limits the ultimate tasks for stencil lithography ie the ability to fabricate arrays of structures with controlled dimensions on the nanometre scale precisely positioned on a suitable surface

(a) (b)

Fig 4 a) Schematic of the stencil deposition method for the transfer of gold patterns through a mask with FIB nanoengraved apertures (b) SEM image of gold patterns transferred via evaporating a gold atom beams collimated through the shadow mask apertures (silicon sample)

6 FIB Direct Etching of Sub-5nm Artificial Nanopores As already mentioned in this paper the translocation of molecules through a nanopore is a key method of biological control As already shown [5] the translocation of one single molecule through a nanopore can be detected in a nanopore having an adequate size and thickness When a macromolecule (transported by electrophoresis) enters a nanopore it will prevent the ions from conducting the current in the pore and will result in a current blockade The main technological aspect there will be to define a nanopore size below 5 nm and then to ensure the integration of the membrane in an accurate setup allowing the measurement to be made

Gold evaporated beam

Sample

Stencil mask

438 J Gierak et al

(a) (b)

Fig 5 a) Optical microscopy image and b) Transmission Electron Microscopy image of nanopores drilled in a SiC thin membrane The alignment cross positioned around the nanopore is perfectly visible in both imaging conditions

In this experiment we have used SiC films with a thickness of 20 nm The FIB-processed membranes were drilled with a 35 keV Ga+ beam focused within a 5 nm FWHM probe that carries around 2 pA A specific methodology was developed with two main steps carried out sequentially on the same membrane batch

Calibration of the critical dose giving open nanopores This first step was carried out with a relative wide dose range The irradiation pattern selected here was a matrix of dots with the point dose varying continuously between 10 ms to 200 ms within a single writing field giving respectively point doses of 25 106 up to 5 107 ionspoint After SEM or TEM observation the critical dose for which an open nanopore was identified

Pore size minimization In this second step an array of nanopores was then drilled with a reduced dose range varying only +- 10 around the previous critical calibrated dose To allow easy localisation both in optical microscopy mode and SEM mode alignment crosses were added (Figs 5a and 5b) These structures irradiated with a very low surface dose only modify the surface roughness of the membrane After the FIB drilling process the membranes were observed by TEM (Philips CM20-200 kV) and the smallest pore was located and its position stored for post-processing

Fig 6 50 nm x 50 nm transmission electron microscopy images showing nanometre-sized pores (bright) drilled in a 20 nm thick membrane for the same point dose ~106 ions

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences 439

Figure 6 shows some of the smallest nanopores we have defined The dose necessary to drill such nanopores is around 1x106 ionspoint The evidence of opening is made by TEM observation of a white Fresnel diffraction annulus around the white spot The nanopores we have fabricated on a 20 nm thick SiC membrane exhibit an average diameter of 45 nm with a minimum diameter of 25 nm These FIB-drilled nanopores are almost spherical even if the border of some holes appears jagged being limited by the grain structure of the SiC foil The damaged zone surrounding each nanopore is approximately 15 nm wide a value in perfect agreement with collisional straggling effects generated by the 5 nm probe (Ga+ 35 keV)

On Fig 7a we have performed a SRIM based simulation [16] showing the ion-induced damage localisation in a bulk specimen The hemispheric simulated isodamage curve that develops under the FIB impact is perfectly visible (Fig 7a) On a thin membrane (20 nm in the present case) exposed to a point dose irradiation around 106 ions of 30 keV Ga+ ions this isodamage profile is found to rapidly intersect the lower face of the membrane thus giving a ldquostampingrdquo effect opening the backside of the nanopore and limiting the amount of scattering effects at this exit plane (Fig 7b) Finally a forward scattering effect (Fig 7c) of incident ions and removed specimen atoms along the wall of the nanopore will take place This last effect allows an efficient and oriented nanoengraving process and interestingly limits the redeposition of sputtered particles on the sample surface

Fig 7 a) SRIM simulation [16] of the interaction of a 35 keV Ga+ beam with a target showing ion-induced damage localisation (red = 1024 cm-3 blue =1021 cm-3) Schematic of the membrane engraving processes b) Upper side sputtering with a critical isodamage curve rapidly reaching the lower membrane side giving a ldquostampingrdquo effect and (c) forward scattering of specimen atoms along the wall of the nanopore

7 Conclusions In this work we have successfully demonstrated the possibility of employing FIB technology for the direct fabrication of nanopores having pore diameters as small as 3 nm This result defines a novel state-of-the-art for direct removal of material using a FIB probe This was made possible by developing and optimising a specific FIB instrument architecture together with innovative patterning schemes and associated processing techniques The FIB based methods we have

(a) (b) (c)

440 J Gierak et al

described and exploited here appear to be well-suited and very promising for several diverse nanotechnology applications Acknowledgements This work was partially supported under the EC Nano-FIB project G5RD-CT2000-00344 the EC (AMMARE contract G5RD-CT 2001-00478) and by SESAME contract ndeg1377 the Reacutegion Ile de France and the Conseil Geacuteneacuteral de lEssonne References 1 Matsui S and Ochiai Y 1996 Nanotechnology 7 247 2 Orloff J 1993 Rev Sci Instrum 64 1105 3 Gierak J Bourhis E Madouri A Strassner M Sagnes I Bouchoule S Meacuterat Combes M N

Mailly D Jede R Bardotti L Preacutevel B Hannour A Meacutelinon P Perez A Ferreacute J Jamet J-P Mougin A Chappert C and Mathet V 2006 J Microlith Microfab Microsyst 5 1

4 Luthi R Schlittler R R Brugger J Vettiger P Welland M E and Gimzewski J K 1999 Appl Phys Lett 75 1314

5 Li J Stein D McMullan C Branton D Aziz M J and Golovchenko J A 2001 Nature 412 166 6 Tondare V N 2005 J Vac Sci Technol A 23 1498 7 Beckman J C ChangT H P Wagner A and Pease R F W 1997 J Vac Sci Technol B 15 2332 8 Knauer W 1981 Optik 59 337 9 Gesley M A and Swanson L W 1984 J de Phys 45(C9) 167 10 Van Es J J Gierak J Forbes R G Suvorov V G Van den Berghe T Dubuisson Ph Monnet I

and Septier A 2004 Microelect Eng 73-74 132 11 Lencova B 1997 in ldquoHandbook of Charged Particle Opticsrdquo Edited by J Orloff (CRC Press) 12 Zworykin V A et al 1945 Wiley pp 600-601 13 Vieu C Gierak J Schneider M Ben Assayag G and Marzin J Y 1998 J Vac Sci Technol B

16 3789 14 Basnar B Lugstein A Wanzenboeck H Langfischer H Bertagnolli E and Gornik E 2003

J Vac Sci Technol B 21 927 15 Bardotti L Preacutevel B Jensen P Treilleux M Meacutelinon P Perez A Gierak J Faini G and

Mailly D 2002 Appl Surf Sci 191 205 16 Ziegler J wwwsrimorg 17 Lishchynska M Bourenkov V van den Boogaart M A F Doeswijk L Brugger J and Greer J C

2007 Microelect Eng 84 42 18 Biance A-L Gierak J Bourhis E Madouri A Lafosse X Patriarche G Oukhaled G Ulysse C

Galas J-C Chen Y and Auvray L 2006 Microelect Eng 83 1474

Advanced Focused Ion Beam Specimen Preparation for Examination by Off-Axis Electron Holography D Cooper R Truche P A Midgley1 and R E Dunin-Borkowski1 CEA LETI Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France 1 University of Cambridge Department of Materials Science University of Cambridge Pembroke

Street Cambridge CB2 3QZ UK Summary Simple Si and GaAs p-n junction specimens have been prepared using focused ion beam (FIB) milling for examination by off-axis electron holography Here we show the presence of an electrically lsquoinactiversquo thickness in the specimens that results in the measured phase across the junctions being much less than predicted by theory We observe that the electrically lsquoinactiversquo thickness can be reduced by annealing the specimens in situ or by reducing the FIB operating voltage This reduction results in an increase in the phase measured in the junctions and an improvement of the signal-to-noise ratio for the specimens 1 Introduction Off-axis electron holography promises to fulfil the requirements of the semiconductor industry for a 2D and 3D dopant profiling technique with nm-scale resolution Electron holography is a transmission electron microscope (TEM)-based technique that uses an electron biprism to form an interference pattern from an electron wave that has passed through a specimen with one that has passed through only vacuum From the resulting interference pattern (or hologram) the phase and amplitude images of the specimen can be reconstructed As the phase of an electron is very sensitive to the electrostatic potential in a specimen such as from the presence of dopants then electron holography can in principle provide quantitative maps of the dopant concentrations in semiconductor specimens In the absence of magnetic fields and diffraction contrast the phase of an electron that has passed through a specimen of thickness t is given by

∆φ = CE int0t V(xyz)dz

where V is the potential CE is a constant related to the incident electron beam and dz is the direction of the electron beam [1] It is clear that in a specimen of uniform known thickness the potential of the semiconductor can be measured directly Specimens prepared for examination using off-axis electron holography must be parallel sided and flat The mean inner potential of Si is approximately 12 V and therefore more than an order of magnitude higher than observed in a typical p-n junction A thickness variation of only 10 unit cells in Si will result in a phase change of 05 rads which can mask the dopant related phase change

FIB milling is typically used to prepare semiconductors for examination using off-axis electron holography as it is easy to extract a thin parallel-sided specimen from a region of interest However specimens prepared in the FIB miller exhibit a significant amount of damage such as an amorphous layer and an electrically lsquoinactiversquo thickness described in detail elsewhere [2] A combination of specimen charging [3] surface depletion [4] and the presence of the electrically lsquoinactiversquo thickness result in a step in phase measured across an electrical junction which is significantly less than predicted by theory It is thought that the electrical lsquoinactiversquo thickness results from the presence of defects deep in the specimen that can trap the dopant atoms In this paper we will show two approaches that can be used to reduce the electrically lsquoinactiversquo layer and subsequently improve the signal-to-noise ratio in the phase images

442 D Cooper et al

2 In situ Annealing Si and GaAs p-n junctions were annealed in situ in the TEM The Si p-n junction was grown using molecular beam epitaxy (MBE) and comprised a 25-microm-thick 5 times 1018 cm-3 B-doped (p-type) layer on a 4 times 1018 cm-3 Sb-doped (n-type) substrate The GaAs junctions were also grown using MBE and comprised a 10-microm-thick 1 times 1018cm-3 Be-doped (n-type) layer and a 10-microm-thick 1 times 1018 cm-3 Si-doped (p-type) layer on an undoped GaAs substrate

Holograms were acquired of the specimens using a Philips ST-CM300 FEGTEM operated at 200 kV and the step in phase across the junctions observed [6] The specimens were examined at room temperature annealed for one hour cooled and then examined at room temperature for 200 degC 300 degC 400 degC 500 degC and 600 degC anneals Figure 1a shows the phase measured across a 300-nm-thick GaAs p-n junction both before annealing and after the 500 degC anneal stage We found that the highest value was recorded after a 500 degC anneal and that the step in phase deteriorated after a 600 degC anneal Figure 1b shows the step in phase measured across a series of junctions as a function of crystalline specimen thickness measured using convergent beam electron diffraction (CBED) The x-intercept reveals the presence of the electrically lsquoinactiversquo thickness and a reduction from 160 to 35 nm can be seen For the Si p-n junctions an increase in the step in phase was observed after a 300 degC anneal after which no further change occurred Figure 1c shows the phase measured across the junction both before annealing and after the 300 degC anneal stage Figure 1d shows the step in phase measured across a series of junctions as a function of the crystalline specimen thickness measured using CBED The electrically lsquoinactiversquo thickness is reduced from 55 to 5 nm

The presence of defects in the semiconductor specimens can trap some of the dopant atoms

and lead to a reduction in the measured phase across the junctions By annealing the specimens we have removed some of these defects resulting in an increased step in phase across the

Fig 1 a) The phase measured across the GaAs p-n junction before annealing and after the 500 degC anneal stage b) the step in phase measured across the GaAs p-n junction as a function of crystalline specimen thickness measured using CBED shown before annealing and after the 500 degC anneal stage c) the phase measured across the Si p-n junction before annealing and after the 300 degC anneal stage d) The step in phase measured across the Si p-n junction as a function of crystalline specimen thickness measured using CBED shown for before annealing and after the 300 degC anneal stage

Advanced FIB Specimen Preparation for Examination by Off-Axis Electron Holography 443

junctions the reduction of the electrically lsquoinactiversquo thickness and an increase in the signal-to-noise ratio Figure 2 shows phase images of a 240-nm-thick GaAs specimen containing a p-n junction before and after annealing the improvement in the signal-to-noise ratio is clear

The theoretical built-in potential Vbi is 095 V and 134 V for the Si and GaAs p-n junctions respectively This can be calculated from the gradient in Figs 1b and 1d by using the relationship

Vbi = CE-1 times [∆φ (tcrystalline ndash tinactive)-1]

This method of calculating a value of Vbi is in principle independent of the electrically lsquoinactiversquo thickness However for the Si junctions the value of Vbi increases from 055 +- 01 measured before the annealing to 065 +- 01 V after the 300 degC anneal stage In the GaAs p-n junctions the increase is from 065 +- 01 V before annealing to 100 +- after the 500 degC anneal stage It has been shown that the Vbi measured in GaAs p-n specimens is strongly influenced by the intensity of the electron irradiation used and subsequent specimen charging [3] By annealing the specimen a reduction of the concentration of defects that can trap charge during examination may be responsible for the slight increase of Vbi observed 3 Low-Energy FIB Milling It is known that by reducing the operating voltage of the FIB miller the thickness of the amorphous layers can be reduced [5] A series of GaAs specimens was prepared by using an FIB miller operated at 30 16 and 8 kV to assess the reduction of the electrically lsquoinactiversquo thickness The specimen examined here was slightly different to the one observed above as it was grown on a lightly-doped conducting substrate Holograms were acquired using a FEI Titan TEM operated at 300 kV Figure 3 shows phase images of two different ~ 450-nm-thick GaAs specimens containing p-n junctions prepared using (a) 30 kV and (b) 8 kV ions The improvement in the signal-to-noise ratio can be seen in the phase images Figure 3b shows the step in phase as a function of the crystalline specimen thickness with a systematic reduction in

Fig 2 Phase images of a 240-nm-thick GaAs p-n junction before annealing and after the 500 degC anneal stage

444 D Cooper et al

the electrically lsquoinactiversquo thickness from 217 171 and 103 nm for specimens prepared using FIB operating voltages of 30 16 and 8 kV respectively The value of Vbi measured from the gradients increases very slightly and was found to be 093 +- 01 V for the specimens prepared at 30 kV and 16 kV and 102 +- 01 V at 8 kV The values are significantly higher than the 065 V observed in the annealed GaAs specimen described previously It is known that the build-up of charge can result in a reduction of the measured potentials in specimens containing p-n junctions [3] The junction examined here has a doped substrate one side of the junction can therefore conduct the build up of charge to earth during examination and a higher value of Vbi is recovered 4 Conclusion By in situ annealing of the specimens we have reduced the thickness of the electrically lsquoinactiversquo layers in Si and GaAs specimens containing p-n junctions The annealing reduces the concentration of defects in the crystalline regions of the specimens which can trap the dopant atoms and reduce the phase measured across the junctions Specimens have also been prepared using different FIB operating voltages and a reduction in the electrically inactive thickness has been observed as the energy of the Ga+ ions is reduced By reducing the electrically lsquoinactiversquo thickness we observe an improvement in the signal-to-noise ratio in the phase images We also measure a slight increase in the value of Vbi possibly as we reduce the concentration of defects in the specimens which can trap charge References 1 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 App Phys Lett 82

2614 2 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2002 J

Micros 214 287 3 Cooper D Twitchett A C Midgley P A and Dunin-Borkowski 2007 J Appl Phys in press 4 Somodi P K 2005 PhD Thesis University of Cambridge 5 Cooper D Twitchett A C Somodi P K Midgley P A Dunin-Borkowski R E Farrer I and

Ritchie D A 2006 Appl Phys Lett 88 063510 6 Kato N I Kohno Y and Saka H 1999 J Vac Sci Tech A 17 1201

Fig 3 a) phase image of a 450-nm-thick GaAs specimen containing a p-n junction prepared using an FIB operated at 30 kV b) as (a) except for a specimen prepared using an FIB operated at 8 kV c) step in phase as a function of crystalline specimen thickness measured using CBED for specimens prepared with different FIB operating voltages

Critical Thickness for Semiconductor Specimens Prepared using Focused Ion Beam Milling A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley Dept of Materials Science University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary The electrostatic potential distribution in FIB-prepared membranes containing a silicon p-n junction has been examined using off-axis electron holography and electron tomography The experimentally determined 3-D electrostatic potential was examined to quantify the variation in the electrostatic properties of the device as a function of distance from the specimen surfaces It was found that specimen preparation using a 30 kV Ga ion beam alters the electrical properties of the membrane to a depth of 175 nm from the specimen surfaces

1 Introduction Many techniques are available for the preparation of specimens for examination in the transmission electron microscope (TEM) but only focused ion beam (FIB) milling allows site-specific preparation with nanometre-scale precision FIB milling is now routinely used for preparation of thin semiconductor membranes despite the significant damage caused to the specimen surfaces by the ion beam 25 nm-thick amorphous layers are present on the surfaces of silicon specimens prepared using a 30 kV Ga ion beam [1] and low energy kV ion beam milling has recently been demonstrated as being very effective at removing these amorphous layers [2] However within the crystalline region of the specimen point defects are known to be present to a depth of up to ~100 nm [1] and these can affect significantly the electrical properties of such specimens For thin FIB-prepared membranes this may result in a specimen that does not retain any of the original lsquobulk-likersquo properties but that has been altered by the specimen preparation technique through the entire thickness of the membrane

Electron holography is a TEM-based interference technique that allows the electrostatic potential in a thin specimen to be revealed quantitatively However the application of this technique to semiconductor devices has been limited by many problems in particular by the impact of sample preparation on the electrical properties of the device By using a combination of electron holography with electron tomography the 3-D electrostatic potential distribution of a semiconductor device can be revealed allowing the effects of the specimen preparation to be quantified Using a range of different specimen thicknesses the thickness required for a membrane containing a semiconductor device to retain bulk-like properties in the centre of the specimen can be deduced

2 Experimental Details 21 Sample Preparation The sample geometry suitable for examination using electron tomography requires that the specimen may be tilted to high angles (typically greater than 70o) in the TEM without shadowing of the area of interest by other areas of the specimen or support grid The standard H-bar geometry used in FIB sample preparation is not compatible with tomography as shadowing of the area of interest is usually observed at tilts of only ~10o The lift-out technique may provide a specimen that is suitable for electron tomography but the specimen support must be carefully chosen to ensure that the area of interest is not shadowed at high tilts In this work a specimen geometry has been created using FIB-milling to leave a thin membrane at the vertex of a cleaved wedge suitable for examination in the TEM using electron tomography and electron holography

446 A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley

Two specimens were prepared in this geometry containing a silicon p-n junction device with nominal dopant concentrations of 1018 cm-3 (both p and n) using an FEI FIB 200 operated at 30 kV The final milling current used for each specimen was 150 pA and care was taken at all times during the specimen preparation to ensure that the ion beam only impinged at glancing incidence angles to the membrane surfaces to avoid any direct implantation of the Ga ions into the specimen The crystalline specimen thicknesses were measured using convergent beam electron diffraction to be 330 nm and 480 nm 22 Electron Holography and Tomography Off-axis electron holograms of the FIB-prepared specimens were acquired using a Philips CM300 field emission gun TEM operated in Lorentz mode at 200 kV A biprism voltage of 100 V was used to generate holograms with a fringe spacing of 6 nm and a field of view of 15 microm A series of holograms was acquired for each specimen using a specimen tilt of -70o to +70o at 2o increments Reference holograms were acquired in order to remove distortions associated with the imaging and recording system For the thinner specimen reference holograms were acquired at every 10o specimen tilt and for the thicker specimen reference holograms were acquired at every 2o specimen tilt Figure 1a shows an off-axis electron hologram acquired at -2o and Fig 1b shows the corresponding reconstructed phase image

Fig 1 a) Off-axis electron hologram b) corresponding reconstructed phase image and c) thickness (tλ) map acquired at -2o tilt of the FIB-prepared silicon p-n junction d) Plot of the variation in thickness (tλ) as a function of tilt angle The solid line indicates the expected variation in thickness with tilt angle The points lying away from the line indicate that the image is significantly affected by diffraction contrast The corresponding images are excluded from the tomographic reconstruction

3 Data Analysis Off-axis image and reference holograms were reconstructed to obtain phase and amplitude images using library programs written in the Semper image processing language [3] The amplitude images were used to calculate normalised thickness (tλ) maps of the specimen for each tilt angle Figure 1c shows the tλ map corresponding to the hologram in Fig 1a and Fig 1d plots the variation in tλ over the entire tilt range showing that a number of points lie away from the line of expected thickness variation This variation may indicate that the specimen is in a strongly diffracting condition which affects the measured phase and amplitude images complicating the interpretation of the observed phase image Such images were therefore excluded from the tomographic dataset used for 3-D reconstruction At the specimen edge a number of 2π phase lsquowrapsrsquo are often present due to the abrupt thickness change present at the edge of the FIB-prepared specimen These lsquowrapsrsquo can lie directly on top of one another preventing accurate phase unwrapping In order to overcome this issue in each image the average in phase between the p and n regions was calculated (φp+ φn)2 where φp and φn are the measured values of phase in the p and n regions respectively and this average value was set to zero to produce a consistent data set

(a) (b) (c)

200 nm02468

-60 -40 -20 0 20 40 60

Specimen tilt angle (deg)

(d)

Critical Thickness for Semiconductor Specimens Prepared using Focused Ion Beam Milling 447

The reconstructed phase images were aligned using the specimen-vacuum interface to obtain a rotational and horizontal alignment and using the junction position to align the images in the vertical direction The simultaneous iterative reconstruction technique (SIRT) was used to reconstruct the 3-D electrostatic potential in the specimen The thickness was constrained in the reconstruction to 280 nm and 430 nm for the thin and the thick specimen respectively because the featureless membrane surfaces cannot be reconstructed accurately with the restricted tilt range due to the lsquomissing wedgersquo of information This reconstructed volume consists of only the electrically active section of the specimen and excludes the amorphous and crystalline (but electrically inactive) surface layers For the thicker specimen the specimen tilt range of the holograms used in the reconstruction was only plusmn 50o due to noise in the holograms at higher tilt angles

4 Experimental Results and Discussion Figure 2 shows a schematic diagram illustrating the expected electrostatic potential variation in a FIB-prepared silicon p-n junction device illustrating the amorphous and crystalline electrically inactive surface layers that have been previously deduced [4] The 3-D tomographic reconstructions of the p-n junction electrostatic potential variation which are shown in Figs 3a and 3b can be observed qualitatively to show a comparable potential distribution to the expected variation The spatial resolution of the reconstructions is 25 nm with a corresponding electrostatic potential resolution of ~ 01 V

Fig 2 Predicted electrostatic potential variation within a thin FIB-prepared semiconductor membrane containing an abrupt p-n junction

Voxel traces were extracted from the tomographic reconstructions to examine the variation in electrostatic potential as a function of distance from the membrane surfaces The plots in Figs 3c and 3d show voxel traces that have been extracted from the top centre and bottom of the tomographic reconstruction of the electrically active regions in the thin and thick specimens From these it can be seen that the electrostatic potential variation is much more abrupt in the centre of the membrane which corresponds to a higher concentration of charge carriers By examining the voxel traces across the p-n junction as a function of depth (z-direction) a region at the centre of the specimen can be identified across which the electrostatic properties of the junction do not vary Within this region the properties of the membrane are found to be close to the theoretically predicted properties for the device and therefore are unaffected by the specimen preparation and presence of surfaces and represent the bulk properties of the device In the thin specimen this region is experimentally determined to be 35 plusmn 25 nm thick and in the thick specimen the lsquobulkrsquo region was measured to be 190 plusmn 25 nm thick These values correspond to an electrically active region that has been altered by the sample preparation technique that extends 125 plusmn 25 nm on each side of the lsquobulkrsquo region as illustrated schematically in Fig 4

These results indicate that the specimen thickness of a silicon membrane prepared in the FIB using 30 kV ions should be greater than 355 nm for the central region in the specimen to be unaffected by the ion beam With the current trend in combined SEM-FIB technology that allow specimen surfaces to be lsquocleanedrsquo using a low accelerating voltage (kV) ion beam after preparation with a higher kV ion beam this result indicates that 175 nm should be removed from each surface that has been prepared using a 30 kV ion beam to remove the ion beam damage generated at 30 kV However even a low kV ion beam will introduce ion implantation and point defects into the near-surface region of a specimen although the depth to which this damage extends is expected to be much smaller

p-type n-type

Amorphous dead layer

Crystalline dead layers

Electron beam direction

Amorphous dead layer

448 A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley

Fig 3 Tomographic reconstruction of the electrostatic potential in the a) thin and b) thick FIB-prepared specimens Voxel traces extracted from the tomographic reconstruction across the p-n junction at the top centre and bottom of the electrically active region in the c) thin and d) thick specimens reveal that the electrical bias is uniformly dropped across the electrically active region in the specimen but that the top and bottom of this region show much lower electrically active dopant concentrations

Fig 4 Schematic diagram illustrating the predicted electrostatic potential distribution in a FIB-prepared membrane The electrically active region with varying electrostatic properties has been measured to be 125 nm thick at each surface

5 Conclusions

Off-axis electron holography and tomography have revealed quantitatively the 3-D electrostatic potential distribution in a FIB-prepared silicon p-n junction device By examining the variation in electrostatic potential as a function of distance from the FIB-prepared surfaces a critical thickness of 355 plusmn 25 nm has been deduced for silicon specimens prepared using a 30 kV Ga ion beam at glancing incidence Specimens that are thinner than this lsquocriticalrsquo thickness will show electrical properties through the entire specimen that have been modified by the FIB sample preparation

Acknowledgements

The authors would like to thank Philips Research Laboratories (Eindhoven) for providing the silicon device and the Royal Society and the EPSRC for financial support

References

1 Rubanov S and Munroe P R 2004 J Microsc 214 213 2 Bals S Tirry W Yang Z and Schryvers D 2007 Microsc Microanal 13 80 3 Saxton W O Pitt T J and Horner M 1979 Ultramicroscopy 4 343 4 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2004 J Microsc 214

287

p-type n-type lsquoBulkrsquo region

Electrically active region with varying electrostatic properties

Electrically active region with varying electrostatic properties

x y

z

-08-06-04-02

002040608

0 200 400 600-08-06-04-02

002040608

0 200 400 600

Ele

ctro

stat

ic

pote

ntia

l (V

)

Top Centre Bottom

580 nm

280 nm

325 nm

p-type n-type

670 nm

430 nm

430 nm

p-type n-type

Distance (nm) Distance (nm)

(a) (b)

(c) (d)

Ele

ctro

stat

ic

pote

ntia

l (V

)

Organic-Based Micropillar Structure Fabrication by Advanced Focused Ion Beam Milling Techniques Wen-Chang Hung Ali M Adawi1 Ashley Cadby1 Liam G Connolly1 Richard Deanl1 Abbes Tahraoui A M Fox1 David G Lidzey1 and A G Cullis Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK 1 Department of Physics and Astronomy the University of Sheffield Hicks Building Hounsfield

Road Sheffield S3 7RH UK Summary In this study we first report organic material based micropillar structures fabricated using advanced techniques based upon focused ion beam milling processes Such a method provides a faster milling procedure as the beam of ions directly etches through the layers of the materials without damaging the organic material unlike conventional dry or wet etching processes It is very promising that FIB milling methods should be able to underpin organic-based photonic device research in the future 1 Introduction For the past decade photonics research has moved forward rapidly and is well established from theory to device fabrication Materials science mainly considers inorganic materials systems as there is a large demand in semiconductor industry However apart from inorganic material systems organic materials have also been studied with regard to physics and applications for photonics As simply from one dimensional planar organic cavities [1] optical confinement has also been studied in different structures containing active organic chromophores These include dye-doped polymer spheres [2] organic micro-discs and polymer micro-spheroids [3] polymer micro-rings [4] dye-doped photonic-crystals [5] organic slab waveguides [6] polymeric distributed-feedback lasers [7] micromolded polymer films [8] and polymer-filled circular gratings [9] Despite significant activity in organic-photonics the creation of micro-patterned organic structures is at a less advanced stage compared to progress made using inorganic semiconductors This in part results from an increased sensitivity of organic thin films to the techniques commonly used to create high-resolution structures There are in fact very pressing reasons to explore organic materials in new photonic structures as such materials can often display optical properties not readily emulated using inorganic semiconductors Here we present novel work upon a highly photostable molecular dye dispersed in a polymer matrix within a planar microcavity structure using the most recent focused ion beam (FIB) milling techniques to process micropillar structures for optical confinement 2 Experimental Microcavity micropillars are structures which consist of two high-reflectivity distributed Bragg reflectors (DBRs) across an active dipole emitter [10] Such a structure is then vertically etched to form a pillar with confinement related to that of an inorganic material system such as InAs [11] and InGaAs [12] quantum dots The form of three dimensional confinement for the optical field within a pillar has been of great concern with studies of fundamental light-emission processes For organic micropillars the structures are fabricated as shown in Fig 1

450 Wen-Chang Hung et al

Fig 1 Schematic diagram of a micropillar structure (left) and secondary electron image of the micropillars of different sizes (right)

This structure is fabricated with twelve-pair λ4 DBR mirrors grown by plasma-enhanced chemical vapour deposition over a glass substrate The active organic layer was then spin-cast on the top of this DBR and consisted of the molecular dye Lumogen Red doped into the matrix polymer polystyrene (PS) at a concentration of 5 Selection of the Lumogen Red for this study was because it has high photostability and also such material has been designed for various applications to extend exposure to sunlight A following second DBR with eight-pair mirrors was then deposited onto the organic film by thermal evaporation The thermal evaporation allows the substrate to be held at room temperature during the deposition which suppresses damage to the organic film The planar cavity sample was then first coated with a 30nm thick film of aluminium which was allowed to be removed after FIB milling by using dry etching in a SiCl4 plasma Such a coating step is required to avoid any charging effect as the organic material is non-conductive to the ions The planar cavity was then mounted on a bulk sample with 55 degree tilting angle normal to the ion pole piece A selective aperture was used with relative probe current of 250 pA at 30 keV energy for the ion beam milling Designed pillar patterns were created using Raith software with a range of sizes from 1 microm up to 10 microm (diameter) individually The total milling time for an individual pillar is dependent upon the size which could take 45 mins (1 microm) up to 2 hour and 30 mins (10 microm) Finally the scanning electron microscope was closed to avoid any possible organic film damage during the ion beam milling 3 Results

Figure 2 shows the normal-incidence white-light reflectivity and photoluminescence (PL) emission of the planar cavity Also a comparison of the PL emission from a film of Lumogen has been shown In this case each measurement was record from a spot on the sample surface having a diameter of 500 microm It can be seen that in principle the cavity mode can be observed by a sharp dip in reflectivity (open circles) spectrum at 684 nm having a line width of ∆λ = 22 nm (full width at half maximum) corresponding to the PL emission from a planar cavity (also having a line width of 22 nm) The emission also observed at 600 nm corresponds to PL from the Lumogen leaking past the edge of the DBR stop band The Q-factor of the planar cavity by definition of Q = λ∆λ (Q = 684 nm22 nm) is equal to 310

μm

μm μm

μm μm

μm μm

μm

Lithium Fluoride (t~117nm) Total=8 pairsTellurium Dioxide (t~77nm)Thickness~1160nm

Lumogen Red Thickness~240nm

Silicon Nitride (t~79nm) Total=12pairsSilicon Oxide (t~106nm)

Quartz Substrate

Total Thickness of the sample ~ 4012nm(4micron)

μm

μm μm

μm μm

μm μm

μm

Lithium Fluoride (t~117nm) Total=8 pairsTellurium Dioxide (t~77nm)Thickness~1160nm

Lumogen Red Thickness~240nm

Silicon Nitride (t~79nm) Total=12pairsSilicon Oxide (t~106nm)

Quartz Substrate

Total Thickness of the sample ~ 4012nm(4micron)

Lithium Fluoride (t~117nm) Total=8 pairsTellurium Dioxide (t~77nm)Thickness~1160nm

Lumogen Red Thickness~240nm

Silicon Nitride (t~79nm) Total=12pairsSilicon Oxide (t~106nm)

Quartz Substrate

Total Thickness of the sample ~ 4012nm(4micron)

Organic-Based Micropillar Structure Fabrication by Advanced FIB Milling Techniques 451

Fig 2 The PL spectrum measurement of reflectivity of the planar cavity (open circles) the PL emission of the unpatterned planar cavity (solid line) and the Lumogen Red (dashed line)

Fig 3 PL emission for the 3 5 and 6 microm micropillars the calculated energy of the (100) (110) and (200) cavity modes for the 3 microm pillar are indicated with dash lines the insert shows PL emission from the lowest energy mode of a 10 microm pillar

Figure 3 shows a series of emission spectra from micropillars having diameters of 3 5 and 6 microm recorded in the far field using optical spectroscopy In comparison with the planar cavity emission with Fig 2 the micropillar emission consisted of series of sharp lines Such quantization effects have been reported in inorganic materials system and photonic dots As anticipated a micropillar of large diameter supports a more complex optical-mode structure Apart from this it is also clear that a blue-shift of the energy of all cavity modes appeared as the diameter of the pillars was reduced

550 600 650 700 750 800

ReflectivityR

elat

ive

Inte

nsity

Wavlength (nm)

PL from planar cavityPL from lumogen film

550 600 650 700 750 800

ReflectivityR

elat

ive

Inte

nsity

Wavlength (nm)

PL from planar cavityPL from lumogen film

452 Wen-Chang Hung et al

The dashed line in Fig3 represents the energies of the optical modes of the 3microm pillar each optical mode has been assigned the quantum numbers (nr nφ nz) where the subscripts r φ and z represent the radial azimuthal and vertical coordinates Notice there is another peak located at 671 nm which could not be assigned for the quantum number it is likely that such an optical mode originates from a splitting of the (110) modes as the pillar is very slightly elliptical in shape

Also as shown in the Fig 3 it is immediately apparent that the line widths of the micropillar modes are significantly narrower than that of the planar cavity We also find that the larger diameter micropillars have a shaper mode line width especially from the insert in Fig 3 The narrowest optical mode was recorded from a 10 microm pillar which had a line width of 033 nm corresponding to a cavity Q-factor of 2100 This maximum Q-factor is in fact only a factor of two smaller than the theoretical maximum Q-factor for the planar cavity of 4000 Such increases in the Q-factor of the micropillar result from a reduction in microcavity surface area Therefore modification and design the structure of the microcavity could actually improve the enhancement of Q-factor 4 Conclusion Focused ion beam milling techniques has created a novel aspect of organic based device fabrication Such techniques are quite useful for research purpose because the device fabrication time is faster than for any other conventional methods The understanding of organic materials also contributes and leads to a new field of the optoelectronic research not only the creation of the new material but also with advantages that dominate over the semiconducting materials Most importantly the result of reducing mode volume by use of the Purcell Effect (as a modification in cavity structures) can provide in higher Q-factor and therefore enhance spontaneous emission rate Furthermore the development of very high-Q cavities may help promote the observation of stimulated scattering phenomena in strongly coupled organic microcavities Such microcavities can be achieved using metal coating methods to suppress photon leakages or by adoption of other molecular species of higher refractive index References 1 Tessler N Denton G J and Friend R H 1996 Nature 382 695 2 Kuwata M and Takeda K 1998 Opt Matter 9 12 3 Dodabalapur A Berggren M Slusher R E Bao Z Timko A Schiortino P et al 1998 IEEE J

Sel Yop Quantum Electron 4 67 4 Frolov S V Vardeny Z V and Yoshino K 1998 Appl Phys Lett 72 1802 5 Megens M Wijnhoven J E G J Lagendijk A and Vos W L 2000 Phys Rev A At Mol Opt

Phys 63 118014 6 Lawrence J R Turnbull G A and Samuel I D W 2003 Appl Phys Lett 82 4023 7 Jebai A Mahrt R F Moll N Erni D et al 2004 J Appl Phys 96 3043 8 Hung W C Adawi A Tahraoui A Cullis A G 2005 Int J Quantum Information 3 223 9 Kin Zenken Kajii Hirotake and Ohmori Yutaka 2006 Thin Solid Films 499 392 10 Gerard J M Sermage B Gayral B Legrand B Costard E and Thierry V 1998 Phys Rev Lett

81 1110 11 Sanvitto D Daraei A Tahraoui A Hopkinson M Fry P W Whittaker D M Skolnick M S 2005

Appl Phys Lett 86 191 12 Reithmaier J P Sek G Loffler A Hofmann C Kuhn S Reitzenstein S Kulakovskii L V

Reinecke T L and Forchel A 2004 Nature 432 197

Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-Cathodoluminescence G Salviati L Lazzarini N Armani M Felici1 A Polimeni1 M Capizzi1 F Martelli2 S Rubini1 and A Franciosi1 IMEM-CNR Parco Area delle Scienze 37A 43101 Parma Italy 1 CNISM and Physics Department University of Rome La Sapienza P le A Moro 5 00185

Roma Italy 2 TASC-INFM-CNR and CENMAT University of Trieste Trieste Italy Summary Hydrogen is known to passivate nitrogen in dilute nitrides such as Ga(AsN) and Ga(PN) By focusing an electron beam on the surface of hydrogenated GaAs1-xNxGaAs (GaP1-yNyGaP) we remove hydrogen atoms from their passivation sites thus leading to a controlled decrease of the crystal band gap in the spatial region where the e-beam is steered The area designated by the electron beam acts in all respects as a potential well for carriers Cycling the samples several times between T=5 K and room temperature the same CL images and spectra were recorded thus demonstrating the thermal stability of the H displacement process The 100 pre hydrogenation conditions are achieved after 30-40 sec of irradiation at T=5 K 1 Introduction In modern epitaxial growth techniques the control of the electronic and optical properties of a semiconductor heterostructure along the growth direction is easily achieved via layer-by-layer deposition of materials with different chemical composition and thickness [1 2] On the contrary the control of those material properties in the growth plane which is required to fabricate 1D or 0D nanostructures is not easy to attain That limits the freedom of modulating the in-plane optical properties of a heterostructure where materials with different band gaps and refractive indices are present on a same chip Two main methods are used for the in-plane control of the physical properties

i ldquotop-downrdquo methods based on lithographic processes which give a lateral modulation of the material band gap by chemical removal of parts of the specimen allow the growth of highly uniform quantum dots wires and rings (poor optical quality) [3]

ii ldquobottom-uprdquo methods based on nanometer-sized aggregates forming spontaneously by self-assembly in highly-strained heterostructures [4 5] (lack of control of the spatial arangement)

A third route to engineer the electronic properties in the growth plane of a semiconductor can be achieved by exploiting the effect hydrogen has on dilute nitrides such as GaAs1-xNxGaAs (and GaP1-xNxGaP) [6] In these material systems the replacement of a tiny fraction (x~1) of arsenic (phosphorus) atoms by nitrogen atoms leads to highly non-linear effects in the electronic properties of the host lattice [7] These include a giant reduction in the band gap energy and a deformation of the conduction band structure which renders this material of great potential for telecommunications through fiber-optic cables

[8] multi-junction solar cells [9] heterojunction bipolar transistors [10] and Terahertz applications [11]

Previous experiments have shown that post-growth irradiation of GaAs1-xNx with atomic hydrogen leads to a complete reversal of the drastic band gap reduction as well as of other

454 G Salviati et al

material parameters caused by nitrogen incorporation [12 13 14 15 16] Further by deposition of metallic masks on and subsequent hydrogen irradiation of GaAs1-xNx a planar heterostructure with zones having the band gap of a GaAs1-xNx well surrounded by GaAs-like barriers is created [17] In this paper an alternative way based on the controlled electron beam irradiation of hydrogenated samples is presented By focusing an energetic electron beam on the surface of hydrogenated GaAs1-xNx and GaP1-yNy we remove hydrogen atoms from their passivation sites thus leading to a controlled decrease of the crystal band gap in the spatial region where the electron beam is steered 2 Experimental All the samples investigated have been grown by solid source molecular beam epitaxy A radio frequency plasma source was used for N2 cracking The N concentration was determined by combining optical and X-ray diffraction techniques 30 nm thick single layers of GaAs1-xNx (00001 ltxlt0001) were grown on (001)-oriented GaAs substrates and then capped with a 50 nm thick GaAs layer As for the GaP1-yNy (0005ltylt13) samples they consisted of a single 250 nm thick layer grown on (001)-oriented GaP substrates

The hydrogenation was obtained by ion beam irradiation from a Kaufman source The specimen temperature was 300 degC and the ion energy was about 100 eV with a current density of a few tens of microA cm-2 Different hydrogen doses 1014ltdslt1020 ion cm-2 were used

The electron beam irradiation experiments on hydrogenated samples were performed at 6ltTlt300 K under different injection power conditions in a Cambridge 360 Stereoscan scanning electron microscope (SEM) The band gap variations were monitored in-situ by cathodoluminescence (CL) spectroscopy carried out by means of a Gatan MonoCL2 system using a multialkali photomultiplier attached to the same SEM 3 Results and Discussion The possibility of focusing electrons on a small area (beam diameter ~10-100 nm) gives an insightful tool for investigating locally the interaction of hydrogen with point defects [18 19] In particular it was shown that an energetic (10-50 keV) beam of electrons can break the bonds that hydrogen forms with silicon impurities in GaAs through an electronic excitation of the Si-H complexes [19] Here an unpatterned 30times40 microm2 rectangular area of a GaAs1-xNx sample treated previously with hydrogen was scanned by an intense electron beam having current Ib=400 nA and energy Eb=5 keV

Figure 1a shows two monochromatic CL images obtained at T=5 K around the sample region where the rectangle was irradiated (ldquowritingrdquo process) by the electron beam To collect the CL signal (ldquoreadingrdquo process) a reduced beam current (Ib=10 nA or lower) was used in order to avoid any further modification of the carrier potential A typical irradiation time of 40 sec is enough to obtain a complete recovery of the GaAs1-xNx band gap value before H irradiation The images in Fig 1a were collected with the monochromator energy set at Edet=1415 eV (left image) and 1465 eV (right image) Therefore the two bright areas (each complementary to the other) correspond to photons having energy equal to that of the band gap of the as-grown (left) or hydrogenated (right) sample at T=5 K

A spectral analysis of the light emitted from the electron-irradiated rectangle as well as from the area outside is shown in Fig 1b The CL spectrum of hydrogenated plus electron-irradiated GaAs1-xNx (middle line band gap energy equal to 1415 eV) coincides with that of the as-grown lattice (topmost line) and differs markedly from that of the hydrogenated sample (bottommost line energy gap equal to 1465 eV) In other words the rectangular area designed by the (writing) electron beam acts to all respects as a potential well for carriers

Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-C 455

0 50 100 150 200 250

102

103

104

105

E=1451 + 0002 eV hydrogenated GaAsN emission

E=1409 + 0002 eV GaAsN as-grown emission

CL

Inte

nsity

(a

u)

time (sec)

Fig 1 a) Low-temperature (T=5 K) CL images acquired after sweeping an hydrogenated GaAs1-xNx sample with an electron beam having current Ib=400 nA and energy Eb=5 keV Upper image CL from the GaAs1-xNx well region Lower image same as before but at E=1465 eV the band gap energy of the hydrogenated GaAs1-xNx barrier region b) CL spectra recorded at T=5 K in the region outside (bottommost line) and inside (middle line) the rectangular area swept by the electron beam The CL spectrum of the GaAs1-xNx sample before H irradiation is also shown (topmost line) for comparison purposes The temporal evolution of the CL emission intensity under electron beam irradiation is shown

in Figure 2 The GaAs characteristic emission is not affected by the ldquowritingrdquo process and is not reported The curves shown in Figure 2 heve been obtained recording the CL intensity with an energy window ∆E ltlt FWHM of the monitored CL band

Fig 2 Dynamical behaviour of the ldquowritingrdquo process The N-H dissociation and consequently the restoring of the original band gap of GaAsN is complete in about 30 - 40 sec in these ldquowritingrdquo conditions In particular an Ib of about hundreds of nA is necessary for all the structures studied (threshold effect)

140 145 150

CL

inte

nsity

(arb

uni

ts)

Energy (eV)

untreated

hydrogenated

+ e- irradiated

T=5 K

Ga(AsN)

Ga(AsN)H

GaAsexciton

(eC)GaAs

hydrogenated

20 micro m

E =1415 eV

20 microm T =5 K

det

20 micro m 20 micro m

E =1415 eV

20 microm T =5 K

det

E=1465 eV

a b

456 G Salviati et al

The curve relative to the emission at E= 1451 eV after the initial 40 sec shows first a partial recovery of the CL intensity followed by a much slower and constant decrease This behaviour could be due to different mechanisms involved in the nitrogen-dihydrogen complex breaking process but it is not yet understood In this respect time resolved PL and CL studies are in progress

These findings demonstrate that the electron beam has broken the bonds of the nitrogen-dihydrogen complexes responsible for the dramatic changes in the electronic properties of the crystal Such breaking results most likely in a displacement of H atoms from the nitrogen-dihydrogen complex but not in hydrogen outdiffusion from the lattice We point out that after irradiation with the electron beam the sample was cycled between T=5 K and room temperature several times Nevertheless the same CL images and spectra were recorded after each cycle thus demonstrating the thermal stability of the H displacement process It must be stressed that the specimen temperature during the e-beam irradiation affects the final results Typically only 80-85 of the pre-hydrogenated condition is achieved by increasing the specimen temperature from 5 to 77 K Increases of the lattice temperature of about 120 degK and 30 degK have been estimated at room and liquid helium irradiation temperature respectively [20] Since a 100 recovery of the pre-hydrogenation conditions is achieved only at liquid helium temperature these results suggest the effect should not be thermally assisted

The data shown so far indicate that spatially selective displacement of hydrogen defines a rather sharp vertical interface between planar zones having different band gap energies The abruptness of the crossover region between H-free and hydrogenated areas can be assessed by CL [17] by modeling the impinging electron beam as having a Gaussian section with standard deviation σ =100 nm which was estimated by Monte Carlo simulations performed in GaAs under the given experimental conditions By setting the origin at the impingement point of the electron beam the number of carriers diffused at a point (xy) of the plane perpendicular to the beam is given by

( )( ) ( )

2

21

21

21

21

211 σ

yxL

yyxx

eedydxCyxn D

+minus

minus+minusminusinfin

infinminus

infin

infinminusintint= (1)

where C is a constant the first exponential function represents the typical diffusive profile of the excited carriers with diffusion length LD and the Gaussian function represents the electron beam section The CL intensity corresponding to photons emitted from the whole well region after impingement of the electron beam in x0 is then given by

( ) ( )220220CL b

b

yxxndxdyCxIx

x

minus= intintinfin+infin

infinminus

(2)

where xb indicates the position of the border of the rectangular area We find LD=062 microm for GaAsN samples which compares well with values of the carrier diffusion length reported in III-V semiconductors [21] A similar analysis performed at room temperature gives LD=18 microm consistent with the temperature increase of the samples This also implies that the spatial sharpness of the edge between the H-diffused and the H-free part of the sample ought to be better than 06 microm namely the carrier diffusion length at low temperature This value confirms that our present capability of determining the sharpness of the in-plane band gap profile is limited by carrier diffusion only

The method presented here for in-plane engineering of the band gap of GaAs1-xNx can be readily extended from the near infrared to the visible spectral range by using GaP1-yNyGaP

Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-C 457

heterostructures whose emission wavelength can be varied from red to green by hydrogen irradiation [22] In Fig 3 the effects of electron beam irradiation at T= 5 K on a GaPNH sample are reported Also in this case a complete recovery of the pre-hydrogenation conditions is achieved

CL

inte

nsity

(arb

uni

ts)

Fig 3 CL spectra obtained at T= 5K Eb=5 keV Ib= 400 nA at 1000x after 30 seconds of irradiation on a GaPNH specimen

The same experiment performed at T= 77 K and RT (not shown here) showed that only

85-90 of the pre-hydrogenation conditions could be achieved in GaPNH specimens with no difference in the amount of recovery between 77 K and RT

The modulation of the material band gap described here should affect the refractive index n which increases as the N concentration increases (and the band gap decreases) in GaAs1-xNx [23] Since n should be larger in as-grown GaAs1-xNx than in the hydrogenated material selective incorporationdisplacement of hydrogen would allow us to design integrated optical circuits In particular optical elements like planar waveguides and optical couplers working in the telecommunication wavelength range could be implemented using the methods presented in this paper 4 Conclusions By spatially controlled displacement of H atoms from hydrogenated GaAs1-xNx and GaP1-yNy by direct electron beam writing we are able to modulate on a small scale the band gap of a semiconductor heterostructure in its growth plane Only 30-40 sec of irradiation at T= 6 K Ib=400 nA and Eb=5 keV are sufficient to achieve 100 of the pre-hydrogenation conditions By carefully selecting the irradiation dose any intermediate band gap values can be obtained The effect is totally stable in time and its efficiency depends on the specimen temperature Micrometric planar waveguides with pre-defined refractive indexes can be designed In perspective we should be able to attain such a modulation on a nanometre scale as to lead to quantum confinement effects on carriers

19 20 21 22 23

GaP 09919 N

00081

T=5K

0

04

08

12

16

2

24

28

32

Energy (eV)

untreated

hydrogenated

e - irradiated

458 G Salviati et al

References [1] Capasso F 1987 Science 235 172 [2] Weisbuch C and Vinter B 1991 Quantum Semiconductor Structures (Academic Press San

Diego USA) [3] Greacuteus Ch Butov L Daiminger F Forchel A Knipp P A and Reinecke T L 1993 Phys Rev B

47 7626 (R) [4] Bimberg D Grundmann M and Ledentsov N N 1998 Quantum Dot Heterostructures (Wiley

Chichester UK) [5] Polimeni A Henini M Patanegrave A Eaves L Main P C and Hill G 1998 Appl Phys Lett 73

1415 [6] Ciatto G Boscherini F Amore Bonapasta A Filippone F Polimeni A and Capizzi M 2005

Phys Rev B 71 201301 [7] Physics and Applications of Dilute Nitrides Eds I A Buyanova and W M Chen 2004 (Taylor

amp Francis New York USA) [8] Steinle G Mederer F Kicherer M Michalzik R Kristen G Egorov A Y Riechert H

Wolf H D and Ebeling K J 2001 Electron Lett 37 632 [9] Kurtz S R Allerman A A Jones E D Gee J M Banas J J and Hammons B E 1999 Appl Phys

Lett 74 729 [10] Welty R J Xin H Tu C W and Asbeck P M 2004 J Appl Phys 95 327 [11] Ignatov A Patanersquo A Makarovsky O and Eaves L 2006 Appl Phys Lett 88 032107 [12] Polimeni A Baldassarri G H v H Bissiri M Fischer M Reinhardt M and Forchel A 2001

Phys Rev B 63 201304 (R) [13] Baldassarri G H v H Bissiri M Polimeni A Capizzi M Fischer M Reinhardt M and Forchel

A 2001 Appl Phys Lett 78 3472 [14] Amore Bonapasta A Filippone F Giannozzi P Capizzi M and Polimeni A 2002 Phys Rev

Lett 89 216401 [15] Polimeni A Baldassarri G H v H Masia F Frova A Capizzi M Sanna S Fiorentini V

Klar P J and Stolz W 2004 Phys Rev B 69 041201 (R) [16] Polimeni A Ciatto G Ortega L Jiang F Boscherini F Filippone F Amore Bonapasta A

Stavola M and Capizzi M 2003 Phys Rev B 68 085204 (R) [17] Felici M Polimeni A Salviati G Lazzarini L Armani N Masia F Capizzi M Martelli F

Lazzarino M Bais G Piccin M Rubini S and Franciosi A 2006 Advanced Materials 18 1993 [18] Pavesi M Manfredi M Salviati G Armani N Rossi F Meneghesso G Levada S Zanoni E

Du S and Eliashevich I 2004 Appl Phys Lett 84 3403 [19] Silvestre S Bernard-Loridant D Constant E Constant M and Chevallier J 2000 Appl Phys

Lett 77 3206 [20] Myhailenko S Ke W K and Hamilton B 1983 J Appl Phys 54 862 [21] Chao L-L Cargill III G S Levy M Osgood Jr R M and McLane G F 1997 Appl Phys Lett

70 408 [22] Polimeni A Bissiri M Felici M Capizzi M Buyanova I A Chen W M Xin H P and Tu C W

2003 Phys Rev B 67 201303 (R) [23] Leibiger G Gottschalch V Rheinlaumlnder B Šik J and Schubert M J 2001 Appl Phys 89 4927

Interdiffusion as the First Step of GaN Quantum Dot Degradation Demonstrated by Cathodoluminescence Experiments B Sieber Laboratoire de Structure et Proprieacuteteacutes de lrsquoEtat Solide Universiteacute des Sciences et Technologies de Lille UMR CNRS 8008 Bacirctiment C6 59655 Villeneuve drsquoAscq Ceacutedex France Summary In-situ cathodoluminescence experiments have been performed to follow the first step of the degradation of GaN quantum dots embedded in AlGaN barriers The time evolution of the spectra is interpreted as resulting from the interdiffusion of Al in GaN dots 1 Introduction The degradation of the optical properties of GaN quantum dots (GaN-QDs) under electron beam (e-beam) injection has been previously reported [1-4] Most of the studies have been performed by means of cathodoluminescence (CL) on specimens in which five or three layers of GaN-QDs are embedded in AlGaN epilayers From the temporal evolution of the CL spectra it was suggested that interdiffusion from the AlGaN barriers to the GaN dots could be involved in the degradation of the optical properties of the quantum dots [5] In this work we focus on the time evolution of CL spectra under electron beam injection The experimental conditions have been chosen such that the degradation rate is low enough to follow the beginning of the degradation 2 Experimental Details In order to study the time evolution of the luminescence issuing from both the GaN quantum dots and the AlGaN barrier we have chosen a specimen with Al-rich AlGaN barriers It is a graded index separate confinement heterostructure (SCH) which was grown at 730degC by plasma assisted molecular beam epitaxy on a 6H (0001)-SiC substrate A 1microm AlN epilayer was first deposited on the substrateThe SCH - or active - region consisted of three layers of GaN QDs embedded in 12 nm Al05Ga05N cladding layers deposited between two 86 nm Al05Ga05 N optical waveguide layers coherent on AlN The GaN QDs were formed in the StranskindashKrastanov growth mode with a typical density in the 1011 cm-2 range [6] They are hexagonal truncated pyramids with 1-103 facets They have an average size (heightdiameter) of about 315 nm and nucleate on top of a wetting layer [7] A 50 nm AlN cladding layer was grown on the top of the structure In situ plane-view CL experiments were performed at room temperature in a Hitachi 4700 cold field-emission scanning electron microscope operating at 8 keV and equipped with a Gatan CL collecting mirror A Jobin-Yvon H20 UV monochromator and a Perkin-Elmer photomultiplier were used to record CL spectra The beam current was equal to 05 nA The time evolutions of monochromatic CL intensities were recorded while the electron beam was kept stationary for 30 min The beam injection conditions are such as to ensure flat band conditions [3] 3 Results and Discussion The total CL spectrum recorded on the SCH-QD heterostructure is shown in Fig 1 Two CL bands are clearly visible the first one is intense with a maximum located at 3621 eV The second one exhibits a much lower intensity and peaks at 442 eV The latter corresponds to the AlxGa1-xN band to band (BB) transition The fact that its luminescence is large enough to be detected indicates that

460 B Sieber

the carrier transfer from the barriers to the QDs is not complete The Al content (x) is 045 when determined with the equation Eg (x) =(1-x) Eg (GaN) + x Eg (AlN) ndash bx(1-x) (1) with the bowing parameter b = 098 eV [8] the energy band gaps Eg of GaN and AlN have been assumed as 340 and 62 eV respectively at room temperature

00

02

04

06

08

10

12

310 360 410 460Photon Energy (eV)

Nor

mal

ized

CL

Inte

nsity

AlGaN

GaN QDs

Fig 1 CL spectrum recorded on the SCH-QD heterostructure at the beginning of the e-beam injection Two Gaussian curves fit the low energy CL band The high energy CL band is due to band to band (BB) recombinations in the AlGaN epilayers The low (high) energy CL bands have a width of 229 meV (224meV)

Recording and fitting of many CL spectra have shown that two Gaussian bands best

deconvolute the more intense CL band of all experimental spectra This is illustrated in Fig 1 in the case of the CL spectrum recorded at the beginning of the e-beam injection The Gaussian band with the lower energy peak is tentatively ascribed to a defect located in the AlGaN layer [9] In the following we concentrate on both the GaN QDs and AlGaN BB bands From the shape and peak position of the former it is possible to derive the average height of the QDs as well as its dispersion We make the usual assumption that the inhomogeneous broadening of the luminescence band mainly results from the variation of dots height in the volume probed by the electron beam (the e-beam penetration depth is close to 400 nm with a total lateral extension of 300 nm at the dot level) Thus the spontaneous cathodoluminescence intensity can be expressed as [10]

( ) ( ) z0 zLzCL dLEf)L(nEI intinfin

= (2) with n(Lz) the quantum dot height distribution chosen to be Gaussian fLz(E) is the CL of a set of quantum dots with a given height Lz

( ) ( )[ ]

minusminusπ∆

= 2

2zCL

zL ELEE2exp

2E1Ef (3)

∆E is the homogeneous phonon-induced broadening Figure 2 shows that the experimental GaN QD band can be fitted by assuming a height dispersion ∆LZ of 13 nm and ∆E equal to 120 meV The mean height Lz0 of the fully strained dots is calculated as in [5] The GaN QDs peak value being 3629 eV we find that Lz0 is equal to 366 nm The calculated curve is located below (above) the GaN QDs Gaussian fit for larger (smaller) dots This could be due to a higher (lower) non radiative recombination rate of larger (smaller) dots During e-beam injection i) the CL peak intensity of the GaN QDs remains stable until 20 min and then decreases to reach about 70 of its initial value after 30 minutes ii) the intensity value of the AlGaN BB peak is nearly constant iii) the FWHM and

Interdiffusion as the First Step of GaN QD Degradation Demonstrated by CL Experiments 461

00

02

04

06

08

10

12

340 360 380Photon Energy (eV)

Nor

mal

ized

CL

Inte

nsity

GaN QDs Gaussian fit

calculated curve

Fig 2 GaN QDs CL band at the beginning of the degradation (Gaussian fit ndash continuous line) It is well reproduced by the curve (dotted curve) calculated with Lz0 = 366 nm ∆LZ = 13 nm and ∆E = 120 meV The circles correspond to CL values obtained for Lz0 ndash (∆LZ2) = 301 nm and Lz0 + (∆LZ2) = 431 nm

the peak position of both GaN QDs and AlGaN BB bands are modified just the opposite (Fig 3)

After 30 minutes of e-beam injection the FWHM of GaN QDs band decreases from 195 meV to 180 meV whereas that of AlGaN BB band increases from 224 meV to about 230 meV (Fig 3a) Such opposite variations are also seen in the energy shifts the peak of the GaN QDs band is blue shifted while that of the AlGaN-BB band is red shifted (Fig 3b) The decrease of the FWHM of the

010

015

020

025

030

0 10 20 30Injection time (min)

FWH

M (

eV) AlGaN BB

GaN QDs

a)

-10

-5

0

5

10

15

0 10 20 30injection time (min)

Ene

rgy

shift

(meV

)

AlGaN BB

GaN QDs

b)

red shift

blue shift

Fig 3 a) Time evolutions of the FWHM of the GaN QDs and AlGaN BB CL bands b) energy shifts of the GaN QDs and AlGaN BB CL band peaks during injection

GaN QDs band results from a blue shift of the luminescence associated with larger dots alone (Fig 4a) The broadening of the AlGaN BB band is due to a red shift of its low energy side and to a blue shift of its high energy side (Fig 4b)

The blue shift of the GaN QDs CL peak (Fig 3b) can be attributed to an increase of the aluminium content in the dots This is consistent with the observed red shift of the AlGaN BB peak (Fig 3b) arising from a decrease of the Al content in the barriers The experimental broadening of the AlGaN BB band (Fig 4b) could then result from alloy disorder During e-beam injection initially abrupt GaNAlGaN interfaces [11] then become less and less sharp as a result of Ga-Al interdiffusion Strain as well as Al and Ga gradients between the dots and the barriers would enhance the interdiffusion across interfaces Generally the width of a QD luminescence band results from the QD nonuniformity (size shape and strain) In usual interdiffusion experiments where the specimens are thermally annealed the blue shift of the peak occurs together with a bandwidth narrowing The latter is explained by QD confining potentials becoming shallower [12] andor by a better homogeneity in QD size [13] In our experiments the thermal activation of the diffusion process is provided by non radiative (NR) recombination which has been shown to be involved in the degradation process [1-3]

Larger dots could be interdiffused first since as shown in Fig 2 only the low energy part of the GaN QD band blue shifts Dislocations located near them would provide the NR recombination

462 B Sieber

0

02

04

06

08

1

12

330 350 370 390

Photon Energy (eV)

Nor

mal

ized

CL

inte

nsity

0 min

30 min

GaN QDs

a)

-15

-10

-5

0

5

10

15

0 10 20 30Injection time (min)

Ene

rgy

shift

(meV

) blue shift

red shift

high energy side

low energy side

peak

b)

AlGaN BB

Fig 4 a) CL spectra of the GaN QDs band at 0 min and 30 min of beam injection The circles have the same meaning as in Fig 2 b) Time variations of the high and low energy sides (taken here at ICL peak2) of the AlGaN BB band

needed for the diffusion of Ga and Al species out from and into the dots Another possibility is that the smaller dots are interdiffused first and much faster thus resulting in a relaxation of the strain at least partly This could explain their energy stability with e-beam injection seen in Fig 2 4 Conclusion We have reported the in-situ evolution of CL spectra under the injection of a 8 keV electron beam The injection conditions have been chosen to ensure a low degradation rate The blue (red) shift of the GaN QDs (AlGaN BB) band peak associated with a decrease (increase) of their respective bandwidths were interpreted in terms of dotbarrier interdiffusion Acknowledgements The author would like to thank C Vanmansart for the CL spectrum software B Daudin (CEA-Grenoble) is gratefully acknowledged for the SCHndashQD heterostructure References 1 Mera Y Suzuki K and Maeda K 2003 Physica B 340ndash342 488 2 Verbert J Barjon J Monroy E Daudin B and Sieber B 2004 J Phys Condens Matter 16 S243 3 Sieber B 2005 J Appl Phys 98 083520 4 Sieber B 2006 J Phys Condens Matter 18 1033 5 Sieber B 2007 Phys Stat Sol c 4 1517 6 Daudin B Widmann F Feuillet G Samson Y Arlery M and Rouviegravere J L 1997 Phys Rev B

56 R7069 7 Gogneau N et al 2004 Phys Status Solidi C 1 1445 8 Khan M R H Koide Y Itoh H Sawaki N and Akasaki I 1986 Solid State Commun 60 509 9 Polyakov A Y et al 2003 J Vac Sci Technol B 21 2500 10 Ledoux G Guillois O Porterat D Reynaud C Huisken H Kohn B and Paillard V 2000 Phys

Rev B 62 15942 11 Chamard V et al 2004 Phys Rev B 69 125327 12 Perret N Morris D Franchomme-Fosseacute L Cocircteacute R Simon Fafard S Aimez V and Beauvais J

2000 Phys Rev B 62 5092 13 Mackowski S Smith L M Jackson H E Heiss W Kossut J and Karczewski G 2003 Appl

Phys Lett 83 2

Calibration and Applications of Scanning Capacitance Microscopy n-Type GaN J Sumner R A Oliver M J Kappers and C J Humphreys Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary To understand the basic mechanisms of scanning capacitance microscopy (SCM) four GaN-based test structures were grown on sapphire These have been designed to test the carrier concentration and spatial detection limits of the technique and it has been noted that SCM reliably distinguished between different carrier concentrations for levels ge 5times1017cm-3 and for layers equal to or thicker than 25nm The response of SCM is seen to be highly dependent on the particular probe used necessitating the use of calibration standards for the quantification of unknown samples 1 Introduction Scanning capacitance microscopy (SCM) enables users to study carrier profiles within semiconducting materials It is based on atomic force microscopy (AFM) by applying an alternating bias to a metal-coated probe carriers alternately accumulate and deplete within the semiconductor surface layers changing the tip-sample capacitance The magnitude of this change in capacitance with voltage gives information about the concentration of carriers (SCM amplitude data) whilst the difference in phase between the capacitance change and the applied alternating bias carries information about the sign of the carriers (SCM phase data)

Currently there is a lot of interest in using SCM to study GaN in particular using it to investigate how defects (eg charges on dislocations) affect carriers [1] or as a way of assessing the homogeneity of InGaNGaN quantum wells [2] However despite the interest in these fairly complex systems no work has yet been carried out on basic calibration of the technique for GaN This paper aims to supply these necessary basic data 2 Experimental Details All samples were grown on c-plane sapphire substrates using a 6times2rdquo Thomas Swan CCS metalorganic vapour phase epitaxy (MOVPE) reactor Trimethyl gallium (TMGa) silane (SiH4) and ammonia (NH3) were used as precursors and hydrogen as the carrier gas

Sample preparation for SCM involved the cleaving of a sample following the making of a short scratch using a diamond scribe from the top (GaN) face along the GaN lt1120gt [3] All SCM data were collected using a Veeco Dimension 3100 atomic force microscope equipped with an SCM module and using Pt-Ir coated SCM tips Secondary ion mass spectrometry (SIMS) was carried out at Loughborough Surface Analysis Ltd Data analysis was carried out using WSxM freeware [4]

Four samples were analysed Two samples were designed to test SCMrsquos response to changing carrier concentrations and both had n-type layers of ca 5times1017 75times1017 1times1018 25times1018 5times1018 75times1018 and 1times1019 with a top contact layer of 1times1018cm-3 One of these samples (Sample A) had doped layers ca 200nm thick and separated by ca 200nm thick non-intentionally-doped (nid) GaN spacers The second sample (Sample B) had ca 400nm thick layers with no spacers

464 J Sumner et al

A further two samples were grown to test the resolution of SCM in terms of feature size n-doped layers with thicknesses of ca 200nm 100nm 50nm 25nm and 10nm were separated by ca 200nm thick GaN spacers In Sample C these spacers were nid and the layers were Si-doped at 1times1018cm-3 whilst in Sample D the spacers were doped at 1times1018cm-3 and the layers at 1times1019cm-3 3 Results and Discussions 31 Samples With Varying Dopant Concentration Figure 1 illustrates various data from Sample A The SCM amplitude image (Fig 1a) clearly shows all seven differently doped regions in addition to an unintended impurity region (also visible in the SIMS data ndash Fig 1b) to the far right demonstrating an ability to detect dopant levels from 5times1017cm-3 to 1times1019cm-3 Indeed we have previously used SCM to detect dopant levels down to ca 2times1017cm-3 [3] Additionally the technique can detect differences in dopant levels as small as the change from 5times1017cm-3 to 75times1017cm-3 It is worth noting that the SCM technique is more sensitive to changes in n-type doping than doping contrast using secondary electrons in the scanning electron microscope is [5]

The presence of dark almost horizontal lines in Fig 1a arises from changes in the tip-sample contact area when the SCM probe passes over a sharp cleavage step formed during sample preparation This emphasizes the importance of good sample preparation and also demonstrates the influence of topography on AFM-based electrical measurements

Figure 2 shows similar data to Fig 1 but for Sample B Interestingly it should be noted that the lowest doped layer in Sample B (5times1017cm-3) often fails to be detected by SCM independent of the tip used This issue is not yet well understood

07 microm

00 05 10 15 20 25 30 35

1014

1015

1016

1017

1018

1019

1020

02004006008001000120014001600

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M a

mpl

itude

dat

a m

V

Fig 1 Sample A a) Raw SCM amplitude data The top surface is to the left and the pale vertical stripes correspond to the doped layers The two lsquohorizontalrsquo black lines are caused by topography convolution b) Processed SCM amplitude data (grey) is plotted with SIMS dopantconcentration data (black) showing their correspondence

(a)(b)

07 microm07 microm07 microm

00 05 10 15 20 25 30 35

1014

1015

1016

1017

1018

1019

1020

02004006008001000120014001600

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M a

mpl

itude

dat

a m

V

Fig 1 Sample A a) Raw SCM amplitude data The top surface is to the left and the pale vertical stripes correspond to the doped layers The two lsquohorizontalrsquo black lines are caused by topography convolution b) Processed SCM amplitude data (grey) is plotted with SIMS dopantconcentration data (black) showing their correspondence

(a)(b)

00 05 10 15 20 25 30 35

1017

1018

1019

0

2

4

Dop

ant C

onc

(SIM

S)

cm-3

Distance From Surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 2 Sample B a) Raw SCM amplitude data The vertical stripes correspond to doped layers b)Processed SCM amplitude data (grey) is plotted with SIMS data (black)

(a) (b)

00 05 10 15 20 25 30 35

1017

1018

1019

0

2

4

Dop

ant C

onc

(SIM

S)

cm-3

Distance From Surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 2 Sample B a) Raw SCM amplitude data The vertical stripes correspond to doped layers b)Processed SCM amplitude data (grey) is plotted with SIMS data (black)

00 05 10 15 20 25 30 35

1017

1018

1019

0

2

4

Dop

ant C

onc

(SIM

S)

cm-3

Distance From Surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 2 Sample B a) Raw SCM amplitude data The vertical stripes correspond to doped layers b)Processed SCM amplitude data (grey) is plotted with SIMS data (black)

(a) (b)

Calibration and Applications of Scanning Capacitance Microscopy n-Type GaN 465

The curves shown in Figs 1b and 2b represent the average in the vertical direction of the data in Fig 1a and 2a and illustrate various points Firstly an inverse relationship between carrier concentration (here assumed equivalent to the SIMS dopant levels ndash an assumption not valid for p-type GaN) and SCM amplitude intensity is observed ndash smaller carrier levels are easier to deplete and hence give rise to a larger change in capacitance This forms the basis of calibration curves such as those in Fig 3 However it must be noted that in addition to being strongly dependent upon the AC bias under which the data is taken such calibration curves are extremely sensitive to the SCM tip used in data acquisition Even using the tip changes the SCM signal over the course of several scans since the metalic coating wears Differences between individual tips ndash even from the same batch ndash are much more profound and give rise to different SCM signals

Secondly the layers with higher dopant levels demonstrate a lsquobowingrsquo in the centre of their SCM amplitude profile (Fig 1b) arising from carrier spillage into the nid-spacers between the layers Thirdly the SCM data seem slightly lsquostretched outrsquo compared to the SIMS profile This stems from the SCM sample preparation in which the cleave fails to produce a cross-section exactly perpendicular to the surface

Considering several measurements on samples A and B with different tips in which the applied AC bias was systematically varied the strongest SCM amplitude signal can usually be obtained between 8 and 15V AC Also in the case of Sample A which has nid-spacer regions carriers spread further into the spacer regions with higher applied bias ultimately coming to overlap (though sufficient contrast remains for the individual layers to be distinguished) 32 Samples With Varying Layer Thicknesses Figures 4 and 5 give data for Samples C and D respectively The SCM amplitude images show light vertical stripes corresponding to the doped layers of varying thickness in Sample C (Fig 4a) and dark vertical stripes for Sample D (Fig 5a) (The doped regions in Sample C are separated by nid-regions with too few carriers for detection whilst in Sample D the doped layers of varying thickness are separated by spacers with lower doping which will give higher SCM amplitude signals thus making the layers of varying thickness in Sample D appear dark instead of light) It should be noted that an unintended impurity layer lies to the right of the 200nm layer in Sample C

SIMS data from both Figs 4 and 5 appear to show a reduction in dopant levels for the thinner layers Given that all doped layers were grown under identical conditions this is believed to be an artifact of the

1018 1019100

150

200

250

300

350

400

450

For 10V AC bias

SCM

dC

dV

Ampl

itude

Inte

nsity

mV

Dopant Density from SIMS cm-3

Fig 3 Calibration curve plotting SCM amplitude response to SIMS dopant densities

1018 1019100

150

200

250

300

350

400

450

For 10V AC bias

SCM

dC

dV

Ampl

itude

Inte

nsity

mV

Dopant Density from SIMS cm-3

Fig 3 Calibration curve plotting SCM amplitude response to SIMS dopant densities

00 05 10 15

1014

1015

1016

1017

1018

-100

0

100

200

300

400

500

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 4 Sample C a) Raw SCM amplitude data Top surface to the left the pale vertical stripes correspond to doped layers b) Processed SCM amplitude data (grey) with SIMS data (black)

(a) (b)

00 05 10 15

1014

1015

1016

1017

1018

-100

0

100

200

300

400

500

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 4 Sample C a) Raw SCM amplitude data Top surface to the left the pale vertical stripes correspond to doped layers b) Processed SCM amplitude data (grey) with SIMS data (black)

(a) (b)

466 J Sumner et al

SIMS measurement due to transients and ion-beam-induced intermixing The 25nm thick layer is routinely detected However detection of the 10nm layer is strongly tip

dependent and it rarely appears as more than a faint change in the SCM amplitude signal even with the best tips The 10nm layer is seen more frequently in Sample D than Sample C

Observed widths in SCM are always greater than the actual layer widths as determined by reflectivity measurements carried out in situ during growth Initially the observed widths increase with applied AC biases of up to ~5V ac For higher biases the observed widths remain approximately constant However the observed width in SCM does increase with the actual layer thickness

Thinner layers (50-10nm) tend to have stronger SCM amplitude signals than wider layers (100 and 200nm) This may be due to carriers diffusing out of the thinner doped layers leading to a decreased peak carrier concentration and hence increased SCM amplitude In a sample with unknown layer thicknesses this could cause significant data interpretation problems with a thinner higher doped layer being confused with a wider lower-doped layer 4 Conclusions SCM data can reliably distinguish qualitatively between differently doped layers in n-type GaN with dopant levels greater than 5times1017cm-3 To account for differences between tips and to quantify this data calibration structures and curves are required Additionally it has been shown that layers with thicknesses down to 10nm can be observed However all of those observations are highly tip dependent and the authors would recommend the development of better more consistent and more stable tips Acknowledgements This work has been funded in part by the EPSRC (GRS 4939101) JS would like to acknowledge funding from Thomas Swan Scientific Equipment Ltd RAO would like to acknowledge funding from the Royal Society References 1 Hansen P J Strausser Y E Erickson A N Tarsa E J Kozodoy P Brazel E G Ibbetson J P

Mishra U Narayanamurti V DenBaars S P and Speck J S 1998 Appl Phys Lett 72 2247 2 Zhou X Yu E T Florescu D Ramer J C Lee D S and Armour E A 2004 Appl Phys Lett

85 407 3 Sumner J Oliver R A Kappers M J and Humphreys C J 2007 PSS (c) Proceedings of IWN

2006 in press 4 WSxM copy httpwwwnanoteces 5 Elliott S L Broom R F and Humphreys C J 2002 J Appl Phys 91 9116

00 05 10 15 20

1017

1018

1019

-100-50050100150200250300

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 5 Sample D a) Raw SCM amplitude data Top surface to the left dark vertical stripes are doped layers Topography convolution can be seen in this image b) Processed SCM amplitude data (grey) is plotted with SIMS data (black)

(a) (b)

00 05 10 15 20

1017

1018

1019

-100-50050100150200250300

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 5 Sample D a) Raw SCM amplitude data Top surface to the left dark vertical stripes are doped layers Topography convolution can be seen in this image b) Processed SCM amplitude data (grey) is plotted with SIMS data (black)

(a) (b)

The Factors Influencing the Stability of Scanning Capacitance Spectroscopy Mao-Nan Chang Tung-Huan Chou Che-Yu Yang1 and Jeng-Hung Liang1 Division of Nano Metrology National Nano Device Laboratories Hsinchu 30078 Taiwan 1 Department of Engineering and System Science National Tsing Hua University Hsinchu 30043

Taiwan Summary We have used front-wing conductive probes to investigate the factors that most obviously influence the stability of scanning capacitance spectroscopy (SCS) Photoperturbations and environmental humidity are the dominant factors influencing SCS stability of samples with a thermal oxide layer Without photoperturbation and humidity problems the peak difference between the traced and retraced SCS curves was stable depending only on the dielectric thin film of the studied samples The experimental results indicate that non-photoperturbed SCS with a dry ambient is a practical method for investigating the quality of dielectric thin films 1 Introduction Scanning capacitance microscopy (SCM) is a well-known technique that has been widely used in the mapping of the two-dimensional carrier distribution and electrical junctions of semiconductor devices [1-5] The high sensitivity and high spatial resolution of SCM means that the topography image and the corresponding differential capacitance (dCdV) image can be synchronously obtained from semiconductor surfaces This has resulted in many unique applications in recent years [6-8] Scanning capacitance spectroscopy (SCS) an extension of SCM also has potential as a method for studying the quality of dielectric thin films and can provide the complete dCdV as a function of sample bias [9] The quality of dielectric films has typically been examined by the C-V or charge pumping measurements A structure like a gate electrode is necessary for these two methods Working on bare dielectric films SCS can be applied to directly characterize semiconductor surfaces and dielectric thin films A point contact is used without the gate electrode process However it has been revealed in prior work that photoperturbations arising from the laser beam of the atomic force microscope (AFM) can affect the accuracy of SCM measurements [10] Since SCM suffers from photoperturbation problems it is thus natural to question whether photoperturbations might also influence SCS which would lead to unstable SCS measurements Recently Chang et al successfully demonstrated a conductive probe with a front-wing (FW) cantilever which significantly reduced the photoperturbation effects providing SCM measurements with a well-controlled photoperturbation level [11] In this work we investigate the factors influencing the stability of SCS measurements and discuss the SCS applications 2 Experimental Procedure The specimens used in this study were lt100gt n-type silicon wafers with and without a thermally grown 3 nm thick silicon dioxide (SiO2) layer denoted as samples 1 and 2 respectively Since sample 2 was not covered by a SiO2 film there was a native oxide layer on its surface during the SCS measurements The doping level of the sample substrate was about 5times1015 cm-3 A lower substrate doping means that the SCS profiles can sensitively respond to the photoperturbation effects The environmental humidity was well-controlled by the ambient nitrogen All of the SCS profiles were obtained using a Veeco multimode scanning probe microscope operated in constant

468 Mao-Nan Chang et al

0 1 2 3 4 5 600

01

02

03

04

05

06

07

dCd

V (V

)

Photoperturbation level

Depletion regime Inversion regime

Low High

0 1 2 3 4 5 600

01

02

03

04

05

06

07

dCd

V (V

)

Photoperturbation level

Depletion regime Inversion regime

Low High

Fig 1 dCdV signals of sample 1 and sample biases at 097 V and 21 V which correspond to depletion and inversion regimes respectively

-3 -2 -1 0 1 2 3-10

-05

00

dC

dV

(V)

DC bias (V)

-10

-05

00

-10

-05

00

-10

-05

00

Trace Retrace

(d)

(c)

(b)

(a)

Lowhumidity

High humidity

VFB

061 V

055 V

052 V

050 V

-3 -2 -1 0 1 2 3-10

-05

00

dC

dV

(V)

DC bias (V)

-10

-05

00

-10

-05

00

-10

-05

00

Trace Retrace

(d)

(c)

(b)

(a)

Lowhumidity

High humidity

Lowhumidity

High humidity

VFB

061 V

055 V

052 V

050 V

VFB

061 V

055 V

052 V

050 V

Fig 2 ∆Vfb slightly decreases with environmental humidity for sample 2

voltage mode using an ac bias at 89 kHz For the SCS measurements the dc bias cycling of the sample electrode ranged from -3V to 3V The wavelength of the AFM laser beam was 670 nm and the output power was 1 mW The conductive tips of the FW cantilever (produced by MikroMasch) were commercial silicon tips coated with Cr-Co alloys The force constant of the cantilevers was less than 4 Nm These FW conductive probes allowed us to fine-tune the photoperturbation level without topographic image measurement problems [11] 3 Results and Discussion The dCdV signals for sample 1 at biases of 097 V and 21 V which correspond to depletion and inversion regimes respectively obviously depend on the photoperturbation level as shown in Fig 1 Since photo-perturbations can enhance the effective carrier concentration and reduce the life time of minority carriers it is expected that one would observe lower (higher) dCdV signals in depletion (inversion) regimes The results in Fig 1 indicate that the photoperturbation problems make the SCS run by run measurements very instable Figures 2a 2b 2c and 2d show the SCS profiles of sample 2 after nitrogen purging for 90 120 150 and 180 minutes respectively During the acquisition of SCS profiles in Fig 2 the conductive probe was lifted from the sample surface after each bias cycle It is very clear that the environmental humidity may induce unstable hysteresis behavior [12] The peak shift (∆V) from the traced to retraced SCS curves would be variable if the environmental humidity cannot be well controlled In other words reducing environmental

humidity is necessary for stable SCS measurements Figures 3a 3b 3c and 3d show the SCS profiles for sample 2 after nitrogen purging for 90 120 150 and 180 minutes respectively However the conductive probe continued to be in contact with the sample surface and the ac bias was constant during the acquisition of the SCS profiles as seen in Fig 3 It is evident that the ∆V significantly decreased with the operation time Since the humidity in Figs 2 and 3 is the same we can

The Factors Influencing the Stability of Scanning Capacitance Spectroscopy 469

0 1 2 3 4 5 600

01

02

03

04

05

06

07

dCd

V (V

)Photoperturbation level

Depletion regime Inversion regime

Low High

0 1 2 3 4 5 600

01

02

03

04

05

06

07

dCd

V (V

)Photoperturbation level

Depletion regime Inversion regime

Low High

Fig 1 dCdV signals of sample 1 and sample biases at 097 V and 21 V which correspond to depletion and inversion regimes respectively

-3 -2 -1 0 1 2 3

-05

00

-05

00

-05

00

-05

00

Trace Retrace

dC

dV

(V)

DC bias (V)

(d)

(c)

(b)

(a)

VFB

061 V

054 V

031 V

0 V

Lowhumidity

High humidity

-3 -2 -1 0 1 2 3

-05

00

-05

00

-05

00

-05

00

Trace Retrace

dC

dV

(V)

DC bias (V)

(d)

(c)

(b)

(a)

VFB

061 V

054 V

031 V

0 V

VFB

061 V

054 V

031 V

0 V

Lowhumidity

High humidity

Lowhumidity

High humidity

Fig 3 When the environmental conditions for sample 2 are the same as in Fig 2 ∆V quickly decreases with continued ac charging

attribute this result to a charging effect on the sample surface Due to the high frequency of the ac bias the surface traps were compensated by continued ac charging which significantly suppresses the hysteresis behavior To observe the stability of the charging effect with the conductive probe continuing to be in contact with the sample surface we turned the applied ac bias off after the ∆V significantly decreased and then turned the ac bias on for the SCS measurements The alternative SCS measurements are shown in Fig 4 It is obvious that after ac charging and completely suppressing the ∆V turning the ac bias off may discharge the contact area and hence the hysteresis behavior occurs once again even if the nitrogen purging is continued This chargingdischarging phenomenon was repeatable indicating that the dominant factor for the SCS stability for sample 2 would be surface traps The results in Fig 4 not only clearly reveal the influence of surface traps on SCS stability but also imply that using SCS to identify the surface condition of cross-sectional SCM specimens might be unreliable Figure 5 shows the ∆V versus ac charging for samples 1 and 2 in a very low humidity and non-photoperturbed environment Sample 1 exhibits a smaller ∆V than does sample 2 implying that for sample 1 the trap density from the SiO2 surface and the SiO2Si interface

was smaller than for sample 2 As a result with an increase in the measuring times the ∆V of sample 1 quickly fell to zero If the experimental factors influencing the hysteresis behavior are controlled the ∆V responding to the flat-band voltage shift for the studied sample can be a quality indicator of dielectric thin films The above results suggest that one can apply non-photoperturbed SCS in ambient dryness to characterize the quality of dielectric thin films

VFB

053 V

008 V

062 V

002 V

-3 -2 -1 0 1 2 3

-05

00

-05

00

-05

00

-05

00

trace retrace

DC bias (V)

dCd

V (V

)

(c)

(a)

(b)

(d)Lowhumidity

High humidityVFB

053 V

008 V

062 V

002 V

VFB

053 V

008 V

062 V

002 V

-3 -2 -1 0 1 2 3

-05

00

-05

00

-05

00

-05

00

trace retrace

DC bias (V)

dCd

V (V

)

(c)

(a)

(b)

(d)Lowhumidity

High humidity

Lowhumidity

High humidity

Fig 4 The ∆V of sample 2 can be controlled by ac charging Discharging by turning the ac bias off represents the hysteresis behavior of the SCS curves

470 Mao-Nan Chang et al

4 Conclusion In conclusion we investigated factors influencing the stability of SCS measurements for the characterization of dielectric thin films FW conductive probes can aggressively promote the accuracy of the SCS analysis of samples with a dielectric film It is revealed that the photoperturbation effect and environmental humidity are the major factors affecting the accuracy of SCS SCS will be more reliable and more stable in a dry ambient without photoperturbations Moreover it is not suggested that ∆V be used as an indicator of the surface quality of cross-sectional SCM specimen since ∆V might be unstable for a trap-rich surface References 1 Abraham K W Williams C C Slinkman J and Wickramasinghe H K 1991 J Vac Sci Technol

B 9 703 2 Kopanski J J Marchiando J F Berning D W Alvis R and Smith H E 1998 J Vac Sci Technol

B 16 339 3 Zavyalov V V McMurray J S and Williams C C 1999 J Appl Phys 85 7774 4 OrsquoMalley M L Timp G L Timp W Moccio S V Garno J P and Kleiman R N 1999 Appl

Phys Lett 74 3672 5 Nakakura C Y Hetherington D L Shaneyfelt M R Shea P J and Erickson A N 1999 Appl

Phys Lett 75 2319 6 Chang M N Chen C Y Pan F M Chang T Y and Lei T F 2002 Electrochem Solid-State Lett

5 G69 7 Leu C C Chen C Y Chien C H Chang M N Hsu F Y and Hu C T 2003 Appl Phys Lett 82

3493 8 Hansen P J Strausser Y E Erickson A N Tarsa E J Kozodoy P Brazel E G Ibbetson J P

Mishra U Narayanamurti V DenBaars S P and Speck J S 1998 Appl Phys Lett 72 2247 9 Edwards H McGlothlin R Martin R S U E Gribelyuk M Mahaffy R Shih C K List R S and

Ukraintsev V A 1998 Appl Phys Lett 72 698 10 Chang M N Chen C Y Pan F M Lai J H Wan W W and Liang J H 2003 Appl Phys Lett 82

3955 11 Chang M N Chen C Y Huang W J and Cheng T C 2005 Appl Phys Lett 87 023102 12 Isenbart J Born A and Wiesendanger R 2001 Appl Phys A Mater Sci Process 72 S243

0 2 4 6 8 10

-02

00

02

04

06

08

10

∆V FB

(V)

Runs

Thermal Oxide Native Oxide

Fig 5 As the measurement time increased the ∆V of sample 1 (Thermal oxide) quickly fell down to zero

Growth and in vivo STM of III-V Compound Semiconductors F Bastiman A G Cullis M Hopkinson and M Green1 Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK 1 OMICRON NanoTechnology Ltd Imberhorne Lane East Grinstead W Sussex RH19 1XP UK Summary A combinational machine (MBSTM) capable of III-V molecular beam epitaxial deposition whilst performing scanning tunnelling microscopy (STM) is described Epitaxial deposition lsquounder the STM tiprsquo heralds a new world of discovery in the field of III-V epitaxial growth What is fashionably referred to as in vivo STM offers the potential to observe a medley of speculated transitions that until now have been glimpsed frozen in time It is potentially possible to observe in real time and on the atomic scale GaAs surface processes such as reconstruction transformations monolayer steps sweeping across the growth surface and for eg (001) orientation the structure evolution during heteroepitaxial InAs deposition through Stranski-Krastanow (S-K) wetting layer formation and quantum dot (QD) growth To this end an overview of the machine is given detailing the crucial composition of the MBSTM chamber Key aspects related to tip preparation temperature regulation and deposition inception are detailed providing a picture of the future of III-V epitaxial research 1 Introduction Integrating molecular beam epitaxy (MBE) and scanning tunnelling microscopy (STM) into a closed system [1] has been extensively used to investigate semiconductor surfaces So called in situ (or in vacuo) MBE-STM observations cannot be expected to reflect as-grown surfaces as a result of the unknown effects of rapid thermal quenching to room temperature necessary before imaging

Integrating an MBE growth module into an existing STM chamber (MBSTM) or similarly integrating an STM unit into an MBE chamber has recently been achieved for group IV [2] and III-V [3] semiconductors

Temperature control is crucial to MBE operation [4] similarly the condition of the STM tip is paramount to STM operation [5] These two fundamental issues are compounded by molecular source control issues and protection of the STM unit The culmination of these issues is discussed herein and hence the requirements for operation of an MBSTM system 2 Experimental The MBSTM chamber discussed in this paper is the Omicron VT SPM shown in Fig 1 The chambers require careful design to ensure elimination of contamination of the electronics due to deposition fluxes [6] Specifically shielding of the piezoelectric drive is paramount (Fig 2)

Further contamination of the STM tip adversely effects image quality Deposition onto the tip implies a portion of the sample is shaded by the tip which has a typical radius of curvature of tens of nm The presence of As deficient structures would strongly suggest such an occurrence [6] However the absence of such domains implies that the diffusion length of As is sufficiently large to compensate for the shading

472 F Bastiman et al

Fig 1 Image and schematic of MBSTM and components

Fig 2 STM head and piezo shielding

Sample heating is performed directly by passing a current through the sample Heating of any order generates thermal drift Samples must be left to stabilize for an hour at a given heating current in order to minimize drift Any residual drift can henceforth be corrected automatically via software correction tools

Group IV MBSTM work is more straightforward than III-V work as an overpressure of the group IV element is not necessary to stabilize the growth surface Hence the sheer density of atoms and molecules in the chamber for a given growth rate is higher for III-V MBE The ability to

Floating stage

Wobble Stick

Tip and piezoelectric drivers

Floating stage release

Cryo-lock tool

Transfer arm and flip stage

Sample Carouse

Triple e-beam source

Floating Stage

As flux In flux

Piezo-electric drive shielding

Sample Piezo-tip

Growth and in vivo STM of III-V Compound Semiconductors 473

resolve atomically during As-overpressure is severely limited [3 6] and has not been successfully attempted during growth 3 Source Control Data acquisition for an STM image has two key length scales A resolvable length scale of 10-10 m allows for reconstruction and alloying investigation where as 10-7 m suits island and step-terrace observations Typical acquisition times for such images are approximately 600 - 900 seconds though can be reduced depending on data collection calibrations and image quality Low growth rate MBE (0013 ML s-1 or 77 s ML-1) would clearly allow for only one tenth of an image per ML which can be extrapolated to almost half an image for the full 2DS-Kcoherent QD transition allowing 3 - 4 ML of growth

Thus far III-V imaging has involved growth then scanning using As to stabilize the surface for imaging [3 6-8] This has the clear disadvantage of a pseudo-post-growth anneal and cannot be report as-grown Thus the (counter-) effects of quenching are still unresolved Whilst growth at low temperatures (Ts = 350 degC) reduces annealing effects neither ultra low growth rates nor low temperatures accurately reflect most of the InAsGaAs growth conditions utilised in modern devices

Thus the areas of contention and investigation for InAs on GaAs are 1) growth and post-imaging at Ts = 400-520 degC 2) simultaneous growth and imaging with 100s interruptions 3) simultaneous growth and imaging at low growth rate and Ts and 4) modulation enhanced epitaxy

Fig 3 STM cell configurations a) binary InAs two (single sources) and

b) InxGa1-xAsGaAs (one single and one triple source)

For S-K transition observations under binary InAsGaAs the critical thickness of interest is between 14 and 18 ML for Ts = 350 to 520 degC respectively Clearly the inclusion of InxGa1-

xAsGaAs with x ~ 025 would delineate a greater critical thickness and hence ldquoslowrdquo the transition-wise experiment and allow more detailed observation

Two viable MBSTM configurations are shown in Fig 3 The single sources have a maximum crucible capacity of 075 cc for As and 06 cc for In where as the triple source only permits 028 cc crucibles Hence for In Ga and As deposition the single source would be utilised for As overpressure whereas two crucibles of the triple source contain Ga and a single crucible contains In Operation of the triple source is more complicated due to the required coalescence of three

Camera

STM Chamber

As source

In source

STM Chamber

Cam

era As source Triple source

a b

474 F Bastiman et al

separate fluxes and the triple-control shutter mechanism Though pragmatically this is the only available means to deposit ternary compounds 4 Tip Preparation and Maintenance Electro-chemically etch tungsten tips are routinely employed for STM investigations [9 10] The fabrication procedure is a multipart process consisting of etching (optional) post-etch ex situ processing in situ cleaning and maintenance

The etching process itself is a widely employed but often poorly understood process The concentration and type of solution etching voltage cut-off method and insertion depth and anode-cathode interaction all hold bearing on the final tip shape A lengthy discussion regarding optimisation is beyond the scope of this paper though with careful control of these parameters short sharp and symmetric tips with 1-5 nm radius of curvature can be generated at 80 success rate (Fig 4a)

The main problem with etching is that an oxide is always left on the surface as shown in the high resolution SEM image in Fig 4b FIB milling can both remove the oxide and be used to shape the apex of the tip (Fig 4c) [11 12] Though the time scale for a single tip generated by this step is extensive and ultra-sharpened tips are quickly damaged by forces inherent to STM operation Nevertheless these tips are essential for probing the shallow narrow surface trenches evident on GaAs(001)-2times4 surfaces

Fig 4 STM tip images a) post-etch light optical image and SEM images b) post-etch SEM

c) post-FIB-clean In situ cleaning typically involves either heating (long system baking or rapid e-beam heating) or ion bombardment to remove the oxide As a simplistic e-beam heating method of in situ heating is currently under development whereupon the sharpness of the tip depends solely on optimisation of the etching parameters

Once cleaned an STM tip has a finite lifetime even in the absence of tip crashes for operation in the presence of As4 causes build up on the tip apex Low deposition rates can reduce the frequency of cleaning Whilst the cleaning procedure itself involves scanning at relatively high

a b

c 1microm

1microm

01mm

Growth and in vivo STM of III-V Compound Semiconductors 475

current and voltages resulting in tip heating and field desorption [6] Without the ability for utilising this ad hoc cleaning method MBSTM would be a laborious task 5 Temperature Control Sample heating is performed by direct heating whereby a current is passed into the sample via a pair of contact bars (with one side electrically isolated from the plate) (Fig 5a) For lightly doped wafers a sample bias correction must be applied to compensate for the voltage drop across the sample

Temperatures have been monitored by placing a thermocouple in direct contact with the sample surface with the temperature verified by optical pyrometer (Fig 6) This technique is limited both by the quality of the thermocouple contact and the estimation of the GaAs emissivity which alters with temperature Temperatures nominally take an hour to stabilize and suffer from plusmn5 degC drift as observed by thermocouple measurement

Fig 5 Direct Heating plate a) exploded diagram and

b) RHEED compatible alternative sample mounting

Fig 6 Direct heating thermocouple response

Dashed line marks incipient glow power

Ta base plate

Contact Bars

Sample

Ceramic top-plate

Threaded Bars

a b

00 02 04 06 08 10 12 14 16100

200

300

400

500

600

100

200

300

400

500

600 ThermocouplePyrometer

Tem

pera

tue

(o C)

Current (A)

476 F Bastiman et al

Altering the direct heating plate to facilitate RHEED observations of the sample surface allows the reconstruction transition temperatures to be calibrated to known heating currents Namely c(4times4) to (2times4) at ~480 degC and (2times4) to (2times1) at ~580 degC for GaAs(001) allowing further verification of sample temperature However with the inability to utilise either thermocouples or RHEED in the MBSTM chamber there is currently no method to verify the temperature during growth nor the extent of thermal gradients across the sample This is an area of active research 6 Conclusion The operation of an MBSTM system capable of imaging during MBE growth has been described The ability for ad hoc tip decontamination allows cleaning to be carried out quickly and efficiently removing the necessity for laborious cleaning procedures during a growth run Temperature control has limited accuracy and reproducibility outside the precise reconstruction transition temperatures Whilst careful source operation is necessary to prevent damage to the STM unit initial results offer great promise in this exciting area of hybrid research The further development of MBSTM for investigation of III-V MBE growth is thus realisable Acknowledgements Thanks go to Y Peng F Stolze and R Frith for assistance with FIB tip fabrication temperature calibrations and general machine operation respectively References 1 Butz R and Wagner H 1990 J Elec Mat 19 1107 2 Voigtlander B and Zinner A 1993 Appl Phys Lett 63 3055 3 Tsukamoto S and Koguchi N 1999 J Crys Grow 202 118 4 Joyce B A 1985 Rep Prog Phys 48 1637 5 Kubby J A and Boland J J 1996 Surf Sci Rep 26 61 6 Tsukamoto S Bell G R and Arakawa Y 2006 Micro J 37 1498 7 Tsukamoto S Honma T Bell G R Ishii A and Arakawa Y 2006 Small 2 386 8 Tsukamoto S and Koguchi N 2000 J Crys Grow 209 258 9 Ravi T S Marcus R B Gmitter T Busta H H and Niccum J T 1990 Appl Phys Lett 57 1413 10 Oliva A I Romero A Pena J L Anguiano E and Aguilar M 1996 Rev Sci Ins 67 1917 11 Bryant P J Kim H S Zheng Y C and Yang R 1987 Rev Sci Ins 58 1115 12 Vasile M J Grigg D A Griffith J E Fitzgerald E A and Russell P E 1991 Rev Sci Ins 62

2167

Mapping Defects in Dielectrics with Dynamic Secondary Electron Contrast in the low Vacuum SEM Brad Thiel College of Nanoscale Science and Engineering University at Albany Albany NY USA Summary A model for the contrast arising from dynamic charging and discharging of a poorly conducting sample imaged in a low vacuum scanning electron microscope is presented The system is treated as a resistor-capacitor circuit with the flux of positive gaseous ions to the surface acting as a tuneable contribution to the time constant Contrast is shown to arise from local variations in the electron trap density associated with defects and impurities 1 Introduction In the early 1970rsquos Shaffner and van Veld showed that the charging behaviour of specimens imaged in a scanning electron microscope (SEM) can be modeled as a resistor-capacitor (RC) circuit [12] Every point in the imaged region is subject to a periodic impulse of charge when the beam sweeps past during an image frame cycle The residual implanted charge then decays via surface and bulk conduction to ground with an RC time constant which is determined by the local resistivity and permittivity Unfortunately such models are of limited use in high vacuum conditions as the time constants for most insulators are several orders of magnitude greater than the frame time causing charge to accumulate and ultimately degrade the image quality For conductors the time constants are infinitesimal and charging is not an issue

In low vacuum SEM positive gaseous ions mediate the electronic charging of insulating materials Because the ion flux to the surface acts as an additional pathway to ground for the excess electrons the operator effectively can tune the nominal RC time constant to make it comparable to the frame time In this case the specimen rapidly reaches a steady-state charge condition where the emission increases such that the additional charge implanted in each frame decays completely during the frame time Under those circumstances local variations in the capacitance andor resistivity (eg due to defects) result in different local values for the steady-state charge Since the secondary electron (SE) emission is linked to that value an SE contrast mechanism develops that reflects the local dielectric properties

We have constructed a model for the dynamic charging process which predicts contrast between two regions of a sample having different electronic properties as a function of instrument operating conditions including beam current scan rate magnification and ion generation rate By systematically varying such operating parameters it is possible to interrogate the nature of the defects giving rise to the contrast 2 Description of the Model The rate at which charge is deposited into a point on the sample by the rastering beam is given by the incident beam current Ib less the secondary and backscattered emission currents While the backscattered emission coefficient η is treated as a constant the secondary emission coefficient δ changes as a function of the charge state of the sample Accordingly the charge density input per frame σi is determined from the net current the frame rate F and the size of area being imaged A according to σi = Ib(1-η-δ)FA After the beam moves away from a given point the implanted charge density decays exponentially over time as described by σ(t) = σi(t)exp(-tτ) where time

478 Brad Thiel

constant τ is given by the product of the local permittivity and resistivity values ε and ρ [12] As electronic charge accumulates the surface potential shifts an amount according to the

stored charge and the capacitance of the sample The shift in surface potential alters the landing energy of the incident electrons which in turn determines δ according to the SE yield curve of the material A simple universal yield curve law δ = K(EL)-1725 is used to describe the SE emission as a function of the landing energy EL K is a material-dependent constant [3] Strictly this description does not apply for low vacuum imaging as the ion flux keeps the surface potential very near zero However we have demonstrated previously that increased emission due to charge implantation can still take place in the absence of a shift in surface potential The emission enhancement is attributed to the formation of sub-surface dipoles between the implanted charge and positive ions at the surface [4] The dipole field strength is a function of the local stored charge density and influences the energy deposition density of the incident electrons in a manner similar to changes in the surface potential

To determine the SE signal the imaged area is assumed to consist of 106 pixels resulting in a pixel dwell time td = F106 The SE signal current S is taken as the product of the beam current and the changing value of δ integrated over td The charging and discharging cycle is iterated ten times simulating ten imaging frames but always using the residual charge from the previous frame as the starting point Contrast C between two different points A and B (having different values for ε and ρ) is defined as C = (SB ndash SA)(SB + SA)

In our model the key parameter is the characteristic time constant τ Several factors influence τ analogous to there being a number of parallel pathways to ground These include bulk and surface conduction radiation induced conductivity and a term due to the presence of defects All of the foregoing contributions are common to high and low vacuum but in low vacuum there is an additional term coming from the positive ion flux to the surface As with RC circuits in parallel the individual components add in reciprocal leading to

ionsdefectsRICsurfacebulk ττττττ111111

++++=

The model predicts the stored charge and the SE emission as a function of imaging parameters

so contrast can be predicted by keeping those parameters constant and varying selected terms in the time constant equation In this fashion contrast from two regions with different dielectric properties can be predicted as a function of beam current frame time (scan rate) magnification and ion flux 3 Results and Discussion First to demonstrate the effect of the positive ions on contrast a hypothetical specimen was considered where two regions of the imaged area were assigned intrinsic SE emission coefficients of 04 and 05 Both regions had a resistivity of 1012 Ω-cm and a dielectric constant of 99 (these values are representative of Al2O3 a good insulator) For the imaging conditions a 1 nA beam current 100 mm frame width and a 1 second frame time was used In high vacuum the resistivity of the ion path was set to infinity making τ = 87 sec In low vacuum the ion resistivity path was set to 109 Ω-cm (still fairly resistive) making τ = 009 sec The model results are shown in Fig 1

In the high vacuum case contrast is observed in the initial frame due to the differences in the intrinsic SE emissivity However as the steady-state charging behaviour evolves the emissivity of both regions must approach unity and so contrast rapidly vanishes despite the increased emission That the emissivity approaches unity is reflected in the observation that the net charge increase in each successive frame approaches zero To accomplish this the two regions must have different amounts of charge stored at steady-state Conversely the low vacuum case very rapidly establishes steady-state conditions that give rise to a contrast of about 10 with less stored charge

Mapping Defects in Dielectrics with Dynamic SE Contrast in the low Vacuum SEM 479

Fig 1 Comparison of charging and contrast behaviour from a high intrinsic SE region (upper curve) and low intrinsic SE region (lower curve) in high (left) and low (right) vacuum conditions The contrast that is realized in each frame is indicated by a dot corresponding to the scale on the right-hand axis of each chart

Synthetically grown gibbsite (Al[OH]3) is well-known for exhibiting dynamic SE contrast in

low vacuum SEM with the contrast between impuritydefect-rich and impuritydefect-free regions changing dramatically as imaging conditions are varied [56] It is supposed that the sub-surface dipole field promotes hopping conductivity of excess electrons between trap sites Particles of gibbsite mounted in epoxy and polished were used to test the predictions of our model These specimens were imaged at 20 kV in an FEI Nova NanoSEM (field emission environmental SEM) using the Large View Detector In all cases the imaging gas was 133 Pa (1 torr) of water vapour Although ldquobest guessrdquo values were used for the material parameters the model nevertheless correctly predicts the trends in contrast as imaging parameters are changed A value of 20 was used for the dielectric constant while 1012 Ω-cm was used for the nominal resistivity giving a baseline value for τ = 17 sec One region was designated as defect-free while the other was given a resistivity of 5x1010 Ω-cm (τ = 08 seconds) to account for hopping conduction between trap sites in the presence of the dipole field The ion contribution to the baseline resistivity was 1011 Ω-cm giving the defect-free region an effective τ of 17 sec

0

005

01

015

02

025

01 1 10Frame time (sec)

Con

tras

t

Fig 2 (left) Images of gibbsite taken with frame rates of a) 028 b) 14 c) 56 and d) 29 sec per frame The field of view in each image is 150 microm (Ib = 068 nA) Fig 3 (right) Contrast as a function of frame time as predicted by the model

The most striking dynamic contrast effect exhibited by the gibbsite is the dependence on scan

rate (inverse of the frame rate) [5] An example of this contrast is shown in Fig 2 as the frame rate is varied from 028 to 29 seconds Optimal contrast is seen at 14 seconds midway between

a

c d

b

480 Brad Thiel

the two time constants chosen above for defect-free and defect-rich regions At shorter frame times less contrast develops because less charge is implanted per frame and the time is sufficient for charge decay Conversely at longer frame times more charge is implanted and the decay time is slower The model correctly predicts this behaviour as seen in Fig 3

The contrast from gibbsite also changes dramatically as a function of incident beam current as shown in Figs 4 and 5

000200400600801

01201401601802

001 01 1 10Beam Current (nA)

Cont

rast

Fig 4 (left) Images of gibbsite taken with beam currents of a) 0085 b) 034 c) 14 and d) 27 nanoamps The field of view in each image is 150 microm (frame rate = 14 sec per frame ) Fig 5 (right) Contrast as a function of beam current as predicted by the model

Furthermore the model predicts the correct trends for ion flux and magnification With

additional information on the nature of the defects in specific systems it may be possible to identify different kinds of defects and deduce their distributions by systematically varying operating conditions References 1 Van Veld R D and Shaffner T J 1971 Scanning Electron Microscopy ed Johari O M (ITT

Research Institute Chicago) p 17 2 Shaffner T J 1973 Scanning Electron Microscopy ed Johari O M (ITT Research Institute

Chicago) p 293 3 Joy D C and Joy C S 1998 Microsc Microanal 4 475 4 Toth M Phillips M R Craven J P Thiel B L and Donald A M 2002 J ApplPhys 91 4492 5 Griffin B J 2000 Scanning 22 2 6 The gibbsite specimen was provided courtesy of Dr Brendan Griffin

a b

c d

EBIC Characterization of Light Emitting Structures Containing InGaNGaN MQW E B Yakimov Institute of Microelectronics Technology RAS Chernogolovka 142432 Russia Summary Blue light emitting structures based on the InGaNGaN multiple quantum wells have been studied in the electron beam induced current (EBIC) mode It is shown that quantum wells noticeably decrease the excess carrier collection efficiency by enhancing the recombination inside the depletion region That allows one to monitor the active layer transparency for minority carriers and its lateral homogeneity by the EBIC investigations Two types of extended defects with the bright EBIC contrast locally increasing the barrier transparency are revealed 1 Introduction In spite of essential progress in the technology of multiple quantum well (MQW) InGaNGaN based light emitting diodes (LEDs) such problems as the high quantum efficiency in the structures with very high threading dislocation density the quantum efficiency decrease with increase of the injection current the dependence of tunnelling current and quantum efficiency on the defect structure ordering etc have not been totally solved yet To obtain additional information clarifying these problems the electron beam induced current (EBIC) method could be very promising This method allows the measurement of the excess carrier diffusion length in semiconductor structures to reveal electrically active extended defects and to estimate their recombination activity [1 2] Usually the spatial resolution of this method is not high enough to separate individual defects when their density exceeds 106-107cm-2 However as shown in [3 4] in GaN structures threading dislocations with a density exceeding 109cm-2 could be resolved in the EBIC mode and their recombination activity could be estimated Concerning diffusion length measurements it was shown in [5] that in the structures with the diffusion length in the submicron range the most reliable results could be obtained using the approach proposed in [6 7] which was based on the measurement of the collected current dependence on beam energy

In the present paper the EBIC investigations of blue light emitting structures based on InGaNGaN MQW have been carried out It is shown that measurements of the collected current dependence on beam energy in such structures allow one to estimate the diffusion length in the n-GaN layer the depth of p-n junction and the active layer transparency for minority carriers A bright EBIC contrast associated with extended defects is revealed This contrast is explained by the local increase of MQW layer transparency near threading extended defects 2 Experimental The investigated blue (450-470 nm) LED structures were similar to those studied in [8 9] and were grown by metal-organic vapour phase epitaxy on (0001) sapphire substrates They consisted of 3 microm thick n-GaN lower layer MQW InGaNGaN active region (as a rule consisting of 5 periods of 3 nm InGaN and 12 nm GaN) and a thin highly magnesium doped (Na ~ 2middot1020cm-3) p-GaN cap layer

For the EBIC and capacitance-voltage (C-V) measurements mesa structures with a diameter of 045 mm were prepared by Ar ion sputtering The EBIC measurements were carried out at zero bias in the normal geometry with e-beam perpendicular to the p-n junction plane In the GaN-

482 E B Yakimov

based structures the diffusion length is usually comparable with the depletion region width but smaller than the electron range As shown in [5] in this case the most reliable diffusion length values could be obtained by fitting the measured collection efficiency β dependence on primary electron energy Eb For this reason in the present study this approach was used for the characterization of

MQW LED structures As usual the collection efficiency was determined as η

βbb

ic

EIEI

= where Ic is

the collected current Ib is the beam current Ei is the average energy for electron-hole pair creation and η is the average beam energy absorption coefficient For GaN the iEη relation is close to

810-2 [5] Ic was obtained as int=infin

0dz)z(h)z(Ic ψ [2] where ψ(z) is the collection probability (the

probability for a minority carrier created at a depth z to be collected in the EBIC mode) and h(z) is

the depth-dose dependence calculated for GaN in [5] ])R

z(Aexp[R

)z(hBethBeth

21102073minusminus= where

the Bethe range RBeth(microm) = 00132middotEb(keV)175 and

sdotge

sdotlt=

Beth

Beth

RzRz

A110516110842

For GaN films

ψ(z) was obtained by a numerical solution of the drift-diffusion equation and for LED structures it was calculated as ψ(z) = ψ1(z-W)middotψDR where ψ1(z-W) is the probability for carriers to reach the depletion region W is the depth of the depletion region edge and ψDR is the probability to permeate through the depletion region By fitting the experimental β(Eb) dependence ψ1(z-W) was obtained by a numerical solution of the diffusion equation with ψ1(0) = 1 and ψDR was used as a fitting parameter describing the carrier recombination in the MQW layer

The C-V measurements were carried out at 1 MHz at room temperature These measurements were used for the estimations of quantum well location inside the depletion region and of the effective donor concentration in the active layer 3 Results and Discussion Typical measured (symbols) and simulated (solid lines) β(Eb) dependences for two different LED structures are presented in Fig 1 (1 and 2) For comparison similar dependences obtained on the GaN layer with Lh of 250 nm are also presented (3) As fitting parameters the values of average donor concentration Nd inside the depletion region p-n junction depth d and the minority carrier diffusion length in p- (Le) and n-regions (Lh) are used The acceptor concentration in p+-layer is assumed to be much higher than that of donors in n-layers that means that the most part of depletion region is located in the n-region The correctness of this assumption for the structures studied is confirmed by the C-V measurements

It should be noted that the rather large number of fitting parameters used decreases the precision of diffusion length estimations because in the most studied GaN and LED structures the values of the depletion region width and diffusion length are comparable Therefore both parameters affect the β(Eb) dependence Thus if the EBIC data only are used the experimental β(Eb) dependence for the GaN film presented in Fig 1 could be fitted with Lh varied in the range from 230 to 260 nm Nd being varied from 2 to 3sdot1016cm-3 respectively For LED structures the fitting procedure gives Lh

5 10 15 20 25 30 35 40001

01

1

3

2

1

β

Eb keV

Fig 1 Measured (symbols) and simulated (lines) β(Eb) dependences for LEDs with different p+-layer thickness (1 2) and for GaN film (3)

EBIC Characterization of Light Emitting Structures Containing InGaNGaN MQW 483

values varying in the range from 20 (Nd= 1017cm-3) to 70 nm (Nd= 5sdot1017cm-3) and from 90 (Nd= 5sdot1016cm-3) to 170 nm (Nd= 2sdot1017cm-3) for the structures 1 and 2 respectively The larger is the Lh value the smaller is the Nd effect on its estimation especially at large enough Nd values Therefore for the reliable Lh estimations by this method Nd should be obtained by complementary methods for example from the C-V measurements Fitting the experimental dependences with Nd values obtained from the C-V measurements gives the diffusion length Lh of 60 and 140 nm for the LED structures 1 and 2 shown in Fig 1 respectively The p-n junction depth d could be estimated with a precision of about 10 nm and it is equal to 100 and 240 nm for the structures 1 and 2 respectively In the p+-layer the effective Le value only could be obtained by fitting because at small Eb the collection efficiency depends on both Le and the surface recombination velocity A rather small p+-layer thickness does not allow us to separate their effects

Besides as seen in Fig 1 the collection efficiency measured in the LED structures is essentially lower than that in the GaN film This could be easily explained taking into account that in spite of rather small diffusion length ψDR in the GaN Schottky barriers is usually larger than 095 However in the MQW structures the excess carriers are captured into quantum wells and could be collected only if they overcome the barriers between the wells by thermal activation or by tunneling that leads to an essential ψDR decrease Thus for the LED structures 1 and 2 ψDR is equal to 04 and 055 respectively As shown in [8] by a comparison of β(Eb) dependences with the corresponding C-V curves the ψDR value is mainly determined by the position of quantum wells inside the depletion region and if they are located deep in the depletion region their effect on the minority carrier recombination inside the depletion region is negligible and ψDR approaches 1 In the structures in which at least a few quantum wells are located close to the depletion region edge or even outside the depletion region ψDR could be as low as 025 ie 75 of excess carriers recombine in quantum wells The dependence of ψDR on the LED defect structure could be also revealed although it is not so pronounced

For more careful investigations of extended defect behavior in the MQW structures the plan-view EBIC micrographs are analyzed In the LED structures with ψDR close to 1 the usual black dot contrast associated with threading dislocations similar to that observed in the GaN layers [3 4] is

observed However in the structures with ψDR lt 1 some defects with a high (20-50) bright EBIC contrast are revealed (Fig 2 left image) Density of these defects is of about 105cm-2 and a width of their image could exceed 1 microm Additionally in some structures a large density (108-109cm-2) of defects with a bright contrast of about 1-3 is observed (Fig 2 right image) The width of their image is as small as 100-200 nm when Eb= 35 keV is used The density of these defects and the dependence of their image width on Eb are similar to those of dark threading dislocation contrast in GaN [3 4] that allows the association of the defects with the weak bright contrast also with threading

Fig 2 EBIC images of two types of bright defects in the LED structures

484 E B Yakimov

dislocations The defects with the stronger bright contrast could be associated with bunches of dislocations or with micropipes

It is well known that extended defects could produce the bright contrast in the EBIC mode due to recombination center gettering [10] or due to an enhanced minority carrier transport near charged defects [11 12] Fitting the β(Eb) dependence in the region close to the large bright defects showed (Fig 3) that in this region only ψDR increases and other parameters are practically the same as those far from the defects That means that the corresponding defects affect mainly the active layer transparence for minority carriers ie the recombination inside the depletion region Thus the defects with the bright EBIC contrast in the LED structures increase the probability for minority carriers to pass the active layer containing MQW Such a probability increase could be determined by a local change of barrier

height andor thickness that leads to an increase of tunneling probability near the threading defects The bright EBIC contrast was found [9] to decrease logarithmically with an increase in beam current this could mean that the corresponding defects were charged and that their charge decreased with increasing beam current

Thus it is shown that the EBIC measurements allow one to monitor the excess carrier recombination efficiency inside the MQW structures The channels for enhanced minority carrier transport across the MQW structure associated with threading extended defects have been revealed Formation of such channels could be a reason for the tunneling current dependence in the III-nitride LEDs on their defect structure ordering [13] Acknowledgements The author would like to thank Dr NM Shmidt for helpful discussions and assistance in the sample preparation and PS Vergeles for help with the EBIC measurements References 1 Leamy H J 1982 J Appl Phys 53 R51 2 Yakimov E B 1992 Bul Russian Acad Sci 56 312 3 Shmidt N M Soltanovich O A Usikov A S Yakimov E B and Zavarin E E 2002 J Phys

Condens Matter 14 13285 4 Shmidt N M Sirotkin V V Usikov A S Yakimov E B and Zavarin E E 2003 Inst Phys Conf

Ser No 180 eds A G Cullis and P A Midgley p 597 5 Yakimov E B Borisov S S and Zaitsev S I 2007 Semiconductors 41 411 6 Wu C J and Wittry D B 1978 J Appl Phys 49 2827 7 Chi J Y and Gatos H C 1979 J Appl Phys 50 3433 8 Shmidt N M Vergeles P S and Yakimov E B 2007 Fiz Tekhn Poluprov 41 501 9 Belrsquonik S A Vergeles P S Shmidt N M and Yakimov E B 2007 to be published in J Surf

Investigation 1 10 Yakimov E B 2005 Bull Mater Sci 28 367 11 Alexander H Dietrich S Huumlhne M et al 1990 Phys Stat Sol (a) 117 417 12 Eremenko V G and Yakimov E B 2004 Eur Phys J Appl Phys 27 349 13 Greshnov A A Chernyakov A E et al 2007 Phys Stat Sol (c) 4 to be published

0 5 10 15 20 25 30 35 40001

01

1

2

1

β

Eb keV

Fig 3 Measured (symbols) and simulated (lines) β(Eb) dependences near the bright defect (1) and far from it (2)

EBIC Characterisation of Diffusion and Recombination of Minority Carriers in GaN-Based LEDs G Moldovan V K S Ong1 O Kurniawan1 P Kazemian P R Edwards2 and CJ Humphreys Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK 1 School of Electrical and Electronic Engineering Nanyang Technological University Block S2

Nanyang Avenue 639798 Singapore 2 SUPA Department of Physics University of Strathclyde John Anderson Building 107

Rottenrow Glasgow G4 0NG UK Summary As light emitting diodes are minority charge carrier devices characterisation of diffusion and recombination of minority carriers in the various layers that compose such devices is essential One of the best methods that can provide information on diffusion and recombination of minority carriers in semiconductor devices with high spatial resolution is cross-sectional electron beam induced current (EBIC) characterisation It will be shown that dark defects can be observed in cross-sectional EBIC images and that correlation with cathodoluminescence maps them as threading dislocations A narrower depletion width and a longer minority carrier diffusion length are found at dislocation sites in p GaN 1 Introduction GaN-based light emitting diodes (LEDs) are set to play a central role in the development of solid state lighting large panel displays and full-colour indicators Present limitations in the efficiency and lifetime of these devices are given by their high density of threading dislocations and point defects Whilst great advances have been obtained in reducing this defect density more detailed investigations are needed to understand the relationship between point defects dislocations and diffusion of charge carriers Electron beam induced current (EBIC) characterisation can provide details of the diffusion of minority charge carriers with high spatial resolution supply direct evidence on recombination activity of extended defects and quantify the charge accumulated at dislocation cores Whilst EBIC has been applied extensively to Si-based devices [1] application to GaN-based devices has been impeded by difficulties in sample preparation and challenging demands on electron optics 2 Experimental Results A Thomas Swan MOCVD reactor was used to grow the LED structure on a 430microm thick c-plane sapphire substrate (Fig 1a) A 1microm thick layer of un-doped GaN was deposited first followed by a 19microm thick layer of Si-doped GaN with a carrier concentration of 2-3 1018cm-3 The multi-quantum well (MQW) structure was then deposited consisting of a succession of five 3 nm thick un-doped InGaN wells with an indium concentration of 16 interleaved with 18nm thick un-doped GaN barriers with a nominal carrier concentration of 1-2 1017cm-3 A final 173nm thick epilayer of Mg-doped GaN was deposited on top This structure was then annealed in situ at 800ordmC for 30min to activate the Mg-doped GaN producing a nominal carrier concentration of 1-2 1017cm-3 A density of threading dislocations of mid-108cm-2 is expected The wafer was processed into dies and packaged

now at Department of Materials University of Oxford Parks Road Oxford OX1 3PH UK

486 G Moldovan et al

commercially showing a luminous intensity of 18cd with a peak emission at 458nm and a forward voltage of 385V at 30mA forward bias

A die was mechanically polished from one side to a mirror finish using a succession of SiC and diamond films and then loaded into an IV4 Technoorg Ar+ ion miller A number of milling steps were used gradually reducing the accelerating voltage from 2kV to 200V The resulting surface was investigated with a Dimension atomic force microscope (AFM) and its current-voltage characteristics measured with a Keithley 2400 source-meter The die was then loaded into a XL30s SEM and imaged using a 1keV electron beam The current induced by the electron beam was mapped using the XL30s amplifier and calibrated with a Keithley 2400 source-meter The accelerating voltage was then raised to 4kV to record secondary electron (SE) images with improved signalnoise ratio The die was then loaded into a Cameca SX100 EPMA equipped with a Oriel MS125 spectrograph and an Andor Peltier-cooled CCD and cathodoluminescence (CL) images were obtained from the same area using an accelerating voltage of 7kV 3 Results and Discussion

AFM and electrical characterisation show a very flat cross-sectional surface of a relatively high equivalent resistance of 4 MΩmm This demonstrates that minimum damage has been induced at the cross-sectional surface and gives confidence that volume of material probed with the electron beam is representative of bulk GaN Monte-Carlo simulations of the interaction of a 6nm wide 1keV e-beam and GaN show that 95 of the energy is lost in a 22nm deep 22nm wide

Fig 1 a) diagram of the nominal structure of the device under investigation b) cross-sectional electron beam induced current image obtained at an accelerating voltage of 1kV

Fig 2 Cross-sectional a) secondary electron and b) 440-480nm integrated spectral cathodo-luminescence images obtained at accelerating voltages of 4kV and 7kV respectively

(a) (b)

(a) (b)

contact

p-GaN

MQW

n-GaN 100nm

Au bond

p-GaN

MQW

n-GaN

contact

p-GaN

MQW

n-GaN

NiAu

100nm 500nm

Diffusion and Recombination of Minority Carriers in GaN-based LEDs 487

interaction volume Given this reduced generation volume EBIC maps at 1kV were recorded first for the purpose of quantification (Fig 1b) A bright band with exponentially decaying edges is observed in this image corresponding to the depletion region in this device Areas of reduced induced current with a density of mid-108cm-2 can be observed indicating increased local recombination activity SE images from the same locations (Fig 2a) show grey n-GaN and MQW layers followed by brighter p-GaN darker NiAu contacting layer and bright Au wire bond Correlation is observed between the areas of increased recombination activity in EBIC maps and apparent extensions of p-GaN into the n-GaN It is considered that these apparent extensions indicate the presence of additional local electric fields Integrated 440-480nm CL spectral images taken from the same area reveal a very strong blue emission originating from the MQW region with varying intensity along the device (Fig 2b) Areas of reduced emission again with a density of mid-108cm-2 are observed and are attributed to the presence of dislocations The apparent extension of p-GaN identified in the SE image is correlated with an area of reduced emission in the CL image These observations correlate the areas of reduced EBIC signal with the presence of threading dislocations

Quantification of the variations in EBIC signal along the device is pursued using a one-dimensional approximation (Fig 3a) The maximum induced current holds information about the local recombination activity whilst the position of peak EBIC signal locates the p-n junction as for this case p-GaN and MQW have identical levels of doping The edges of the depletion region (Fig 3b) are

Fig 3 a) Variation in induced current across the junction showing the main points of interest b) junction position and edges of the depletion region obtained from (a)

Fig 4 Minority carrier diffusion lengths in a) p-GaN and b) MQW region obtained from Fig 1b

(a) (b)

(a) (b)

488 G Moldovan et al

found using a methodology based on the first derivative of the logarithmic EBIC profile [2] A dislocation contrast of 069 can be measured but the charge accumulated at the dislocation core cannot be obtained because the basic grey approximation does not apply for this case [3]

Significant roughening of the p-n junction is also observed along the sample suggesting a non-uniform distribution of Mg dopant atoms into the top barrier and perhaps non-uniform formation of nitrogen vacancies in p-GaN It appears that this diffusion process is not conditioned by the presence of extended defects The amount of diffusion measured here is in very good agreement with a SIMS study of Mg segregation and diffusion along the c-axis in GaN-based LEDs [4] Away from dislocation sites an average depletion width of 140nm is found compared with the expected value of 206nm This could indicate that the doping concentration in p GaN is slightly higher than the nominal value A much shorter average depletion width of about 80nm is obtained at the dislocation site perhaps correlated with the much increased local density of trapping centres This change occurs within a radius of ~175nm from the dislocation core

Minority carrier diffusion lengths were obtained from best linear fit in a semi-logarithmic EBIC plot [5] For the case of electrons in p GaN an average diffusion length of 23nm is found away from the dislocation site with a peak value of 37nm at the dislocation site (Fig 4a) This is understood in terms of gettering of point defects at the dislocation core producing a cylinder of material around the dislocation of reduced point defect This appears to alter the properties of charge carriers within a radius of ~150nm from the dislocation core Accurate determination of depletion edges allows for the first time a precise determination of the diffusion length of holes in the MQW region (Fig 4b) revealing an average value of 32nm with no clear relationship with dislocations An average diffusion length of 26nm is found for the case of holes in the n-GaN layer away from dislocation sites Insufficient signal was obtained to measure the diffusion length of holes in n-GaN at dislocation sites Only reduced surface recombination velocity coefficients were found indicating limited electrical activity at the surface 4 Conclusion It has been found that cross-sectional specimens with very good surface morphology and very reduced surface recombination can be obtained using low-angle low-voltage Ar+ ion milling This enables EBIC characterisation to be carried out with high spatial resolution allowing accurate measurement of the diffusion of dopants across the p-n junction and variations in depletion width along the sectioned device Areas of reduced induced current were found and correlation with secondary electron and cathodoluminescence images identifies them as threading dislocations A narrower depletion region was found at a dislocation site suggesting an increased density of occupied traps Longer diffusion lengths were found for electrons at a dislocation site in p-GaN suggesting gettering of point defects at the dislocation core Acknowledgements This work was supported under LINK programme GRS28150P01 We thank Thomas Swan Scientific Equipment Ltd for supplying the wafer and Forge Europa Ltd for processing and packaging the LEDs Automated analysis was developed using ImageJ image processing software References 1 Fell T S Wilshaw P R and De Coteau M D 1993 Phys Stat Solidi (a) 138 2 2 Ong V K S Hurniawan O Moldovan G and Humphreys C J 2006 J Appl Phys 100 114501 3 Kittler M and Seifert W 1996 Mater Sci Eng B 42 8 4 Koumlhler K Stephan T Perona A Weigert J Maier M Kunzer M and Wagner J 2005 J Appl

Phys 97 104914 5 Ong V K S Phang J C H and Chan D S H 1994 Solid-State Electron 37 1

A Parametric Study of a Diode-Resistor Contrast Model for SEM-REBIC of Electroceramics A G Wojcik and L E Wojcik1 Faculty of Engineering University College London Torrington Place London WC1E 7JE UK 1 Matelect Ltd 7 Park Place Newdigate Road Harefield Uxbridge UB9 6EJ UK Summary The observation of terrace contrast in REBIC studies of polycrystalline electroceramics such as ZnO has been reported previously and a theoretical model postulated for its formation This developed from a simple model containing purely resistive elements to one comprising both resistive and non-linear diodic ones Presented here are the results of theoretical and experimental work centred around this latter model A parametric study has been performed to examine the sensitivity of the predicted contrast to the relative magnitudes of the models resistor and diode elements By varying the values of these the overall nature of the contrast response was shown to alter significantly as well as the superimposed and finer local terrace contrast The model delivered lsquolinescansrsquo representing the contrast responses obtained The model also showed that the linescans were sensitive to the injected beam current level These findings suggested a route that could eventually allow the extraction of local graingrain boundary characteristics including breakdown behaviour from global REBIC contrast data 1 Introduction Remote contact electron beam induced current methods used during the scanning electron microscope characterisation (SEM-REBIC) of electrical ceramics have been employed in the past to produce both quantitative data and qualitative images of electrical activity [1] The REBIC technique first described by Matre and Laakso [2] and later named [3] is ideal for the characterisation of semi-insulating (rather than semi-conducting) materials REBIC methods require no bias and rely on measuring currents created by carrier drift to collection electrodes

REBIC has been successfully used on electrical ceramics such as ZnO The remote nature of the contacts invariably means that the parameters measured reflect global (ie integrated) rather than localized phenomenon although as previous work has highlighted [45] localized effects are sometimes observed particularly if a degree of contrast due to lsquotruersquo induced current is present (as opposed to that due to injected current) Furthermore once a greater understanding of the factors affecting observed contrast have been determined it should be possible through REBIC studies to characterize the quality of electrical ceramics such as ZnO and to do so on a localized microstructural level in addition to globally Here we present the results of further work aimed at enhancing the understanding of how variations in the properties of ZnO on a local level affect image contrast and also discuss the sensitivity of such contrast to experimental conditions 2 Theory and Discussion In semi-insulators such as ZnO the image contrast is dependent upon the resistive nature of the speci-men less any loss of beam current through electron backscattering and secondary emission Materials that lie in between certain overall resistivity limits will generate measurable contrast the nature of which will depend upon the relative resistances of the paths through the specimen taken by the injected current In early work by the authors REBIC measurements on ZnO varistor material [1] clearly showed contrast terraces the number and extent of which appeared to correlate well with the IV characteristics

490 A G Wojcik and L E Wojcik

and hence the quality of the varistor material In the field of view terraces sometimes appeared as multiple sets of linearly graded levels of grey (running from white to black) A one dimensional model to explain this contrast was postulated [4] using a string of resistive elements to represent hypothetical grain boundary and bulk resistances The shape of the resultant (modelled) linescans resembled those experimentally obtained Dramatic non linearities in contrast sometimes generating a single terrace (ie one black to white transition in the centre of the field of view) were also observed and prompted a modification of the resistive model [5] to include ldquodiodicrdquo components Such contrast was reliably associated with ldquogoodrdquo varistor material with a global non linearity in conduction

If no or minimal charge amplification occurs in a homogeneous material when an incident electron beam is absorbed REBIC contrast for a purely resistive case is simple to model The specimen would be expected to generate a smooth image contrast that runs linearly between bright (large measured current) and dark (small measured current) corresponding to when the beam is close to the input of the current amplifier and when it is close to the earthed contact on the specimen (Fig1 AampB respectively) If the specimen contains discontinuities in spatial resistivity (for example due to grain boundaries) or if charge traps or charge separation occurs then the REBIC contrast will reveal superimposed local variations upon the global linear response

Specimen Specimen

A) B)

I y B = I I y = 0

Fig 1 Schematic of conditions at the extremes of electron beam travel where Iy = REBIC current and IB = incident beam current

The corresponding charge collection and electronic analogues for a system made up of alternating

resistive elements (eg representing grain boundaries and bulk crystals) are reproduced below in Fig 2 In the model Iy represents the measured current Ix is the current in the connection directly flowing to earth IB is the injected or ldquobeamrdquo current and the overall specimen resistance is RT The voltage at the impingement point is VT and VS and VD are the supply potentials

V

A

V D

I X I Y

I B V T

S

R R 1 2

R 1 Beam at 1 1 2 3

mR 1 mR 2

Beam positions

Beam

Fig 2 Electrical analogues of Fig 1 for a hypothetical ceramic [4]

If RY and RX are the resistances from the point of impingement of the beam to the left and right

connector pads respectively and it is assumed that these values are built up from alternating resistive elements R1 and R2 then a series of equations (tabulated in [4]) were derived which could be expanded to yield the REBIC current with distance between the contact pads Linescan traces could thus be modelled By ascribing real values to the hypothetical elements and by performing measurements with real resistors this model was shown to be valid (Fig 3) The individual step gradients present in the simulated line-scans were a function of the resistance of the elements in the resistor chains and could be varied by varying the values of R1 and R2 This raised the possibility of determining grain boundary and bulk resistances in specimens by measurement of the gradients from REBIC line-scan traces

A Parametric Study of a Diode-Resistor Contrast Model for SEM-REBIC of Electroceramics 491

It was noted in the present parametric study however that both the gradients existing within one R1 and R2 pair alter even if only R1 (or R2) is varied thus making direct measurement from linescans complicated It is clear therefore that the gradients of individual elements cannot be directly related to their resistance without reference to the gradient of adjoining elements

The present study shows however that the resistance data required can be extracted from a linescan by first determining the ratio of the gradients within the terrace pair Also from a knowledge of the width of a terrace one should also be able to derive the actual resistivity of the aforementioned element if some basic assumptions are made Consider Fig 4a which represents the detail of a resistorterrace pair taken from a modelled linescan

Fig 4 a) Detail from a pair of adjacent terraces on a hypothetical linescan and b) detail for the more representative case where feature widths and resistivities are different

The shallow gradient pertains to the lower of the two resistances in a chain The ldquoline of uniform

resistancerdquo will always run from zero to IB The stepped response touches this line (and is ldquofixedrdquo to it) only at each alternate resistor node As the value R2 (or R1) changes point A (in essence a ldquofreerdquo node) will move towards the uniform line until both gradients lie along it Here R2 must equal R1 and the ratio of the gradients is unity The question remains however as to the exact locus of point A when the resistances are not the same If we set R1 = R22 then from Fig 4a the gradient ascribed to R1 = xL and that for R2 = yL where L = the ldquofeaturerdquo width The ratio of the gradients is then xy By comparison with the tabulated equations cited earlier and experimental data from resistor chains the ratio of the gradients was confirmed to be equivalent to that of the resistances

If the overall resistance of the chain is measured the absolute resistance values of the elements which generate the terrace contrast can be determined The algebra is straightforward and only requires that the total number of terraces be counted from the linescan and that the major assumption (that the system consists of only two uniform resistance elements) holds The resistance of the chain can be regarded as equivalent to the global specimen resistance (ie that between the contact pads however see below for a possible error) whilst the individual resistances represent those of the grains and grain boundaries

To deal with differences in feature width given that the terraces are likely to be much wider than the boundary area between them it is necessary for the model to consider resistivities rather than resistances Importantly if resistances of two adjacent elements are the same (irrespective of their resistivities or widths) then their linescan gradients must also be the same and these must lie along the ldquoline of uniform resistancerdquo given that their end nodes are fixed to this line Thus for the general case shown in Fig 4b if L2 = L12 then from the equation that defines resistivity

002040608

112

0 10 20 30Resistor position

Mea

sure

d cu

rren

t (u

A)

Fig 3 Trace obtained for case where R1 = 100 Ohms R2= 1 k Ohm amp IB = 100 microA

y

x

L L

R2 R1

a)

y

x

R2 R1

b) L1 L2

ρ1 ρ2 Line of uniform resistance

Node A

492 A G Wojcik and L E Wojcik

R1 = ρ1L1A and R2 = ρ2L2A but R1 = R2 hence ρ1L1 = ρ2L2 and thus ρ1 = ρ22 which is as expected given that ρ1 must be half the value of ρ2 if the same resistance is to result over twice the length Extending this to the more representative case where R1 ne R2 and L1 ne L2 (Fig 4b) then if we assume R1 = kR2 then by substitution in the above kR2 = ρ1L1kA

Fig 5 Hypothetical strip of resistive elements of finite area If a strip of resistors is considered (Fig 5) containing m resistors of value R1 and n resistors of R2 then it is a simple matter to show that the total strip resistance RT is given by RT = m(ρ1L1A) + n(ρ1L1Ak) Given that RT A L1 L2 and k can be obtained from direct measurement on a specimen (and the associated REBIC linescan) then ρ1 can be calculated and hence ρ2

In practice if we consider bulk material then the global resistance is that of a very much greater body of material ndash namely that which extends below and alongside the strip These adjacent strips will provide alternative current paths and the overall contrast is affected by the diffusion of the injected current into these An examination of this suggests that the assumption that the global specimen resistance is fully represented by a chain resistance is not correct Indeed it is more likely that the value of area A used in the previous analysis is better represented by the excitation volume of the incident electron beam and that the terrace contrast may be dependent upon the accelerating voltage employed

Mention is made here of experimental work performed on diode and dioderesistor chains which more closely approximated the conditions within ZnO [5] and which was also strongly suggestive of a parameter dependency (in this case incident beam current) A diode chain is more difficult to model given that the transfer function (IV characteristic) for a diode is highly non linear This has the effect of fundamentally altering the equations that govern the resistive chain model given that the currents in each arm of the model are controlled by the terminal voltage VT and this is in turn controlled by the values of the armrsquos resistances (which alter with VT) The solution to the constituent equations must therefore be iterative It is predicted that the linescan profile will contain at least two distinct regimes Initially when VT is large enough to force at least some of the diodes into conduction the response will be sharply delineated between black and white contrast then as the beam current rises (and all the diodes are forced into conduction) the response will be more like that of a chain of resistors (ie linear) although it is difficult to say whether an electron beam can provide sufficient current to achieve this Furthermore at very low injection currents one can speculate whether the value of VT will be so low as to prevent any diode in the chain from conducting and hence whether a third contrast regime occurs Such effects are best modelled first and then checked experimentally Work is on-going in this area References 1 Wojcik A G and Mason L E 2001 Inst Phys Conf Ser 169 579-582 2 Matare H F and Laakso C W 1969 J App Phys 40 476 3 Bubulac L O and Tennant W E 1988 Appl Phys Lett 52 1255 4 Wojcik A G and Wojcik L E 2003 Inst Phys Conf Ser 180 589-592 5 Wojcik A G and Wojcik L E 2005 Microscopy of Semiconducting Materials 107 519-522

R1R2

R1

L1 L2

Area A

injected current

ρ1 ρ2

Author Index Acciarri M 305 Aden P 203 Alexandre L 415 Alexandrou I 203 Alfonso C 415 Anderson T 37 Andreev A 13 Andrieu F 419 Arbiol J 273 Argyropoulos K 119 Armani N 453 Arvanitidis J 41 Asayama K 329 Ashfold M N R 127 Ashley T 153 Assayag G Ben 321 Auvray L 431 Bai J 21 33 Baranov A N 251 Barbeacute J C 419 Barber Z H 153 Barnard J S 3 85 Baroacute M D 309 Baron T 217 Barthel J 133 Bastiman F 471 Basu J 237 Beacutecheacute A 419 Becker J 321 Beleggia M 383 Bender H 375 387 411 Benedetti A 411 Bertin F 399 Beyer Y S 85 Biance A L 431 Bimberg D 255 Binetti S 305 Bleloch A 269 Bogumilowicz Y 149 Bohils F 309 Bonafos C 321 Bonanni A 77 Bongiorno C 291 Boninelli S 291 Borghs G 61 Borot G 349 Bourhis E 431 Bove P 53 Branford W R 153 Breil N 337

Brown P D 103 Bruchhaus L 431 Buckle L 153 Buckle P 153 Bullough T J 221 Cacho F 423 Cadby A 449 Calestani D 241 Califano M 269 Campbell S A 333 Campion R P 103 Canino A 291 Capizzi M 453 Carbone L 181 Cardoso F 309 Carlino E 165 173 177 181 Carter C B 237 285 333 Carter R 213 Castell O 309 Cavalcoli D 301 305 Cavallini A 301 305 Cerezo A 161 Chabli A 399 Chakk Y 403 Chalker P R 221 Chang M-N 467 Charaiuml A 415 Chee K W A 407 Chen G 277 Chen G Y 281 Cherns D 127 Cherns P D 25 Chegraveze C 221 225 Choi H W 81 Chou T-H 467 Chrastina D 301 305 Ciasca G 173 Claverie A 149 Clegg W J 73 Cleacutement L 349 423 Clifton P H 161 Clowes S K 153 Cohen L F 153 Connolly L G 449 Cooper D 391 399 441 Cox D C 277 281 Craven A J 313 317 325 Cullis A G 21 33 259 263 269 449 471 Czerwinski A 337 Danilov Yu A 123

494 Author Index

Daudin B 13 Dawi A M 449 Dawson P 3 De Seta M 173 De Gendt D 313 De Gendt S 325 Degroote S 61 Deguffroy N 251 Delaportas D 203 Delimitis A 41 65 Demidov E S 123 den Hertog M I 217 Denisov D V 115 Deppert K 229 Dhalluin F 217 di Forte Poisson M A 57 Di Stefano G 291 Dieker Ch 119 Dimakis E 41 Dimitrakis P 321 Dimitrakopulos G P 65 225 Dimoulas A 119 Divakar R 237 Dłużewski P 233 Dobos L 53 57 Docherty F T 325 Doherty R P 127 Dubois E 337 Dunin-Borkowski R E 379 391 441 445 Dutartre D 349 Edmonds K W 103 Edwards P R 485 Engelmann H J 345 Estradeacute S 273 Eustace D A 153 Eymery J 419 Fallica G 291 Fay M W 103 Fazzini P F 383 Felici M 453 Felisari L 181 Ferrari C 173 Ferret P 217 Ferro G 353 Flahaut E 213 Fompeyrine J 119 Fox A M 449 Foxon T 103 Franciosi A 453 Franzograve G 291 Gallagher B L 103 Galtrey M J 3 161

Gass M 221 269 Geelhaar L 221 225 Gentile P 217 Georgakilas A 41 65 Gierak J 431 Gilbertson A M 153 Giusca C 213 Goumlsele U 115 Graham D M 3 Green M 471 Grillo V 165 173 177 181 Grosjean C 415 Gruumltzmacher D 111 Guiller A 119 Han Y 103 Haq S 203 Harding J 213 Hartmann J-M 149 Hashikawa N 329 Haumlusler I 255 Hawkes P 431 Heard P 127 Hirayama T 395 Holland M 317 Hommel D 17 Hopkinson M 259 263 471 Houben L 133 Huumle F 149 Hui K N 81 Humphreys C J 3 25 61 161 407

463 485 Hutchison J L 213 Hyumltch M J 149 Iacona F 291 Ikonic Z 269 Iliopoulos E 65 Ioannou-Sougleridis V 321 Irrera A 291 Irsen S 259 Isella G 301 305 Janik E 233 Jede R 431 Jia C L 133 Jin C Y 263 Johansson J 229 Jurczak G 415 Kalio A 375 387 Kappers M J 3 25 29 69 161 463 Karakostas Th 41 65 225 Karczewski G 233 Karlsson L S 229 Kątcki J 337

495 Author Index

Katsikini M 41 Kawakami M 329 Kazemian P 485 Kehagias Th 65 225 Kelsall R W 269 Ketteniss N 69 Kioseoglou J 65 Kirkland A I 213 Kirmse H 233 255 Komninou Ph 41 65 225 Kret S 233 Kroumlger R 17 49 Kryliouk O 37 Kunert B 107 Kups T 353 Kurniawan O 485 Lafosse X 431 Lahregraveche H 53 Lai P T 81 Laister A 345 Lamoen D 189 195 Lancin M 157 305 Langer F 57 Langer R 53 Lari L 221 Larrieu G 337 Łaszcz A 337 Laugier F 399 Lazzarini L 241 453 Le Donne A 301 305 Lee K B 33 Lentzen M 133 Lesnikov V P 123 Leung C H 81 Lever L 269 Li T 77 Liang J-H 467 Lidzey D G 449 Lieten R R 61 Liew S L 259 Liliental-Weber Z 37 Lin G 213 Lindan P J D 213 Liu H Y 263 Liu Z 213 Locquet J-P 119 Longo P 317 Luna E 99 MacKenzie M 313 325 Madouri A 431 Malm J-O 229 Mangum J 37 Manna L 181

Mardinly J 361 Martelli F 453 Maringrtensson T 229 Matsuda T 395 Maximov G A 123 McAleese C 25 61 69 McComb D W 153 313 325 McFadzean S 313 325 McGilvery C M 313 325 McLaughlin K K 73 Mermoux M 419 Meyer R R 213 Midgley P A 379 391 441 449 Miritello M 291 Mogilatenko A 45 Moldovan G 485 Monroy E 13 Montoacuten H 309 Mori H 329 Mouti A 321 Muckle C 203 Muumlller E 111 Muumlller K 17 Murray R T 221 Mussler G 111 Navarro-Quezada A 77 Neacutemeth I 107 Neumann W 45 233 255 Ng W N 81 Nikolitchev D E 123 Normand P 321 Nouet G 41 Novikov S V 103 Nowak J Deneen 285 333 Oliver R A 3 29 69 161 463 Ong V K S 485 Ootomo S 395 Palazzari P 177 Paloura E C 41 Panayiotatos Y 119 Pantel R 349 423 Parbrook P J 21 33 Park H J 37 Paskova T 49 Patriarche G 431 Paul D J 269 Pavelescu E-M 99 Peacutecz B 53 57 Peiroacute F 273 Pessa M 99 Pezoldt J 353 Piana A 291 Pichaud B 157 301 305

496 Author Index

Pinakidou F 41 Pizzini S 301 305 Podolskii V V 123 Polimeni A 453 Potapov P 345 Pozzi G 383 Pretorius A 17 Priolo F 291 Qiu Y 263 Quast M 77 Ratajczak J 337 Razilov R 403 Regula G 157 Reiszligmann L 255 Richard O 375 387 Richter E 45 Riechert H 221 225 Rodenburg C 407 Roldaacuten M 309 Rosado M 309 Rosenauer A 17 49 169 189 195 Ross I M 269 Rossi M 301 305 Rossinyol E 309 Rouchon D 419 Rouviegravere J 13 Rouviere J L 199 217 419 423 Rubaldo L 349 Rubini S 453 Ruh E 111 Sadler T C 29 Sahonta S-L 41 65 225 Saikaly W 415 Salviati G 241 453 Samuelson L 229 Saacutenchez-Chardi A 309 Sanfilippo D 291 Sarigiannidou E 13 Sasaki H 395 Satpati B 251 Schaff W 37 Schamm S 321 Schattschneider P 345 Schmidt B 321 Schowalter M 169 189 195 Scott J 317 Seifert W 229 Seo J W 119 Sieber B 459 Silva S R 277 Silva S R P 213 281 341 Simbrunner C 77

Singh L J 153 Skolnick M S 259 Skorupa W 353 Sloan J 213 Smith David J 91 Sobolev N A 115 Song S H 333 Sotiropoulos A 119 Soueidan M 353 Sourty E 375 387 Sousa M 119 Spinella C 291 Staddon C R 103 Stoumlger-Pollach M 345 Stokes D J 161 Stolojan V 277 281 341 Stolz W 107 Strittmatter A 255 Suenaga K 213 Sumner J 463 Sun Y 127 Sun Y K 127 Svensson C P T 229 Tahraoui R D A 449 Takeda S 209 Tasco V 251 Ternon C 217 Texier M 157 301 305 Thayne I 317 Thibault J 415 Thiel B 477 Thust A 133 Tillmann K 133 Tison Y 281 Titantah J T 189 195 Tomasi A 301 305 Toacuteth L 57 53 Tournieacute E 251 Trampert A 99 251 Treutlein R 203 Truche R 399 441 Tsang W 341 Twitchett A C 391 Twitchett-Harrison A C 379 445 Ubaldi F 383 Uchiyama T 209 Uecker R 45 Ulysse C 431 Urban K 133 van der Laak N 3 Vdovin V I 115 Velickov B 45

497 Author Index

Ves S 41 Vickers M E 29 Vidoshinsky I 403 Vlandas A 213 Voelskow M 353 Volz K 107 Wacaser B A 229 Wallenberg L R 229 Walther T 185 247 259 263 269 Wang Q 21 33 Wang T 21 33 Wang X H 81 Watts P C P 281 Wegscheider M 77 Wen-Chang H 449 Werner P 115 Weyers M 45 Wojcik A G 489 Wojcik L E 489 Wojtowicz T 233 Xiu H 61 Yakimov E B 481 Yamaguchi T 17 Yamamoto K 395 Yang C-Y 467 Yeates S 203 Yoshida H 209 Zakharov N D 115 Zha M 241 Zhang J 269 Zhang Y 61 Zubkov S Yu 123

Page 2: Microscopy of Semiconducting Materials 2007

SPRINGER PROCEEDINGS IN PHYSICS

96 Electromagnetics in a Complex World Editors IM Pinto V Galdi and LB Felsen

97 Fields Networks Computational Methods and Systems in Modern Electrodynamics

A Tribute to Leopold B Felsen Editors P Russer and M Mongiardo

98 Particle Physics and the Universe Proceedings of the 9th Adriatic Meeting Sept 2003 Dubrovnik Editors J Trampetic and JWess

99 Cosmic Explosions On the 10th Anniversary of SN1993J (IAU Colloquium 192) Editors J M Marcaide and KWWeiler

100 Lasers in the Conservation of Artworks LACONA V Proceedings Osnabruumlck Germany Sept 15ndash18 2003 Editors K Dickmann C Fotakis

and JF Asmus

101 Progress in Turbulence Editors J Peinke A Kittel S Barth

and M Oberlack

102 Adaptive Optics for Industry and Medicine Proceedings of the 4th International Workshop Editor U Wittrock

103 Computer Simulation Studies in Condensed-Matter Physics XVII

Editors DP Landau SP Lewis and H-B Schuumlttler

104 Complex Computing-Networks Brain-like and Wave-oriented Electrodynamic Algorithms Editors IC Goumlknar and L Sevgi

105 Computer Simulation Studiesin Condensed-Matter Physics XVIII

Editors DP Landau SP Lewis and H-B Schuumlttler

106 Modern Trends in Geomechanics Editors W Wu and HS Yu

107 Microscopy of Semiconducting Materials Proceedings of the 14th Conference April 11ndash14 2005 Oxford UK Editors AG Cullis and JL Hutchison

108 Hadron Collider Physics 2005 Proceedings of the 1st Hadron Collider Physics Symposium Les Diablerets Switzerland July 4ndash9 2005 Editors M Campanelli A Clark and X Wu

109 Progress in Turbulence 2 Proceedings of the iTi Conference in Turbulence 2005 Editors M Oberlack et al

110 Nonequilibrium Carrier Dynamics in Semiconductors

Proceedings of the 14th International Conference July 25ndash29 2005 Chicago USA Editors M Saraniti U Ravaioli

111 Vibration Problems ICOVP 2005 Editors E Inan A Kiris

112 Experimental Unsaturated Soil Mechanics Editor T Schanz

113 Theoretical and Numerical Unsaturated Soil Mechanics

Editor T chanz

114 Advances in Medical Engineering Editor Thorsten M Burzug

115 X-Ray Lasers 2006 Proceedings of the 10th International

Conference August 20ndash25 2006 Berlin Germany

Editors PV Nickles KA Januelewicz

116 Lasers in the Conservation of Artworks LACONA VI Proceedings Vienna Austria

September 21ndash25 2005Editors J Nimmrichter W Kautek M Schreiner

117 Advances in Turbulence XI Proceedings of the 11th EUROMECH

European Turbulence Conference June 25-28 2007 Porto Portugal

Editors J M L M Palma A Silva Lopes

118 The Standard Model and Beyond Proceedings of the 2nd Int Summer School

in High Energy Physics Mugla 25ndash30 September 2006

Editors T Aliev NK Pak M Serin

119 Narrow Gap Semiconductors 2007 Proceedings of the 13th International

Conference 8-12 July 2007 Guildford UKEditors BN Murdin SK Clowes

120 Microscopy of Semiconducting Materials 2007

Proceedings of the 15th Conference 2-5 April 2007 Cambridge UKEditors AG Cullis PA Midgley

Volumes 69ndash95 are listed at the end of the book

AG Cullis PA Midgley(Eds)

Microscopy of Semiconducting Materials 2007Proceedings of the15th Conference 2ndash5 April 2007 Cambridge UK

Prof AG CullisDepartment of Electronic and Electrical EngineeringUniversity of Sheffi eldMappin StreetSheffi eld S1 3JDUK

Prof PA MidgleyDepartment of Materials Science and MetallurgyUniversity of CambridgePembroke StreetCambridge CB2 3QZUK

Library of Congress Control Number 2008929346

ISSN 0930-8989ISBN-13 978-1-4020-8614-4 (HB)ISBN-13 978-1-4020-8615-1 (e-book)

Published by SpringerPO Box 17 3300 AA Dordrecht The NetherlandsIn association withCanopus Publishing Limited27 Queen Square Bristol BS1 4ND UK

wwwspringercom and wwwcanopusbookscom

All Rights Reservedcopy 2008 Springer Science+Business Media BVNo part of this work may be reproduced stored in a retrieval system or transmitted in any form or by any means electronic mechanical photocopying microfi lming recording or otherwise without written permission from the Publisher with the exception of any material supplied specifi cally for the purpose of being entered and executed on a computer system for exclusive use by the purchaser of the work

SPRINGER PROCEEDINGS IN PHYSICS

69 Evolution of Dynamical Structuresin Complex Systems

Editors R Friedrich and AWunderlin

70 Computational Approaches in Condensed-Matter Physics

Editors S Miyashita M Imada and H Takayama

71 Amorphous and Crystalline Silicon Carbide IV Editors CY Yang MM Rahman

and GL Harris

72 Computer Simulation Studiesin Condensed-Matter Physics IV

Editors DP Landau KK Mon and H-B Schuumlttler

73 Surface Science Principles and Applications Editors RF Howe RN Lamb

and K Wandelt

74 Time-Resolved Vibrational Spectroscopy VI Editors A Lau F Siebert and WWerncke

75 Computer Simulation Studiesin Condensed-Matter Physics V

Editors DP Landau KK Monand H-B Schuumlttler

76 Computer Simulation Studiesin Condensed-Matter Physics VI

Editors DP Landau KK Monand H-B Schuumlttler

77 Quantum Optics VI Editors DFWalls and JD Harvey

78 Computer Simulation Studiesin Condensed-Matter Physics VII

Editors DP Landau KK Monand H-B Schuumlttler

79 Nonlinear Dynamics and Pattern Formationin Semiconductors and Devices

Editor F-J Niedernostheide

80 Computer Simulation Studiesin Condensed-Matter Physics VIII

Editors DP Landau KK Monand H-B Schuumlttler

81 Materials andMeasurements in Molecular Electronics

Editors K Kajimura and S Kuroda

82 Computer Simulation Studies in Condensed-Matter Physics IX

Editors DP Landau KK Monand H-B Schuumlttler

83 Computer Simulation Studiesin Condensed-Matter Physics X

Editors DP Landau KK Monand H-B Schuumlttler

84 Computer Simulation Studiesin Condensed-Matter Physics XI

Editors DP Landau and H-B Schuumlttler

85 Computer Simulation Studiesin Condensed-Matter Physics XII

Editors DP Landau SP Lewisand H-B Schuumlttler

86 Computer Simulation Studiesin Condensed-Matter Physics XIII

Editors DP Landau SP Lewisand H-B Schuumlttler

87 Proceedings of the 25th International Conference on the Physics of Semiconductors

Editors N Miura and T Ando

88 Starburst Galaxies Near and Far Editors L Tacconi and D Lutz

89 Computer Simulation Studiesin Condensed-Matter Physics XIV

Editors DP Landau SP Lewisand H-B Schuumlttler

90 Computer Simulation Studiesin Condensed-Matter Physics XV

Editors DP Landau SP Lewisand H-B Schuumlttler

91 The Dense Interstellar Medium in Galaxies Editors S Pfalzner C Kramer C Straubmeier and A Heithausen

92 Beyond the Standard Model 2003 Editor HV Klapdor-Kleingrothaus

93 ISSMGE Experimental Studies Editor T Schanz

94 ISSMGE Numerical and Theoretical Approaches Editor T Schanz

95 Computer Simulation Studies in Condensed-Matter Physics XVI

Editors DP Landau SP Lewis and H-B Schuumlttler

Preface This volume contains invited and contributed papers presented at the conference on lsquoMicroscopy of Semiconducting Materialsrsquo held at the University of Cambridge on 2-5 April 2007 The event was organised under the auspices of the Electron Microscopy and Analysis Group of the Institute of Physics the Royal Microscopical Society and the Materials Research Society This international conference was the fifteenth in the series that focuses on the most recent world-wide advances in semiconductor studies carried out by all forms of microscopy and it attracted delegates from more than 20 countries With the relentless evolution of advanced electronic devices into ever smaller nanoscale structures the problem relating to the means by which device features can be visualised on this scale becomes more acute This applies not only to the imaging of the general form of layers that may be present but also to the determination of composition and doping variations that are employed In view of this scenario the vital importance of transmission and scanning electron microscopy together with X-ray and scanning probe approaches can immediately be seen The conference featured developments in high resolution microscopy and nanoanalysis including the exploitation of recently introduced aberration-corrected electron microscopes All associated imaging and analytical techniques were demonstrated in studies including those of self-organised and quantum domain structures Many analytical techniques based upon scanning probe microscopies were also much in evidence together with more general applications of X-ray diffraction methods The materials subjected to investigation covered the complete range of elemental and compound semiconductors often in epitaxial form with some emphasis on both device-processed materials and finished devices at the state-of-the-art This Proceedings volume presents work described in all study areas Every manuscript submitted for publication in this Proceedings volume has been reviewed by at least two referees and modified accordingly The editors are very grateful to the following colleagues for their rapid and meticulous reviewing work

A Andreev J Arbiol I Arslan J S Barnard H Bender N Browning T J Bullough A Cavallini M Dahne C Dieker M Fay M Galtrey M Gass V Grillo A Harrison C J Humphreys Z Liliental-Weber H Kirmse M MacKenzie J Mardinly G Moldovan R T Murray R Oliver B Pecz F Priolo A Rocher A Rosenauer F M Ross J-L Rouviere O Schmidt M Schowalter B Sieber J Sloan E SpieckerV Stolojan P Sutter K Tillmann C Trager-Cowan T Walther E Yakimov N Zakharov

It is a pleasure to thank Claire Garland and Jodie Cartwright of the Institute of Physics for their expert assistance in organising the present conference Also we are very grateful to Erica Bithell Jo Sharp and Edmund Ward of Cambridge University for editorial assistance in preparing papers for printing in this Proceedings volume

A G Cullis P A Midgley

December 2007

Contents Preface v Part I Wide Band-Gap Nitrides The Puzzle of Exciton Localisation in GaN-Based Structures TEM AFM and 3D APFIM Hold the Key C J Humphreys M J Galtrey N van der Laak R A Oliver M J Kappers J S Barnard D M Graham and P Dawson 3 Elastic Strain Distribution in GaNAlN Quantum Dot Structures Theory and Experiment A Andreev E Sarigiannidou E Monroy B Daudin and J Rouviegravere 13 Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands Using Transmission Electron Microscopy A Pretorius K Muumlller T Yamaguchi R Kroumlger D Hommel and A Rosenauer 17 Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer Q Wang T Wang P J Parbrook J Bai and A G Cullis 21 Strain Relaxation in an AlGaNGaN Quantum Well System P D Cherns C McAleese M J Kappers and C J Humphreys 25 Characterisation of InxAl1-xN Epilayers Grown on GaN T C Sadler M J Kappers M E Vickers and R A Oliver 29 Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers J Bai T Wang P J Parbrook K B Lee Q Wang and A G Cullis 33 InN Nanorods and Epilayers Similarities and Differences Z Liliental-Weber O Kryliouk H J Park J Mangum T Anderson and W Schaff 37 Residual Strain Variations in MBE-Grown InN Thin Films A Delimitis Ph Komninou J Arvanitidis M Katsikini S-L Sahonta E Dimakis S Ves E C Paloura F Pinakidou G Nouet A Georgakilas and Th Karakostas 41 Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy A Mogilatenko W Neumann E Richter M Weyers B Velickov and R Uecker 45 Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire R Kroumlger T Paskova and A Rosenauer 49 Composite Substrates for GaN Growth B Peacutecz L Toacuteth L Dobos P Bove H Lahregraveche and R Langer 53 GaN Layers Grown by MOCVD on Composite SiC Substrate L Toacuteth L Dobos B Peacutecz M A di Forte Poisson and R Langer 57

An Initial Exploration of GaN Grown on a Ge-(111) Substrate Y Zhang C McAleese H Xiu C J Humphreys R R Lieten S Degroote and G Borghs 61 Electron Microscopy Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE G P Dimitrakopulos Ph Komninou Th Kehagias A Delimitis J Kioseoglou S-L Sahonta E Iliopoulos A Georgakilas and Th Karakostas 65 The Effect of Silane Treatment of AlxGa1-xN Surfaces N Ketteniss M J Kappers C McAleese and R A Oliver 69 Quantitative Analysis of Deformation Around a Nanoindentation in GaN by STEM Diffraction K K McLaughlin and W J Clegg 73 Microstructure of (GaFe)N Films Grown by Metal-Organic Chemical Vapour Deposition T Li C Simbrunner A Navarro-Quezada M Wegscheider M Quast and A Bonanni 77 Nanostructures on GaN by Microsphere Lithography W N Ng K N Hui X H Wang C H Leung P T Lai and H W Choi 81 On the Nature of Eu in Eu-Doped GaN J S Barnard and Y S Beyer 85 Part II General Heteroepitaxial Layers Recent Studies of Heteroepitaxial Systems David J Smith 91 Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple Quantum Wells E Luna A Trampert E-M Pavelescu and M Pessa 99 Nanoscale Characterisation of MBE-Grown GaMnN(001) GaAs M W Fay Y Han S V Novikov K W Edmonds B L Gallagher R P Campion C R Staddon T Foxon and P D Brown 103 Antiphase Boundaries in GaAsGe and GaPSi I Neacutemeth B Kunert W Stolz and K Volz 107 Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures by CBED Analysis and FEM Calculations E Ruh G Mussler E Muumlller and D Gruumltzmacher 111 Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er N D Zakharov P Werner V I Vdovin D V Denisov N A Sobolev and U Goumlsele 115 Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si Ch Dieker J W Seo A Guiller M Sousa J-P Locquet J Fompeyrine Y Panayiotatos A Sotiropoulos K Argyropoulos and A Dimoulas 119

Analysis of GeMn Magnetic Semiconductor Layers by XPS and Auger Electron SpectroscopyMicroscopy Yu A Danilov E S Demidov S Yu Zubkov V P Lesnikov G A Maximov D E Nikolitchev and V V Podolskii 123 Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) Y K Sun D Cherns P Heard R P Doherty Y Sun and M N R Ashfold 127 Part III High Resolution Microscopy and Nanoanalysis Progress in Aberration-Corrected High-Resolution Transmission Electron Microscopy of Crystalline Solids K Tillmann J Barthel L Houben C L Jia M Lentzen A Thust and K Urban 133 Strain Measurements in SiGe Devices by Aberration-Corrected High Resolution Electron Microscopy F Huumle M J Hyumltch J-M Hartmann Y Bogumilowicz and A Claverie 149 (S)TEM Characterisation of InAsMgOCo Multilayers D A Eustace D W McComb L Buckle P Buckle T Ashley L J Singh Z H Barber A M Gilbertson W R Branford S K Clowes and L F Cohen 153 Core Composition of Partial Dislocations in N-Doped 4H-SiC Determined by TEM Techniques Dislocation Core Reconstruction and Image Contrast Analysis Michaeumll Texier Maryse Lancin Gabrielle Regula and Bernard Pichaud 157 Three-Dimensional Atom Probe Characterisation of III-Nitride Quantum Well Structures Mark J Galtrey Rachel A Oliver Menno J Kappers Colin J Humphreys Debbie J Stokes Peter H Clifton and Alfred Cerezo 161 Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging V Grillo and E Carlino 165 STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging A Rosenauer and M Schowalter 169 On the Role of Specimen Thickness in Chemistry Quantification by HAADF V Grillo E Carlino G Ciasca M De Seta and C Ferrari 173 Accurate and Fast Multislice Simulations of HAADF Image Contrast by Parallel Computing E Carlino V Grillo and P Palazzari 177 Z-contrast STEM 3D Information by Abel transform in Systems with Rotational Symmetry V Grillo E Carlino L Felisari L Manna and L Carbone 181 Quantifying the Top-Bottom Effect in Energy-Dispersive X-Ray Spectroscopy of Nanostructures Embedded in Thin Films T Walther 185

Effect of Temperature on the 002 Electron Structure Factor and its Consequence for the Quantification of Ternary and Quaternary III-V Crystals T J Titantah D Lamoen M Schowalter and A Rosenauer 189 Calculation of Debye-Waller Temperature Factors for GaAs M Schowalter A Rosenauer J T Titantah and D Lamoen 195 The Use of the Geometrical Phase Analysis to Measure Strain in Nearly Periodic Images J-L Rouviere 199 Cross Section High Resolution Imaging of Polymer-Based Materials D Delaportas P Aden C Muckle S Yeates R Treutlein S Haq and I Alexandrou 203 Part IV Self-Organised and Quantum Domain Structures Direct Observation of Carbon Nanotube Growth by Environmental Transmission Electron Microscopy H Yoshida T Uchiyama and S Takeda 209 Band-Gap Modification Induced in HgTe by Dimensional Constraint in Carbon Nanotubes Effect of Nanotube Diameter on Microstructure J Sloan R Carter A Vlandas R R Meyer Z Liu K Suenaga P J D Lindan G Lin J Harding E Flahaut C Giusca S R P Silva J L Hutchison and A I Kirkland 213 Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wires M I den Hertog J L Rouviere F Dhalluin P Gentile P Ferret C Ternon and T Baron 217 Electron Microscopy Analysis of AlGaNGaN Nanowires Grown by Catalyst-Assisted Molecular Beam Epitaxy L Lari R T Murray M Gass T J Bullough P R Chalker C Chegraveze L Geelhaar and H Riechert 221 Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 Th Kehagias Ph Komninou G P Dimitrakopulos S-L Sahonta C Chegraveze L Geelhaar H Riechert and Th Karakostas 225 Structural Characterisation of GaP lt111gtB Nanowires by HRTEM L S Karlsson J Johansson C P T Svensson T Maringrtensson B A Wacaser J-O Malm K Deppert W Seifert L Samuelson and L R Wallenberg 229 Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs H Kirmse W Neumann S Kret P Dłużewski E Janik G Karczewski and T Wojtowicz 233 TEM Characterization of ZnO Nanorods R Divakar J Basu and C B Carter 237 Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy L Lazzarini G Salviati M Zha and D Calestani 241 Determining Buried Wetting Layer Thicknesses to Sub-Monolayer Precision by Linear Regression Analysis of Series of Spectra T Walther 247

Transmission Electron Microscopy Study of Sb-Based Quantum Dots B Satpati V Tasco N Deguffroy A N Baranov E Tournieacute and A Trampert 251 TEM Characterization of Self-Organized (InGa)N Quantum Dots H Kirmse I Haumlusler W Neumann A Strittmatter L Reiszligmann and D Bimberg 255 Investigating the Capping of InAs Quantum Dots by InGaAs S L Liew T Walther S Irsen M Hopkinson M S Skolnick and A G Cullis 259 Comparing InGaAs and GaAsSb Metamorphic Buffer Layers on GaAs Substrates for InAs Quantum Dots Emitting at 155microm Y Qiu T Walther H Y Liu C Y Jin M Hopkinson and A G Cullis 263 Structural and Compositional Properties of Strain-Symmetrized SiGeSi Heterostructures I M Ross M Gass T Walther A Bleloch A G Cullis L Lever Z Ikonic M Califano R W Kelsall J Zhang and D J Paul 269 EELS and STEM Assessment of Composition Modulation in InAlAs Tensile Buffer Layers of InGaAsInAlAs(100)InP Structures S Estradeacute J Arbiol and F Peiroacute 273 In situ Observation of the Growth of Tungsten Oxide Nanostructures D C Cox V Stolojan G Chen and S R Silva 277 Gas Sensing Properties of Vapour-Deposited Tungsten Oxide Nanostructures Y Tison V Stolojan P C P Watts D C Cox G Y Chen and S R P Silva 281 Morphology of Semiconductor Nanoparticles J Deneen Nowak and C Barry Carter 285 Part V Processed Silicon and Other Device Materials Light Emission from Si Nanostructures F Priolo G Franzograve A Irrera F Iacona S Boninelli M Miritello A Canino C Bongiorno C Spinella D Sanfilippo G Di Stefano A Piana and G Fallica 291 Hydrogenated Nanocrystalline Silicon Investigated by Conductive Atomic Force Microscopy A Cavallini D Cavalcoli M Rossi A Tomasi B Pichaud M Texier A Le Donne S Pizzini D Chrastina and G Isella 301 Structural Characterization of Nanocrystalline Silicon Layers Grown by LEPECVD for Optoelectronic Applications M Texier M Acciarri S Binetti D Cavalcoli A Cavallini D Chrastina G Isella M Lancin A Le Donne A Tomasi B Pichaud S Pizzini and M Rossi 305 Electron Tomography of Mesoporous Silica for Gas Sensor Applications E Rossinyol F Bohils F Cardoso H Montoacuten M Roldaacuten M Rosado A Saacutenchez-Chardi O Castell and M D Baroacute 309 Electron Energy-Loss Spectrum Imaging of an HfSiO High-k Dielectric Stack with a TaN Metal Gate M MacKenzie A J Craven D W McComb C M McGilvery S McFadzean and S De Gendt 313

Elemental Profiling of III-V MOSFET High-k Dielectric Gate Stacks Using EELS Spectrum Imaging P Longo A J Craven J Scott M Holland and I Thayne 317 Low-Energy Ion-Beam-Synthesis of Semiconductor Nanocrystals in Very Thin High-k Layers for Memory Applications C Bonafos S Schamm A Mouti P Dimitrakis V Ioannou-Sougleridis G Ben Assayag B Schmidt J Becker and P Normand 321 Nucleation Crystallisation and Phase Segregation in HfO2 and HfSiO C M McGilvery S McFadzean M MacKenzie F T Docherty A J Craven D W McComb and S De Gendt 325 High Accuracy and Resolution for the Separation of Nickel Silicide Polymorphs by Improved Analyses of EELS Spectra K Asayama N Hashikawa M Kawakami and H Mori 329 TEM Study of Ytterbium Silicide Thin Films J Deneen Nowak S H Song S A Campbell and C B Carter 333 TEM Study of the Silicidation Process in PtSi and IrSi Structures A Łaszcz J Ratajczak A Czerwinski J Kątcki N Breil G Larrieu and E Dubois 337 The Dielectric Properties of Co-Implanted SiO2 Investigated Using Spatially-Resolved EELS V Stolojan W Tsang and S R P Silva 341 Removing Relativistic Effects in EELS for the Determination of Optical Properties M Stoumlger-Pollach A Laister P Schattschneider P Potapov and H J Engelmann 345 Analytical STEM Comparative Study of the Incorporation of Covalent (Ge) or Heterovalent (As) Atoms in Silicon Crystal R Pantel L Clement L Rubaldo G Borot and D Dutartre 349 Lattice Location Determination of Ge in SiC by ALCHEMI T Kups M Voelskow W Skorupa M Soueidan G Ferro and J Pezoldt 353 Part VI Device and Doping Studies Moores Law and its Effect on Microscopy in the Semiconductor Industry John Mardinly 361 Tomographic Analysis of a FinFET Structure O Richard A Kalio H Bender and E Sourty 375 3-D Characterisation of the Electrostatic Potential in an Electrically Biased Silicon Device A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley 379 Three-Dimensional Field Models for Reverse Biased P-N Junctions F Ubaldi G Pozzi P F Fazzini and M Beleggia 383

Automated Quantification of Dimensions on Tomographic Reconstructions of Semiconductor Devices A Kalio O Richard E Sourty and H Bender 387 Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography D Cooper A C Twitchett P A Midgley and R E Dunin-Borkowski 391 Observation of Dopant Distribution in Compound Semiconductors Using Off-axis Electron Holography H Sasaki S Ootomo T Matsuda K Yamamoto and T Hirayama 395 Dopant Profiling of Silicon Calibration Specimens by Off-Axis Electron Holography D Cooper R Truche F Laugier F Bertin and A Chabli 399 Novel Approach for Visualizing Implants in Deep Submicron Microelectronic Devices Using Dopant Selective Etching and Low keV SEM Y Chakk I Vidoshinsky and R Razilov 403 Quantitative Dopant Profiling in the SEM Including Surface States K W A Chee C Rodenburg and C J Humphreys 407 On the Asymmetric Splitting of CBED HOLZ Lines under the Gate of Recessed SiGe SourceDrain Transistors A Benedetti and H Bender 411 CBED and FE Study of Thin Foil Relaxation in Cross-Section Samples of SiSi1-xGex and SiSi1-xGexSi Heterostructures L Alexandre G Jurczak C Alfonso W Saikaly C Grosjean A Charaiuml and J Thibault 415 Stress and Strain Measurement in Stressed Silicon Lines A Beacutecheacute J L Rouviegravere J C Barbeacute F Andrieu D Rouchon J Eymery and M Mermoux 419 Measuring Strain in Semiconductor Nanostructures by Convergent Beam Electron Diffraction L Cleacutement J-L Rouviere F Cacho and R Pantel 423 Part VII FIB SEM and SPM Advances Nano-FIB from Research to Applications - a European Scalpel for Nanosciences J Gierak A Madouri A L Biance E Bourhis G Patriarche C Ulysse X Lafosse L Auvray L Bruchhaus R Jede and Peter Hawkes 431 Advanced Focused Ion Beam Specimen Preparation for Examination by Off-Axis Electron Holography D Cooper R Truche P A Midgley and R E Dunin-Borkowski 441 Critical Thickness for Semiconductor Specimens Prepared using Focused Ion Beam Milling A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley 445 Organic-Based Micropillar Structure Fabrication by Advanced Focused Ion Beam Milling Techniques Wen-Chang Hung Ali M Adawi Ashley Cadby Liam G Connolly Richard Deanl Abbes Tahraoui A M Fox David G Lidzey and A G Cullis 449

Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-Cathodoluminescence G Salviati L Lazzarini N Armani M Felici A Polimeni M Capizzi F Martelli S Rubini and A Franciosi 453 Interdiffusion as the First Step of GaN Quantum Dot Degradation Demonstrated by Cathodoluminescence Experiments B Sieber 459 Calibration and Applications of Scanning Capacitance Microscopy n-Type GaN J Sumner R A Oliver M J Kappers and C J Humphreys 463 The Factors Influencing the Stability of Scanning Capacitance Spectroscopy Mao-Nan Chang Tung-Huan Chou Che-Yu Yang and Jeng-Hung Liang 467 Growth and in vivo STM of III-V Compound Semiconductors F Bastiman A G Cullis M Hopkinson and M Green 471 Mapping Defects in Dielectrics with Dynamic Secondary Electron Contrast in the low Vacuum SEM Brad Thiel 477 EBIC Characterization of Light Emitting Structures Containing InGaNGaN MQW E B Yakimov 481 EBIC Characterisation of Diffusion and Recombination of Minority Carriers in GaN-Based LEDs G Moldovan V K S Ong O Kurniawan P Kazemian P R Edwards and C J Humphreys 485 A Parametric Study of a Diode-Resistor Contrast Model for SEM-REBIC of Electroceramics A G Wojcik and L E Wojcik 489 Author Index 491

The Puzzle of Exciton Localisation in GaN-Based Structures TEM AFM and 3D APFIM Hold the Key C J Humphreys M J Galtrey N van der Laak R A Oliver M J Kappers J S Barnard D M Graham1 and P Dawson1 Department of Materials Science and MetallurgyUniversity of Cambridge Pembroke Street Cambridge CB2 3QZ UK 1School of Physics and Astronomy University of Manchester Manchester M60 1QD UK Summary The InGaNGaN quantum well system emits intense light even though the dislocation density is high This is a puzzle since dislocations should quench the light emission Photoluminescence (PL) experiments show that the excitons in the InGaN quantum well are localised on a nanometre scale thus separating the carriers from most of the dislocations Many papers report transmission electron microscopy (TEM) results showing that this localisation is caused by gross indium clustering in the InGaN quantum wells but our TEM reveals no gross indium clustering Three-dimensional atom probe field ion microscopy confirms that InGaN is a random alloy Mechanisms are given for localisation on a nm scale Confinement on a broader length scale (50 ndash 100 nm) can also occur in some InGaN quantum wells 1 Introduction A remarkable feature of InGaNGaN quantum well LEDs is that they emit intense light even though the dislocation density is typically 109cm-2 In all other light-emitting semiconductors the light emission is quenched if the dislocation density exceeds about 103cm-2 Yet InGaN quantum wells emit strong blue and green light (depending on the In concentration) when the dislocation density is one million times higher than that in other light-emitting semiconductors even though it is known that dislocations in InGaN are non-radiative recombination centres

The widely-believed solution to the above problem up to a few years ago was that InGaN was an unstable alloy and the indium in the InGaN quantum wells formed In-rich clusters Since the band-gap of InN is less than that of GaN the bandgap of these In-rich clusters is reduced and hence the electrons and holes are spatially localised in these clusters At room temperature (and below) in InGaN an electron and hole form a bound exciton hence the In-rich clusters localise the excitons The clusters were believed to be small on a nanometre scale Statistically most threading dislocations would not pass through these nanometre-scale In-rich clusters even for a dislocation density of 109cm-2 at which the average dislocation spacing is about 300 nm Hence it was almost universally believed that the In-rich clusters localised the excitons away from most of the dislocations so that they did not quench the light emission Thus it was believed that the intense light emission observed from InGaN quantum wells with a high dislocation density was due to In-rich clusters

In this paper we first present evidence to support this argument We then show that In-rich clusters are produced in InGaN in the electron microscope due to electron beam damage However careful low-dose electron microscopy reveals no gross In clustering but it cannot rule out small In fluctuations We then report that three-dimensional atom probe analysis of InGaN quantum wells yields that InGaN is a random alloy with no In fluctuations other than would be expected of any random alloy This is consistent with our electron microscopy results Finally we return to the question of why InGaN emits intense light despite having a high dislocation density

4 C J Humphreys et al

2 The Evidence for Exciton Localisation in InGaN There is clear evidence that at low temperature the dominant emission from InGaNGaN quantum-well structures involves the recombination of strongly localised excitons (see for example [1 2]) Graham et al [2] studied the low temperature (T = 6 K) optical properties of a series of InxGa1-xNGaN single-quantum-well structures where the indium fraction x varied from sample to sample over the range 005 ndash 025 The structures were grown by Metal Organic Vapour Phase Epitaxy (MOVPE) and the InGaN quantum well was 25 nm thick By comparing the strengths of the phonon-accompanied recombination with those obtained from a theoretical model the spatial extent of the carrier wavefunctions in the plane of the quantum well was estimated This localisation length was found to range from 1 nm for the InGaN quantum well containing 25 indium to 3 nm for the 5 indium alloy Thus the exciton localisation length in the plane of the quantum well is typically about 2 nm The key question is what causes this localisation 3 The Evidence from Electron Microscopy for Indium-Rich Clusters Bright-field transmission electron microscopy (TEM) images of InGaNGaN quantum well structures were reported to show dark dot-like features with a size of about 3 nm in the InGaN quantum wells [3 4] Since an indium atom is much larger than a gallium atom fluctuations in InGaN compositions will cause variations in lattice strain and hence strain contrast in TEM images The dot-like features were therefore attributed to strain contrast Energy-dispersive X-ray analysis in the TEM suggested a correlation between the dark spots and higher indium content [4] This was confirmed by Cho et al [5] who used energy-filtered transmission electron microscopy (EFTEM) to analyse the regions of strain contrast observed in InGaN quantum wells EFTEM images clearly revealed these strained regions to be indium-rich clusters with a size of 2-3 nm

A popular method for studying these indium-rich clusters has been lattice parameter mapping In this technique high-resolution TEM lattice images are taken of InGaNGaN quantum well structures The indium-rich clusters give rise to localised strain and by measuring the local lattice fringe spacings a two-dimensional lattice parameter map can be plotted which shows the size of the indium-rich clusters to be typically a few nm Strains of the order of 10 are found in these clusters By using Vegardrsquos law the lattice parameter map can be converted to a composition map For InGaN quantum wells grown with 10-20 indium the indium-rich clusters are typically found to contain at least 80 indium [6 7] although the projection problem in TEM makes it difficult to quantify the indium content We will call such clusters ldquogross indium-rich clustersrdquo It was reported that such gross indium-rich clusters may in fact be pure InN [7] and pure InN regions with a 1-3 nm size were reported in InGaN quantum wells grown by both MOVPE and MBE as measured using high resolution TEM lattice parameter mapping of samples with mean composition of 16 In in the InGaN quantum wells [8]

The argument for gross indium clustering in InGaN quantum wells appears to be strong We know from optical measurements that the excitons in InGaN are localised on a 1-3 nm scale Thermodynamic calculations show that InGaN is unstable and should decompose into In-rich and In-poor regions [9] TEM shows gross In-rich clusters in InGaN quantum wells on a nanometre scale similar to the scale on which the excitons are localised

Because of the apparently strong and convincing arguments given above many hundreds of papers have been published stating that InGaN quantum wells contain gross In-rich clusters and that these clusters are responsible for the exciton localisation The Cambridge GaN research group has observed such clusters in the TEM many times and indeed they are among the authors of a paper demonstrating that those clusters are indium-rich [5] However this work necessarily used high electron doses for the EFTEM images which revealed the In-rich clusters We will now demonstrate that in the wide range of InGaN materials we have examined such gross indium-rich clusters do not exist and they are produced by electron beam damage in the TEM

The Puzzle of Exciton Localisation in GaN-Based Structures 5

4 The Effect of Electron Beam Damage on InGaN in the TEM We have found that InGaN quantum wells damage extremely rapidly in the electron beam of a TEM at the beam currents normally used for imaging The damage causes indium-rich clusters to form Figure 1 shows (0002) lattice fringe images of an In022Ga078N quantum well using high-resolution TEM (HRTEM) The lattice fringe images were obtained with the specimen tilted about 6-7 deg away from a lt11 2 0gt axis towards the adjacent lt10 1 0gt pole At this orientation a systematic row of reflections are excited with (0002) and (000 2 ) under equal excitation The images in Fig 1 were recorded using 400 keV incident electrons in a JEOL 4000EX Figure 1a was recorded within 20 seconds of first exposing this part of the quantum well to the electron beam Figure 1b is the same area after a few minutes of exposure We have analysed these images to produce lattice parameter maps [10 11] using a process similar to the DALI technique [7] After only a few minutes exposure to the electron beam we found nanometre-size indium clusters formed which caused local strains of up to 10 corresponding to an indium fraction x of 60 These cluster sizes strains and compositions are typical of those found by others using TEM (for example [6 7]) However we have found no evidence at all of gross indium clustering if low electron beam currents are used At low electron dose the lattice fringe image of the quantum well and the lattice parameter map are both reasonably uniform (Fig 1a 1c) [10 11] We have studied the effect of 200 300 and 400 keV incident electrons For the 200 keV electrons we used a FEI Tecnai F20-G2 We reduced the electron beam current substantially below the maximum available so that the current density incident on the sample was 35Acm-2 Electron beam damage of the InGaN QWs was already strong after less than 30 seconds of exposure to 200 keV electrons at this current density

Fig 1 A pair of HRTEM lattice fringe images demonstrating the electron-beam induced damage to an In022Ga078N quantum well The (0002) lattice fringe images were obtained using a JEOL 4000 EX operating at 400kV (a) shows the image after minimal exposure to the beam and (b) the same region after only a few minutes of exposure (c) is a lattice parameter map of (a) and (d) is a lattice parameter map of (b)

6 C J Humphreys et al

Since publishing the Smeeton et al [10 11] papers it has been suggested to us that our results may apply only to InGaN grown on MOVPE equipment at Cambridge or may be related to our TEM specimen preparation procedures rather than being a general effect We have therefore purchased a very bright blue commercial LED and examined the InGaN quantum wells it contains by TEM Again we found no evidence of gross indium clustering at low electron beam currents and short exposure times in the TEM However as the electron dose increased indium-rich clusters formed just as in the Cambridge grown samples [12] OrsquoNeill et al [13] also reported that In-rich clusters formed as a result of electron beam damage in their specimens We also prepared TEM specimens using only mechanical polishing instead of using a combination of mechanical polishing followed by ion beam thinning We observed no differences in the behaviour of both specimens in the TEM suggesting that the susceptibility of InGaN to electron beam damage is intrinsic to the InGaNGaN system and not a consequence of our ion milling procedures [12] We have also studied MBE grown InGaNGaN structures In all the samples we have studied we observe no gross indium clustering in the TEM at low beam currents and short exposure times Indium-rich clusters only appear at higher electron doses 5 Does TEM Give Any Evidence for Genuine In Clustering Slight fluctuations in the TEM image contrast of InGaN quantum wells can be observed in low-dose images It should be noted that if InGaN is a random alloy the composition will not be uniform and some statistical fluctuations will be observed Hence the small fluctuations that are observed in TEM image contrast could be due to random alloy fluctuations or to genuine low-level In-clustering In addition the initial stages of damage may already have occurred in low-dose images since significant radiation damage can occur in orienting the specimen in the electron microscope before recording the image Hence even the lowest dose images should not be treated as a faithful representation of the original specimen

In the light of the Smeeton et al [10 11] papers which suggested that the gross indium-rich clusters in InGaN quantum wells reported by many researchers might be due to electron beam damage the Gerthsen group revised their earlier conclusions [7] They observed that the indium concentration in the clusters increased with increasing irradiation time in the electron microscope However because they found In-rich clusters already in their first HRTEM images taken after only 20s of exposure to the electron beam they concluded that In-rich clusters genuinely existed in their InGaN quantum wells but that the In concentration was significantly lower than they had previously stated [14]

The Kisielowski group has recently made detailed studies of indium clustering in InGaN following their earlier work [6] They claim that InGaN quantum wells can be imaged in HRTEM with negligible electron beam damage and that indium-rich clusters genuinely exist [15] They have found that no measurable alteration of the initial element distribution occurs for electron irradiation times of up to 2 minutes and current densities of 20-40 Acm-2 [16] They report that green InxGa1-xN quantum wells (with average indium fraction x about 02) have genuine indium-rich clusters 1-3 nm wide with In content up to 040 [17] This disagrees with our findings reported above (see Fig 1)

A key question is whether the electron micrographs carefully recorded and reported in the above papers [14-17] are in fact damage free Electron-beam damage of inorganic materials in an electron microscope can be a complex process and the damage mechanism for strained thin layers of InGaN is not yet known In some inorganic materials there appears to be a threshold electron beam current density for damage to occur below which there appears to be little or no damage [18 19] If InGaN behaves in this way then Gerthsen and Kisielowski may be correct that damage-free electron micrographs of this material can be recorded However for other inorganic materials there appears to be no lower threshold electron beam current density for damage which can also occur for incident electron energies as low as 40 keV [20] If InGaN behaves in this way then damage-free microscopy is impossible Until more is

The Puzzle of Exciton Localisation in GaN-Based Structures 7

known about the mechanism(s) by which strained thin layers of InGaN damage we cannot be sure that it is possible to record high resolution electron micrographs in which the damage is negligible 6 3-D Atom Probe Studies of Indium Clustering Our low-dose TEM studies have revealed that gross indium clustering does not exist in the many InGaN quantum wells we have studied However we cannot rule out lower level indium clustering for the reasons given above namely the fact that such genuine clustering if it exists may be masked by the noise in low-dose images and genuine clusters cannot be distinguished from indium-rich clusters already created by the electron beam in even low-dose images In addition since the electron-beam damage mechanism in strained layers of InGaN is not yet known we do not know if it is possible to record damage-free electron micrographs of this material In order to assess whether low-level indium clustering genuinely exists we therefore need a different technique from electron microscopy The method should not involve exposure to high-energy electrons and it should preferably provide direct information at the atomic level of the distribution of indium in InGaN quantum wells In addition the technique should preferably avoid the projection problem in TEM

It is well known that the three-dimensional atom probe (3DAP) can provide nanometre-scale information about composition variations in a variety of materials [21] We have recently applied this technique to InGaN quantum wells Needle-shaped 3DAP specimens were prepared in a FEI Dualbeam Quanta FIBSEM All SEM imaging was performed at 5 kV and exposure times and currents were minimised in order to limit the risk of damage to the InGaN quantum wells The 3DAP images were obtained using an Oxford nanoScience instrument fitted with a prototype laser module

Figure 2 shows reconstructions of the InGaNGaN structure with the indium and gallium atoms displayed Four indium-containing quantum wells are clearly visible and we have analysed in detail the indium distribution in the bottom three of these since the top well may have been damaged by sample preparation We have compared the indium distribution with the expected distribution from a random alloy No significant deviations were found from that expected in a random alloy for all three of the quantum wells (for further details see [22 23] We therefore conclude that there is no evidence of indium clustering in this sample

Fig 2 Three-dimensional Atom Probe Field Ion Microscope (3DAP) image of InGaNGaN multi-quantum wells Each dot represents a single atom light blue is gallium and orange is indium Statistical analysis shows that the indium distribution is as expected in a random alloy

Two independent direct imaging techniques TEM and 3DAP have therefore found no

evidence for indium clustering in InGaN quantum wells The 3DAP results indicate that the distribution of indium in the InGaN sample studied is that of a random alloy Local compositional fluctuations statistically exist of course in a random alloy but there is no atomic clustering

8 C J Humphreys et al

7 Localisation Mechanisms The evidence for exciton localisation on a nanometre scale in InGaN quantum wells is strong (see Section 2) This is consistent with InGaN quantum well structures emitting intense light with high quantum efficiency despite having a high dislocation density In this section we discuss possible mechanisms for the carrier localisation having ruled out gross indium clustering 71 Quantum Well Thickness Fluctuations At low temperature excitons are known to be localised in GaAsAlGaAs quantum wells by well-width fluctuations The localisation energy is typically only a few meV and so localisation by this mechanism only occurs at low temperature in GaAsAlGaAs [24] However the localising effects of well-width fluctuations are much greater in the InGaNGaN quantum-well system both because the InGaN is more highly strained and because the piezoelectric effect is much stronger than in GaAsAlGaAs

High-resolution electron micrographs show that in the InGaNGaN quantum well system the lower quantum well interface appears to be atomically abrupt whereas the upper interface is atomically rough [2] The in-plane extent of these well-width fluctuations is small typically a few nm The thickness variation is typically one monolayer (= 0259 nm) Calculations show that for an InGaNGaN quantum well system with an indium fraction of 025 and well widths of 33 nm and 33 nm + 1 monolayer the quantum well bandgap for the n = 1 electron and hole confined states decreases by 58 meV Since kT at room temperature is 25 meV a monolayer change in quantum well thickness consistent with electron micrographs is sufficient to localise the carriers [2] 72 Indium-Localised Hole Wave Functions Bellaiche et al [25] have suggested from theoretical calculations of cubic InGaN that even for a perfectly homogeneous InGaN material the carriers could be localised The calculations predict localisation of the hole wavefunctions around indium in InGaN along randomly formed In-N-In chains Hole localisation leads to exciton localisation because of the small effective Bohr radius of excitons in GaN (= 34 nm) Chichibu et al [26] have recently explained their positron annihilation results in InGaN in terms of such In-N-In chains Unfortunately there is no theoretical calculation of the carrier localisation energy due to In-N-In chains in a random hexagonal InGaN alloy 8 Thermodynamics of Strained InGaN The thermodynamic calculations reported earlier [9] which predicted the decomposition of InGaN were for bulk material However Karpov [27] has calculated the phase diagram for an InGaN layer epitaxially matched to a GaN layer which puts the InGaN into biaxial compression The effect of the strain is to stabilise the InGaN and no decomposition is predicted for normal growth conditions

Electron microscopy of the InGaN quantum wells we have studied in this paper reveals no misfit dislocations We are aware that the measured critical thickness for the introduction of misfit dislocations depends on the resolution of the experimental technique used to detect the dislocations [28] and that electron microscopy because of the limited volume of specimen sampled may over-estimate the critical thickness However electron microscopy indicates that at least locally our InGaN quantum wells are fully strained and this is confirmed by our X-ray diffraction measurements

The Puzzle of Exciton Localisation in GaN-Based Structures 9

Hence we would not expect indium-rich clusters to form in strained InGaN quantum wells and

this is precisely what our TEM and 3DAP results reveal 9 Confinement in InGaN Quantum Wells with Gross Fluctuations in Width We have shown above that dislocations do not quench the light emission from InGaN quantum wells because the excitons are localised on a nanometre scale The localisation is due to quantum well thickness fluctuations on an atomic scale the interface steps being a few nm in lateral extent and may also be due to localisation of the hole wavefunction at randomly formed In-N-In chains in the homogeneous InGaN alloy We will now consider an additional confinement mechanism on a different length scale in InGaN quantum wells with gross length scale fluctuations

It has been known for some time that under certain conditions epilayers of various materials

50 nm

Fig 3 A cross-sectional STEM-HAADF image of a commercial green-emitting LED showing gross thickness variations (some of which are arrowed) in all four InGaN quantum wells

600nm 600nm

(a) (b)

Fig 4 AFM images of InGaN epilayers with gross thickness fluctuations showing the InGaN network structure of interlinking strips (a) shows the network structure after the InGaN has been subjected to a temperature ramp and (b) after it has been subjected to an anneal at the growth temperature

10 C J Humphreys et al

can exhibit gross fluctuations in thickness [29 30] Figure 3 shows a cross-sectional transmission electron micrograph of a bright commercial LED showing gross thickness fluctuations in all four InGaN quantum wells We have also grown InGaN quantum wells with similar gross thickness fluctuations [31 32] These fluctuations occur if the barrier material is grown at a higher temperature than the quantum well layer (two-temperature growth) or if the quantum well is annealed at its (lower) growth temperature prior to growth of the barrier at the same temperature

The two-dimensional nature of the thickness fluctuations in the plane of the quantum wells is not very apparent in the electron micrograph of Fig 3 Atomic force microscopy (AFM) has therefore been performed on an InGaN epilayer subject to a temperature ramp (Fig 4a) or an anneal (Fig 4b) In each case the layer-thickness fluctuations can be seen to take the form of interlinking strips of InGaN EDX analysis in the TEM shows that indium is depleted at the edges of the strips and between the strips there is no indium at all only GaN We have recorded bright field images of an annealed InGaN epilayer down three different 1213 zone axes (Fig 5 a b and c) since all threading dislocations are visible using this zone axis orientation [33] The electron microscopy reveals that 90 of the threading dislocations terminate in the GaN regions between the InGaN strips [31] (Fig 5) This physical separation of 90 of the dislocations from the light emitting InGaN strips prevents exciton recombination at these dislocations and hence may enhance light emission Since the InGaN strips are typically about 50-100 nm across this separation of the dislocations from the light-emitting InGaN strips is on a totally different length scale from the few nanometre-scale localisation of the excitons described earlier in this paper

The quantum wells that exhibit this network structure emit very bright light in some cases brighter than equivalent quantum wells of more uniform thickness Hence the network structure provides an additional mechanism for confining the light emission away from the dislocations 10 Conclusions Low-dose TEM shows no evidence of gross indium clusters in InGaN quantum wells that emit bright light 3DAP shows that InGaN is a homogeneous random alloy consistent with TEM results and with thermodynamic calculations that take strain into account Hence indium-rich clusters in InGaN are not necessary for bright light emission Optical studies of InGaN show that the excitons are localised on a nanometre scale which prevents them diffusing to dislocations which would quench the light emission Excitons can be localised by atomic scale well-width fluctuations and by In atoms in In-N-In chains forming statistically in a homogeneous InGaN alloy Calculated localisation energies at In atoms in In-N-In chains in hexagonal InGaN are not yet available However the localisation energy provided by a monolayer well-width fluctuation of an InGaN quantum well is about 60 meV sufficient to localise excitons at room temperature We therefore have a consistent story that in the InGaNGaN quantum-well system the InGaN is a

a b c

Fig 5 Bright field plan-view TEM images of an annealed InGaN epilayer taken down different 1213 zone axes (Fig 5 a b and c) All threading dislocations (edge mixed and screw) are revealed in this orientation From the different projections it can be determined that 90plusmn8 of the threading dislocations terminate between the interlinking InGaN strips

The Puzzle of Exciton Localisation in GaN-Based Structures 11

random alloy Localisation of the excitons may be due to monolayer thickness variations of the quantum wells which TEM suggests occur on a few nm in-plane length scale consistent with the PL evidence of the in-plane localisation length of the excitons of a few nm The 60 meV localisation energy strongly localises the excitons at room temperature Additionally excitons may be localised around randomly formed In-N-In chains in InGaN but the localisation energy for this in hexagonal InGaN is not yet known

Confinement on a different length scale about 50-100 nm has recently been observed in InGaN quantum wells that have gross well-width fluctuations produced by annealing or two-temperature growth Such quantum wells consist of network structures in which the dislocations are separated from the InGaN light-emitting regions These structures emit very bright light consistent with them containing an additional confinement mechanism Hence in these network structures there may be exciton confinement on both a few nm and a 50 nm scale Acknowledgements The authors are grateful to Dr T M Smeeton for stimulating discussions and to the EPSRC the EU PARSEM grant and Thomas Swan Scientific Equipment Limited for financial support References 1 Chichibu S Wada K and Nakamura S 1997 Appl Phys Lett 71 2346 2 Graham D M Soltani-Vala A Dawson P Godfrey M J Smeeton T M Barnard J S Kappers M

J Humphreys C J and Thrush E J 2005 J Appl Phys 97 103508 3 Chichibu S Azuhata T Sota T and Nakamura S 1996 Appl Phys Lett 69 4188 4 Narukawa Y Kawakami Y Funato M Fujita S and Nakamura S 1997 App Phys Lett 70 981 5 Cho H K Lee J Y Sharma N Humphreys C J Yang G M Kim C S Song J H and Yu P W

2001 Appl Phys Lett 79 2594 6 Kisielowski C Liliental-Weber Z and Nakamura S 1997 Japan J Appl Phys 36 6932 7 Gerthsen D Hahn E Neubauer B Rosenauer A Schoumln O Heuken M and Rizzi A 2000 Phys

Stat Sol(a) 177 145 8 Ruterana P Kret S Vivet A Maciejewski G and Dluzewski P J 2002 Appl Phys 91 8979 9 Ho I and Stringfellow G B 1996 Appl Phys Lett 69 2701 10 Smeeton T M Kappers M J Barnard J S Vickers M E and Humphreys C J 2003 Appl Phys

Lett 83 5419 11 Smeeton T M Kappers M J Barnard J S Vickers M E and Humphreys C J 2003 Phys Stat

Sol(b) 240 297 12 Smeeton T M Humphreys C J Barnard J S and Kappers M J 2006 J Mater Sci 41 2729 13 OrsquoNeill J P Ross I M Cullis A G Wang T and Parbrook P J 2003 Appl Phys Lett 83 1965 14 Li T Hahn E Gerthsen D Rosenauer R Strittmatter A Reissmann L and Bimberg D 2005

Appl Phys Lett 86 241911 15 Jinschek J R and Kisielowski C 2006 Physica B 376 536 16 Bartel T Jinschek J R Freitag B Specht P and Kisielowski C 2006 Phys Stat Sol(a) 203

167 17 Jinschek J R Erni R Gardner N F Kim A Y and Kisielowski C 2006 Solid State

Communications 137 230 18 Mochel M E Humphreys C J Eades J A Mochel J M and Petford A M 1983 Appl Phys Lett

42 392 19 Salisbury I G Timsit R S Berger S D and Humphreys C J 1984 Appl Phys Lett 45 1289 20 Turner P S Bullough T J Devenish R W Maher D M and Humphreys C J 1990 Phil Mag

Lett 61 181 21 Cerezo A Godfrey T J and Smith G D W 1988 Rev Sci Inst 59 862

12 C J Humphreys et al

22 Galtrey M J Oliver R A Kappers M J Humphreys C J Stokes D J Clifton P H and Cerezo A 2007 Appl Phys Lett 90 061903

23 Galtrey M J Oliver R A Kappers M J Humphreys C J Stokes D J Clifton P H and Cerezo A 2007 (These proceedings)

24 Orton J W Fewster P F Gowers J P Dawson P Moore K J Dobson P J Curling C J Foxon C T Woodbridge K Duggan G and Ralph H I 1987 Semicond Sci Technol 2 597

25 Bellaiche L Mattila T Wang L-W Wei S-H and Zunger A 1999 Appl Phys Lett 74 1842 26 Chichibu S F Uedono A Onuma T Haskell B A Chakraborty A Koyama T Fini P T Keller

S Denbarrs S P Speck J S Mishra U K Nakamura S Yamaguchi S Kamiyama S Amano H Akasaki I Han J and Sota T 2006 Nature Materials 5 810

27 Karpov S Y 1998 MRS Internet J Nitride Semicond Res 3 16 28 Eaglesham D J Kvam E P Maher D M Humphreys C J Green G S Tanner B K and Bean J C

1988 Appl Phys Lett 53 2083 29 Walther T Humphreys C J and Cullis A G 1997 Appl Phys Lett 71 809 30 Walther T and Humphreys C J 1999 J Crystal Growth 197 113 31 van der Laak N K Oliver R A Kappers M J and Humphreys C J 2007 Appl Phys Lett 90

121911 32 van der Laak N K Oliver R A Kappers M J and Humphreys C J 2007 J Appl Phys

(Submitted) 33 Datta R Kappers M J Barnard J S and Humphreys C J 2004 Appl Phys Lett 85 3411

Elastic Strain Distribution in GaNAlN Quantum Dot Structures Theory and Experiment A Andreev E Sarigiannidou1 E Monroy2 B Daudin2 and J Rouviegravere2 Advanced Technology Institute University of Surrey Guildford GU2 7XH UK 1 INP Grenoble ndash MINATEC 3 Parvis Louis Neacuteel 38016 Grenoble Cedex 1- France 2 CEA-Grenoble DRFMCSP2MLEMMA GEM-minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary We present a theory of strain distribution in GaNAlN quantum dot (QD) structures and compare the results of calculations with experimentally measured strain maps from HRTEM images using geometrical phase analysis We find that the AlN spacers situated between the wetting layers are almost fully relaxed On the contrary the AlN spacers located between the vertically correlated GaN QDs are found to be in a tensile strain state This result demonstrates that the biaxial strain approximation is not valid for the case of a three-dimensional system like a QD 1 Introduction Nitride quantum dots (QDs) are of great interest because of their potential applications in optoelectronic devices from the ultraviolet to the infrared energy range [1] The piezoelectric polarization whose magnitude is comparable to the spontaneous polarization induces a significant blue-shift of the luminescence spectra of GaNAlN QDs Therefore knowledge of the strain distribution in QDs is essential to understand their electronic structure and tune their emission wavelength for the desired application

The aim of this paper is to study the strain tensor spatial variation in GaNAlN quantum dots both theoretically and experimentally We demonstrate a good qualitative and quantitative agreement between simulated and measured strain maps Surprisingly we found that thin foil effects can be neglected for strain calculations in our samples 2 Theory The calculation of the spatial strain distribution in a QD structure requires the solution of a 3D problem in elasticity theory In this paper we employ a method we previously developed to calculate the strain tensor in a semiconductor structure containing QDs of arbitrary shape [23] This method is based on a Greenrsquos function approach and allows nearly analytical solution of the problem In particular a simple analytical formula can be derived for the Fourier transform of the strain tensor in a hexagonal QD structure [2] in case when the elastic constants of the QD and matrix materials are assumed to be the same Simple iterative procedure can be used to take account of the differences in elastic constants [2] However it should be noted that the differences in reported values of elastic constants in GaN and AlN are greater that the average values of the constants for each of the material [24] Therefore taking account of the difference of the elastic constants would not improve the model in our case and also does not change the results noticeably

To calculate the spatial strain tensor εij we summed up the Fourier series

)exp()(~)2()(321

3

rr nn

n ξξεπε iddd

sijij sum= (1)

14 A Andreev et al

where d1 d2 d3 ndash are the periods of the QD array in x y z directions respectively )(~nξε s

ij is the Fourier transform of the strain tensor for a single QD [2] The summation is taken over all possible values of the components of the vector iii dn 2πξ = with the cut-off of n1max=n2max=n3max=150 Fourier components for each direction The periods of the QD array are taken from experiment and are equal to 546 nm in the lateral (x-y) direction and 10 nm in the vertical (z axis) direction The results of the calculations are presented in Fig 2 3 Experiment and Results The wurtzite GaNAlN QD superlattice sample that is studied in this paper was grown by plasma-assisted molecular beam epitaxy (PAMBE) in a MECA2000 molecular beam epitaxy chamber equipped with standard effusion cells for Al and Ga Active nitrogen was produced in a radio-

Fig 1 Schematic top and side views of the GaN QDs studied in this paper showing dot shape and geometrical parameters

-20 -10 0 10 20

-4

-2

0

2

4exx -33-27-21-16-10-04401206913

z n

m

x nm-20 -10 0 10 20

-4

-2

0

2

4

x nm

z n

m

-25-19-12-055010075142025

ezz

Fig 2 Calculated spatial variation of the material strain tensor components εxx εzz εxz (measured in percent) and the elastic energy per unit volume (in au) for the GaN QD structure with the parameters shown in Fig 1

[2-1-10][01-10]

Diameter

[2-1-10][01-10]

Diameter

Diameter =~30nm

Height= ~4nm

lt01-13gt

a=~30deg

~12-13nm

Diameter =~30nm

Height= ~4nm

lt01-13gt

a=~30deg

~12-13nm

-20 -10 0 10 20

-4

-2

0

2

4 densityenergy

0003000450087013017021026030034

z n

m

x nm

au

-20 -10 0 10 20

-4

-2

0

2

4 exz -11-084-056-028002805608411

z n

m

x nm

Elastic Strain Distribution in GaNAIN Quantum Dot Structures 15

frequency plasma cell by dissociation of N2 The superlattice consists of 80 GaN QD layers embedded in an AlN matrix The growth of GaN on AlN was performed under N-rich conditions by depositing an amount of about 6 monolayers (ML) of GaN on ~10nm of AlN matrix Under these conditions the growth starts layer-by-layer leading to the formation of a 2 MLs GaN wetting layer (WL) followed rapidly by the formation of 3D islands by elastic relaxation (Stranski-Krastanow growth mode) [5] A JEOL4000EX electron microscope was used for the HRTEM observations TEM cross-section samples were prepared using the standard techniques of mechanical polishing and Ar+ ion milling All HRTEM images were directly recorded by an on-line charge-coupled device (CCD) camera of (2048 x 2048) pixels In order to measure and map the strain fields that are present in the sample high-resolution images were analyzed by using the geometrical phase analysis method (GPA) [6] This method has proven to be a powerful tool for extracting quantitative strain information from HRTEM images [67]

Before presenting the experimental and theoretical strain results it is important to recall the definitions of strain used by the various methods Strain results are expressed using two different strain tensors the GPA strain and material strain the latter is defined by Eq(1) The GPA measures strain with respect to a reference area in the HRTEM image following the expressions

ref

refGPAxxref

refGPAzz a

ararec

crcre minus=

minus=

)()()()( (2)

where c(r) and a(r) are the lattice parameters at the pixel r of the HRTEM image and cref

and aref are the values averaged on the reference region of the image This definition of strain called here ldquoGPA strainrdquo is also known as GPA Lagrange strain [7] In this work we have chosen the AlN matrix situated between two WLs as the reference region The material strain εij can be easily obtained from GPA strain [4] We summarise the results for measured and calculated strain values in Table I We find that the AlN spacers situated between wetting layers are almost fully relaxed On the contrary the AlN spacers located between the vertically correlated GaN QDs (Figs 3 and 4) are found to be in a tensile strain state The GaN QDs are almost completely strained on the barriers in the a-direction while they are under a slight tensile strain in the c-direction This result demonstrates that the biaxial strain approximation is not valid for the case of a three-dimensional system like a QD Finally all these results demonstrate that the mechanism responsible for the vertical correlation of GaNAlN QDs is neither the presence of threading dislocations nor Ga-Al interdiffusion but the local strain induced in the AlN matrix by the presence of the buried GaN QD layers

Fig 3 Off-axis HRTEM image of two vertically aligned GaN QDs embedded inside an AlN matrix and corresponding experimental ezz GPA strain map

5 nm

GaNQD

AlN

[0001]

5 nm

GaNQD

AlN

[0001]

-19

~0

25

50

e zz

GPA

Str

ain

( )

-19

~0

25

50

e zz

GPA

Str

ain

( )

-19

~0

25

50

-19

~0

25

50

e zz

GPA

Str

ain

( )

16 A Andreev et al

Table I Experimental and calculated values of the GPA strain components in various region of the sample

ezz GPA strain exx GPA strain exper (plusmn04) theory exper (plusmn06) theory AlN (WL) asymp0 asymp0 (0 (0 AlN (between QDs)

-19 -192 09 100

GaN (WL) 51 554 (0 -003 GaN (QDs) 27 331 09 118

4 Conclusions In conclusion using HRTEM measurements in combination with theoretical calculations the strain distribution in a GaNAlN QD SL has been investigated The GaN WL roughly follows a biaxial deformation which is not the case for the GaN in the QDs due to the compressive hydrostatic stress imposed by the AlN matrix We have experimentally identified the mechanism responsible for the vertical correlation of GaNAlN QDs which is the local strain induced in the AlN matrix by the presence of dots References 1 Nakamura S Pearton S and Fosol G 2000 The Blue Laser Diode The Complete Story

(Springer Heidelberg) 2 Andreev A D and OrsquoReilly E P 2000 Phys Rev B 62 15851 3 Sarigiannidou E Monroy E Daudin B Rouviegravere J L and Andreev A D 2005 Appl Phys Lett

87 203112 4 Christmas U M E Andreev A D and Faux D A 2005 J Appl Phys 98 073522 5 Daudin B Widmann F Feuillet G Samson Y Arlery M and Rouviegravere J L 1997 Phys Rev B

56 R7069 6 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 7 Rouviere J L and Sarigiannidou E 2005 Ultramicroscopy 106 1

Fig 4 High-resolution image of two GaN QDs of the superlattice taken along the [2minus1minus10] direction and corresponding GPA strain map for the exx

5 nm

GaN

AlN5 nm

GaN

AlN

22

-15

05

-08

~0

11

22

-15

05

-08

~0

11

22

-15

05

-08

~0

11

Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands Using Transmission Electron Microscopy A Pretorius K Muumlller T Yamaguchi R Kroumlger D Hommel and A Rosenauer Institute of Solid State Physics University of Bremen 28334 Bremen Germany Summary In this work the indium concentration of uncapped InGaN samples is measured by three different transmission electron microscopy approaches which are based on measurement of local lattice plane distances In the case of three dimensional nanometre-sized uncapped InGaN islands an increase of the indium concentration from the base of the islands toward their tip is observed Additionally an indication is presented that the local indium concentration in the islands is influenced by the vicinity of other islands 1 Introduction Semiconductor quantum structures with dimensions of only a few nanometres in one or more dimensions can be used in high quality optoelectronic devices Especially quantum dots (QDs) in the active region of these devices can improve the optical properties and lead to a reduced and temperature independent threshold current density [1] InGaN QDs are very promising for achievement of eg a QD laser emitting in the blue spectral range due to the direct band gap which can be adjusted between 3508 eV (GaN [2]) and ~07 eV (InN [3ndash5]) Within this scope the indium concentration x of InxGa1-xN is a crucial parameter influencing the wavelength of the emitted light In this work the indium concentration of free-standing nanometre-sized InGaN islands is measured by different transmission electron microscopy (TEM) approaches 2 Experimental 21 Sample Growth All structures analysed for this work were grown by metal organic vapour phase epitaxy (MOVPE) The substrates consist of approximately 2 microm thick MOVPE grown GaN deposited on (0001) sapphire On top of the GaN a 200 nm to 300 nm thick GaN buffer layer was grown at 1030degC A subsequent growth interruption of approximately five minutes was used to adjust to the lower growth temperature of InGaN of only 600degC The indium to group three flux ratio was 0736 for sample C2 and 0582 for the samples C3a and C3b The InGaN deposition time tInGaN was varied between 22 s (C2) 17 s (C3a) and 52 s (C3b) With these growth conditions smooth two dimensional InGaN layers or free-standing InGaN islands with dimensions of a few nanometres were obtained depending on the InGaN growth duration 22 TEM Analyses For derivation of the local indium concentration x in the InxGa1-xN structures three different methods were employed which are based on measurement of lattice plane distances by TEM

An easy to use and established method to derive the local concentration in InxGa1-xNGaN heterostructures is the evaluation of 0002 fringe images using strain state analysis Using Vegards rule [6] and linear elasticity theory x can be derived from measured fringe distances of high resolution transmission electron microscopy (HRTEM) images Nevertheless the measured hkil

18 A Pretorius et al

fringe distance dhkilm in a HRTEM image of a heterostructure differs from the actual hkil lattice plane

distance dhkil inside the crystal by a factor which contains the gradients of the phases phkil of the complex beam amplitudes Fhkil of the used beams [7] These differences have to be minimised to obtain reliable values of the indium concentration This can be done in the case of two beam imaging Corresponding imaging conditions for 0002 fringe imaging of InGaNGaN are given in [7]

In case of free-standing InGaN islands with [0001] growth direction elastic relaxation of the islands in the (0001) plane has to be taken into account This was done by evaluation of object exit wave functions of islands which were derived by focus variation object wave function reconstruction (FVOWR) using the True Image program (FEI) [8]

In case of the FVOWR which was performed along a low index zone axis (ZA) orientation the imaging conditions are not optimised which may lead to an imprecise measurement of the concentration as mentioned before To derive a more accurate value of x 0002 fringe images were recorded with the optimised imaging conditions reported in [7] Additionally optimised imaging conditions for 1-100 fringe images were calculated to obtain the strain state of the free-standing islands in the (0001) plane For the lt11-20gt ZA orientation presented in this work minimal errors are obtained by using a centre of Laue circle (COLC) of 11 -11 0 -22 By recording 0002 as well as 1-100 fringe images from the same sample area both resulting images were superposed and the final image was evaluated using the DALI software package [9] This method will be referred to as ldquosuperposition methodrdquo in the following

All fringe images for this work were recorded with a CM20 UT microscope equipped with a LaB6 filament and operated at 200 kV The defocus series for the FVOWR were done using a CS-corrected TITAN 80300 (FEI NanoPort Eindhoven) with field emission gun which was operated at 300 kV 3 Results and Discussion For the lower indium to group three flux ratio of 0582 a comparably smooth two dimensional InGaN layer is obtained for tInGaN = 17 s (sample C3a) as shown in Fig 1a Strain state analysis of 0002 fringe images of this sample showed an InGaN layer thickness of (19 27) nm and indium concentration of 022 plusmn 004 0002 fringe analysis is in this case sufficient as no lateral relaxation in the (0001) plane is present except due to a possible relaxation in about electron beam direction due to a thin TEM foil The uncertainty due to the unknown amount of foil relaxation is included in the error of the given indium concentration

Using unchanged growth conditions but a longer InGaN growth time of 52 s (sample C3b) InGaN islands are formed with average height of 38 nm and diameter at the island base of 78 nm (Fig 1b) The total thickness of the InGaN region up to the top of the islands varies between 60 nm and 70 nm as derived by strain state analyses of 0002 fringe images No misfit dislocations were observed inside the islands ie they relax only elastically In agreement with finite element (FE) calculations it is assumed in the following that the InGaN at the base of an island is completely strained to the a lattice parameter of GaN and completely relaxed at the tip of the island 0002 fringe analyses then result in an indium concentration at the islands bases which varies between 019 and

Fig 1 HRTEM images taken along the lt11-20gt ZA of InGaN layers grown on GaN a) Two dimensional InGaN layer with small surface roughness of sample C3a (tInGaN = 17 s) b) InGaN islands of sample C3b grown with identical growth parameters as C3a but with tInGaN = 52 s Markings are valid for both images

Concentration Evaluation in Nanometre-Sized InxGa1-xN Islands 19

032 for different islands At the islands tips x varies between 030 and 051 It has to be mentioned that the measured values of the indium concentration are influenced by a thickness gradient of the TEM specimen which is inevitably present in case of the free-standing InGaN islands Nevertheless Bloch wave calculations showed that this thickness gradient is not responsible for the observed increase of x toward the tip of the islands

To derive more precise values of x also in between an islands base and tip defocus series were taken from islands of sample C3b along the [11-20] ZA and the object exit wave functions were reconstructed and analysed Fig 2a shows the amplitude of the reconstructed object exit wave of one island The measured distances d0002

m and d1-100m in [0002] and [1-100] were fitted and from the fit

functions the indium concentration x was calculated under the assumption that the strain within each (0001) plane of the island is laterally homogeneous The result is shown in Fig 2b From focus series reconstructions it is obtained that at the GaNInGaN interface x increases in growth direction in the first few monolayers (MLs) corresponding to about 12 nm This is followed by an approximately 65 ML thick plateau of indium concentration 022 plusmn 007 Subsequently x increases with approximately constant slope towards the top of the islands where it reaches about 04 The first increase of x and the plateau is interpreted as wetting layer (WL) The concentration of the WL is in good agreement with the concentration of the two dimensional InGaN film of sample C3a

For sample C2 which was grown with a higher indium to group three flux ratio of 0736 for tInGaN of 22 s 0002 fringe analyses were performed Assuming again that the InGaN at the bases of the islands is completely strained to the a lattice parameter of the underlaying GaN the indium concentration at the base varies between 029 and 042 for different analysed islands The assumption of completely relaxed material at the tips of the islands results in x varying between 047 and 069 For this sample also the ldquosuperposition methodrdquo was employed The superposed image and the map of the indium concentration derived from this image is displayed in Fig 3 Again x was calculated assuming that the strain within each (0001) plane of the island is homogeneous It can be seen from

a) b)

Fig 3 Superposition of 0002 and 1-100 fringe images The indium concentration x is displayed for the island on the right hand side

Fig 2 a) Amplitude of the reconstructed object exit wave function of one island of sample C3b The indium concentration along the centre of the island in growth direction as marked by the rectangle is displayed in b) The error bars are determined by the accuracy of the fit functions of d0002

m and d1-100m

20 A Pretorius et al

Fig 3 that x increases in approximately growth direction Nevertheless a misalignment along the [0002] direction between the 0002 and the 1-100 fringe images cannot be excluded especially as the image contrast is different for both imaging conditions As the 0002 fringe distance in the InGaN area is constant this will only affect the apparent onset of the increase of x but not the increase itself Thus also here an increase of the indium concentration in growth direction in the islands is obtained as was observed for sample C3b From Fig 3 it can also be seen that the increase of x is more pronounced towards the upper right side of the image As the island on the left hand side shows a more pronounced increase of x towards the left side (not shown here) the inclined increase of x cannot be due to a misalignment of the 1-100 and the 0002 images along the [1-100] direction Nevertheless the inclined gradient could be due to the vicinity of the second island seen on the left hand side of Fig 3 which changes the strain at the position of the island on the right hand side

The increase of the indium concentration in approximately the growth direction observed for the analysed free-standing InGaN islands of samples C2 and C3b is probably due to the composition pulling effect [1011] due to strain energy the incorporation of indium atoms at sites with lateral lattice parameter closer to InN is energetically favourable As the elastic relaxation of an island increases in the growth direction these positions represent a preferential location for indium in comparison to incorporation eg on top of the WL 4 Conclusions Three different TEM approaches based on the derivation of local lattice parameters were used to evaluate the indium concentration of uncapped InGaN nanostructures These are 0002 fringe analysis evaluation of object exit wave functions and a new ldquosuperposition methodrdquo All three approaches show in the case of free-standing three dimensional InGaN islands an increase of the indium concentration toward the tips of the islands A possible explanation is the composition pulling effect [1011] which may lead to a minimisation of strain energy Additionally an indication is presented that the gradient of x in the islands is influenced by the strain field arising from the vicinity of other islands Acknowledgements Many thanks to Dr Bert Freitag (FEI) who operated the TITAN 80300 to the FEI company for supplying the True Image program and to Dr Marco Schowalter for the FE calculations Financial support by the Deutsche Forschungsgemeinschaft (DFG grant no 506) is gratefully acknowledged References 1 Arakawa Y and Sakaki H 1982 Appl Phys Lett 40 939 2 Vurgaftman I Meyer J R and Ram-Mohan L R 2001 J Appl Phys 89 5815 3 Davydov V Y Klochikhin A A Emtsev V V Ivanov S V Vekshin V V Bechstedt F

Furthmuumlller J Harima H Mudryi A V Hashimoto A Yamamoto A Aderhold J Graul J and Haller E E 2002 phys stat sol b 230 R4

4 Wu J Walukiewicz W Yu K M Ager III J W Haller E E Lu H and Schaff W J 2002 Appl Phys Lett 80 4741

5 Matsuoka T Okamoto H Nakao M Harima H and Kurimoto E 2002 Appl Phys Lett 81 1246 6 Vegard L 1921 Z Phys 5 17 7 Rosenauer A Gerthsen D and Potin V 2006 phys stat sol a 203 176 8 Coene W M J Thust A op de Beeck M and van Dyck D 1996 Ultramicroscopy 64 109 9 Rosenauer A Kaiser S Reisinger T Zweck J and Gebhardt W 1996 Optik 102 63 10 Shimizu M Kawaguchi Y Hiramatsu K and Sawaki N 1997 Sol-Stat Elektron 41 145 11 Zhang J Hao M Li P and Chua S J 2002 Appl Phys Lett 80 485

Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer Q Wang T Wang P J Parbrook J Bai and A G Cullis Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK Summary Optical investigations have been carried out on InGaN quantum dots (QDs) with and without a GaN capping layer showing a massive difference in terms of photoluminescence (PL) emission energy and intensity A large difference has also been observed in excitation power dependent PL spectra All these differences can be attributed to the existence of the strong quantum confined Stark effect (QCSE) in the QDs with the capping layer A numerical calculation based on the QCSE model has been made showing a good agreement with the PL data 1 Introduction

Although InGaN-based violet laser diodes (LDs) are commercially available they suffer from a high threshold current density much higher than that for GaAs-based LDs in the few kAcm2

range [1-2] Generally quantum dot (QD) structures have been predicted to significantly decrease the threshold of LDs In particular the improvement in threshold current due to QDs theoretically should be more enhanced in GaN-based wide-band gap semiconductors than for other III-V semiconductor lasers Arwakawa et al pointed out that the expected threshold current for GaN-based LDs should be in the same range as for GaAs-based LDs if the size of GaN-based QDs is small enough [3] So far there are a large number of reports studying optical properties of InGaN-based QDs grown by metal-organic chemical vapor deposition (MOCVD) or molecular beam epitaxy (MBE) [3-5] although there is no report on InGaN QD-based devices with good performance Generally the characterization of surface morphology is carried out on a single layer of quantum dots without any capping layer while the investigation of optical properties is performed on QDs with a capping layer However so far there is no report on comparing the optical properties of the InGaN QDs with and without a capping layer to our best knowledge We are successful in growing InGaN QDs with a high density up to 9times1010cm-2 on a GaN surface using the so-called growth interruption approach by MOCVD Then the influence of a capping layer on the optical properties of the InGaN QDs has been investigated In contrast to our expectation the InGaN QDs without a GaN capping layer show stronger photoluminescence (PL) emission and much higher emission energy than the InGaN QDs grown under identical conditions but with a GaN capping layer Both an excitation power dependent PL measurement and a numerical calculation within the framework of effective-mass approximation and variational approach confirm the existence of a strong quantum-confined Stark effect (QCSE) in the InGaN QDs with the capping GaN layer while there is no QCSE in the InGaN QDs without the capping layer 2 Sample Growth All the samples investigated were grown on (0001) sapphire substrates using high temperature AlN buffer technology by low pressure MOCVD as we have previously reported [6] In this case the high temperature AlN layer can massively improve the crystal quality of the overlying GaN layer subsequently grown [6] An AlN layer of thickness ~05 microm was directly grown on a

22 Q Wang et al

sapphire substrate at 12000C and then the temperature was lowered to a normal temperature for growth of ~1 microm GaN Afterwards the temperature was reduced to 755oC an optimal temperature for growth of our InGaN QDs At this temperature a 10 nm GaN layer was first deposited prior to growth of any InGaN QDs An interrupted growth approach was employed to grow InGaN QDs similar to the method used in ref [4] In our approach the InGaN was initially deposited for 20 seconds followed by a 20 second growth interruption and the deposition of another InGaN layer was then carried out under identical conditions for another 20 seconds Once the growth was finished the temperature was immediately reduced to room temperature in the case of the QD structures without a capping layer labeled as surface quantum dots (SQDs) For the QD structures with a capping layer a 20 nm GaN capping layer was continuously grown at 7750C and then the temperature was immediately reduced to room temperature giving capped QDs (CQDs) 3 Results and Discussion Figure 1a shows a typical AFM result of InGaN QDs dots with an approximate density of 9x1010cm-2 on a GaN surface Further numerical analysis indicates that the QDs have a diameter of 20 nm and a height of 15 nm on average For comparison Fig 1b shows a typical AFM image of the InGaN QDs grown under identical conditions but with a 20 nm GaN capping layer ie CQDs where a smooth surface except a few dark points can be observed The dark points have been generally observed in InGaNGaN quantum well structures in particular in high indium composition samples which are related to the so-called ldquoVrdquo defects [7]

To perform the PL

measurements the samples were held in a helium closed-circuit refrigerator The PL was measured using a 325 nm He-Cd laser to excite the investigated samples Figure 2 shows the PL spectra of both SQDs and CQDs measured at 10K Although the InGaN QDs themselves were grown under identical conditions a major difference has been observed between SQDs and

CQDs The SQDs show a strong emission at 257eV while in contrast to this the PL intensity of the CQDs is ~20 times weaker at 222 eV and the emission energy shows ~350 meV red shift compared with the SQDs In addition the PL spectrum of another five-stacked QD sample grown under identical conditions also showed almost identical emission energy to the CQDs except a more intense PL intensity confirming that the emission at 222 eV is indeed from the InGaN QDs

Figure 3 shows the excitation power dependent PL spectra of both samples recorded at excitation powers from 02 to 25 mW measured at 10K Figure 3a shows a blue-shift of ~35 meV for the CQDs when the excitation power is increased from 02 to 25 mW while there is a negligible shift in emission energy to be observed in the SQDs as shown in Fig 3b The excitation

Fig 1 a) A typical AFM image of InGaN quantum dots without a GaN cap showing an approximate density of 9times1010cm-2 b) A typical AFM image of InGaN quantum dots with a 20nm GaN cap layer showing a smooth surface except for a few V-defects

16 18 20 22 24 26 28 30 32

SQDs

PL

Inst

ensi

ty(a

u)

Emission Energy(eV)

BQDs

Fig 2 PL spectra of SQDs and CQDs at 10 K

SQDs

CQDs

Optical Properties of InGaN Quantum Dots With and Without a GaN Capping Layer 23

power induced blue shift suggests that there exists a strong quantum-confined Stark effect (QCSE) due to the strain-induced electric field exerted in the CQDs as such blue shift is a finger print of the QCSE generally observed in InGaNGaN quantum structures [8]

Generally the InGaN QDs formed on a GaN surface are due to a large lattice-mismatch giving rise to the well-known Stranski-Krastanow (S-K) growth mode [9] In the S-K mode a so-called wetting layer is initially formed and 3D islanding then follows [10] Recent x-ray diffraction (XRD) and transmission electron microscopy (TEM) studies (not optical investigation) indicate that the GaN QDs on an AlN surface or InN QDs on a GaN surface are almost completely relaxed if a capping layer is not deposited while those with the capping layer can remain compressively strained [11-12] Similarly in our case our InGaN QDs with the capping layer should remain compressively strained As a result a strong built-in electric field is produced in the InGaN QDs with the capping layer ie CQDs leading to the QCSE which causes a large red shift and a weak PL intensity compared with the SQDs

In order to support the above conclusion a numerical simulation based on a QCSE model has been carried out within the framework of the effective-mass approximation and the variational approach [13] To simplify the calculation the height of QDs is assumed to remain unchanged after the capping layer is deposited The indium composition was estimated to be 25 based on high resolution XRD data of the calibration sample grown under identical conditions If the wetting layer thickness is not taken into account a QD diameter (20nm) and a QD height (15nm) determined by AFM were used Figure 4(a) shows an electric field induced red shift of the emission energy as a function of indium composition for the cases with and without considering a wetting layer effect respectively If the wetting layer

effect is not considered as shown by the curve of Fig 4a with triangular points there is a redshift of only ~70 meV in the case of indium composition of 25 for example This value is smaller compared with that of our experimental result Since the wetting layer has been proved to significantly affect the electronic structure of QDs the wetting layer effect should be considered in our calculation as shown by the curve of Fig 4a with square points In our case the thickness of the wetting layer has been estimated as ~5ML Figure 4a also clearly

indicates that the red shift in emission energy of the fully strained InGaN QD with 25 indium (ie the sample used) is ~346 meV compared with the fully relaxed InGaN QDs The calculation is

24 27 30 33

(a)

Norm

aliz

ed In

sten

sity

(au

)

(b)

Nor

mal

ized

Inst

ensi

ty(a

u)

02mW

CQDT=10K

SQDT=10K

18 mW

25 mW

12 mW

85mW

32mW05mW

18 21 24 27 30

Emission Energy(eV)

25 mW

18 mW

12 mW

85mW

32mW

05mW

02mW

Fig 3 Excitation power dependent PL spectra of a) CQDs and b) SQDs Thedashed lines are guides for eyes

010 015 020 025 0300

100

200

300

400

500

Indium mole fraction

Shift

of t

rans

ition

ene

rgy(

meV

)

Indium mole fraction

Recom

bination rate(au)

(a)

010 015 020 025 0300

2

4

6

8

(b)

with build-in electric field without build-in electric field

010 015 020 025 0300

100

200

300

400

500 With wetting layer Without wetting layer

Fig 4 a) Electric field induced red shift of the emission energy as a function of indium composition with and without the effect of wetting layer and b) electron-hole recombination rate of QDs with and without considering build-in electric field when the effect of wetting layer is taken into account

24 Q Wang et al

in an excellent agreement with our experimental results which strongly supports the above conclusion namely that there exists a strong QCSE in the InGaN QDs with a GaN capping layer while there is no QCSE in the InGaN QDs without the GaN capping layer

In addition to demonstrate the effect of the built-in electric field on the recombination process of InGaN QDs the electron-hole recombination rates of QDs with and without the effect of a built-in electric field are calculated when the wetting layer is taken into account As is shown in Fig 4b the rate ratio of electron-hole recombination of QDs without and with built-in electric field is estimated to ~301 when the indium composition is ~ 25 which is close to the ratio (501) of the integrated PL intensity of SQDs and CQDs This indicates that the QCSE plays an important role in significant reduction of PL intensity of CQDs compared with SQDs

4 Summary

Optical investigations have been carried out on the InGaN QDs with and without a GaN capping layer A significant difference in terms of their PL emission energy and intensity has been observed which can be attributed to the existence of the strong QCSE in the QDs with the capping layer This conclusion is supported by an excitation power dependent PL measurement and a numerical calculation within the framework of the effective-mass approximation and the variational approach Acknowledgements This work is supported by the EPSRC (UK) through grant numbers EPC5435211 and EPC5435131 The authors also thank J J Shi and Y M Chi of Peking University for their contribution to the calculations References 1 Nakamura S Senoh M Nagahama S Iwasa N Yamada T Matsushita T Kiyoku H and

Sugimoto Y 1996 Jpn J Appl Phys 35 L74 2 Asano T Tojyo T Mizuno T Takeya M Ikeda S Shibuya K Hino T Uchida S and Ikeda M

2003 IEEE J Quant Electr 39 135 3 Arakawa Y Someya T and Tachibana K 2001 Phys Stat Sol (b) 224 1 4 Ji L W Su Y K Chang S J Wu L W Fang T H Chen J F Tsai T Y Xue Q K and Chen S C

2003 J Cryst Growth 249 144 5 Damilano B Grandjean N Dalmasso S and Massies J 1999 Appl Phys Lett 75 3751 6 Wang T Bai J Parbrook P J and Cullis A G 2005 Appl Phys Lett 87 151906 7 Sharma N Thomas P Tricker D and Humphreys C 2000 Appl Phys Lett 77 1274 8 Takeuchi T Sota S Katsuragawa M Komori M Takeuchi H Amano H and Akasaki I 1997

Jpn J Appl Phys 36 382 9 Stranski I N and Krastanow V L 1939 Akad Wiss Lit Mainz Abh Math Naturwiss KI146

797 10 Cullis A G Norris D J Walther T Migliorato M A and Hopkinson M 2002 Phys Rev B

081305 11 Chamard V Schuumllli T Sztucki M Metzger T H Sarigiannidou E Rouviegravere J-L Tolan M

Adelmann C and Daudin B 2004 Phys Rev B 69 125327 12 Lozano J G Saacutenchez A M Garciacutea R Gonzalez D Briot O and Ruffenach S 2006 Appl Phys

Lett 88 151913 13 Shi J J and Gan Z Z 2003 J Appl Phys 94 407

Strain Relaxation in an AlGaNGaN Quantum Well System P D Cherns C McAleese M J Kappers and C J Humphreys Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary AlGaNGaN quantum well stacks have been grown in a series with 105nm Al05Ga05N barriers and 15nm 25nm and 35nm GaN wells These samples have been studied by weak beam dark field (WBDF) TEM Threading dislocations form lsquostaircasesrsquo in the stack generating a short misfit segment at the lower interface of each well By imaging dislocations at different tilts and opposite values of the deviation parameter s it is established that the misfit segments are pure edge type and relieve strain in the GaN layers Two mechanisms are proposed for the formation of these lsquostaircasersquo structures by climb 1 Introduction The work presented in this paper is part of an ongoing effort to understand the development of AlGaN based devices that emit in the ultraviolet part of the electromagnetic spectrum There has been particular interest in emission at 380nm for generating white light by pumping a suitable phosphor The focus of the work presented here has been to look at the use of narrow wells with relatively high (50) Al content barriers to minimise the effects of polarisation fields and thermionic emission These samples have been grown using AlN interlayers to avoid problems with cracking 2 Experimental The three samples studied all have the basic structure shown in Fig 1 Each sample consists of 10 GaN quantum wells of 15nm 25nm or 35nm thickness separated by 105nm Al05Ga05N barriers This structure is grown on a 05microm buffer layer of Al045Ga055N itself grown on a 5microm low threading dislocation density GaN template with the use of a 30nm AlN interlayer All samples were grown in a Thomas Swan 6 x 2rsquorsquo reactor by metalorganic vapour phase epitaxy (MOVPE) using c-plane sapphire substrates The organometallic precursors were trimethylgallium and trimethyl-aluminium and ammonia was the nitrogen source All layers were grown at 1020 degC and 50 Torr reactor pressure The only change made between the samples was the growth time for the GaN wells hence varying the well thickness since the growth rate was kept constant

Cross-sectional transmission electron microscopy (TEM) samples were prepared by mechanical polishing dimpling and ion milling in a Gatan Precision Ion Polishing System TEM images were taken on a JEOL 2000FX microscope operating at 200kV The weak beam dark field (WBDF) images in this work are acquired using a g-4g condition

Fig 1 A schematic drawing of sample structure

26 P D Cherns et al

3 Results Initial dark-field TEM shows as expected following previously presented work [1] a large increase in edge-type threading dislocations (TDs) at the AlN interlayer which propagate to the sample surface However as the TDs reach the quantum well stack they can be observed to tilt and move diagonally through the layers This perturbation of the dislocations was studied by WBDF TEM Images were taken of the 15nm 25nm and 35nm well samples as shown in Fig 2 The images are taken with the sample tilted close to the [1-100] orientation and with g=[11-20] Edge and mixed-type TDs are visible in this condition and it has been ascertained from images not presented here with g=[0002] that the vast majority of the dislocations are pure edge-type Figure 2 reveals that the diagonal movement observed in strong-beam images is in fact due to staircase-like movement of the dislocations through the stack with a misfit segment at each well It is also seen that the angle of inclination from the vertical (averaged over the dislocation as a whole) related to misfit segment length increases with increasing well thickness The dislocations appear to split into 3 groups a) those that appear to not deviate at all b) those that deviate left at a characteristic angle and c) those that deviate right at the same characteristic angle The characteristic angles of tilt are summarised in the table below Well Width Average angle of dislocations to the vertical 15nm 22o 25nm 93o 35nm 162o

It is known at this stage that all the dislocations studied have b = plusmn13[11-20] plusmn13[-2110] or

plusmn13[1-210] It is also assumed that all behave in equivalent ways in the well stack depending on their Burgers vectors It is therefore reasonable to expect that the dislocations that appear vertical in Fig 2 are in fact tilted either directly towards or away from the electron beam with the in-plane components moving along the [1-100] viewing direction The vertical dislocations also appear brighter than the surrounding defects indicating gb=2 and b=plusmn13[11-20] The in-plane components would therefore be pure edge-type as the Burgers vector is perpendicular to the line direction It is reasoned that the other dislocations also have edge-type in-plane components extending along appropriate lt1-100gt directions depending on their Burgers vectors In this system where the wells have been grown on a

Fig 2 WBDF TEM images of samples with a) 15nm wells b) 25nm wells and c) 35nm wells The samples

are oriented close to [1-100] with g=[11-20]

(a)

(b)

(c)

Strain Relaxation in an AIGaNGaN Quantum Well System 27

relaxed Al045Ga055N template it is expected that misfit segments are generated at the lower interface of each compressively strained GaN well and act to remove half planes of atoms This would be consistent with the relief of strain arising from the lattice mismatch

To establish whether staircase structures are acting to relieve mismatch in the quantum well stack firstly the line directions are identified Two vertical dislocations A and B as labelled in Fig 3 were selected They were first imaged with g=[11-20] close to the [1-100] zone axis The sample was then rotated 30o out of the plane of the paper close to the [1-210] zone axis and a second image of the dislocations was acquired with g=[10-10] It is seen that A now tilts left and B tilts right From this information it is deduced that when imaging along the [1-100] direction A steps towards the viewer and B steps away

The line directions of the in-plane segments alone are not sufficient to establish the effect of the staircase structure It is also necessary to know the sign of the dislocation Burgers vector ie where the half plane is located relative to the dislocation core Dislocations A and B were again imaged with g = [11-20] but with the deviation parameter s varied from positive to negative When s is non-zero the lattice has been rotated away from the Bragg position If this rotation is sufficiently small then local rotation of the lattice at one side of a dislocation is in the correct direction and can be sufficiently large to bring that area of the sample back to the Bragg condition This is the basis for dislocation imaging in the TEM The location of the half plane can be determined because the lattice rotations on each side of the dislocation are of opposite sign if the half plane is on the near or far side of the defect When s is varied from positive to negative the dislocation image switches from one side of the dislocation core to the other The image of dislocation A moves left to right and the image of B in the opposite direction It is found that the half plane associated with dislocation A lies on the near side of the core and B on the far side This means that both dislocations behave in the same way the misfit segments act to reduce the extent of the half plane and therefore relieve compressive strain in the quantum well 4 Discussion The generation of misfit dislocations (MDs) in semiconductors most commonly takes place by the glide of TDs at interfaces [2] The Matthews mechanism relates to the glide of a TD at the interface between a substrate and a subsequently grown epilayer of different lattice parameter The relief of elastic strain energy on creation of an MD is compared with the energy cost to the system of the presence of the dislocation at the interface At a critical layer thickness hc MD generation becomes energetically favourable and glide occurs However this relies on the existence of a suitable slip system Srinivasan et al [3] identified possible slip systems in the wurtzite structure but none are appropriate in this case for dislocations with b=13[11-20] The movement of dislocations at well interfaces that is seen in this work must be due to dislocation climb This process is driven by the same energetic arguments as glide but is not limited by slip systems The rate of climb is significantly slower than glide due to the diffusion of atoms that is necessary and is therefore not observed if glide is possible The rate of climb is expected to be strongly dependent on the distance from the growth surface given that diffusion tofrom this point is necessary Figures 4 and 5 are schematics of two possible mechanisms by which staircase

(b) (a) Fig 3 DF TEM images of the 35nm well sample a) Sample close to [1-100] g=[11-20] b) Sample tilted 30o

anticlockwise close to [1-210] with g=[10-10]

28 P D Cherns et al

structures would result from climb of dislocations in this system Figure 4 shows a case where the well thickness hw exceeds hc As the first well is grown relaxation occurs and a misfit segment is generated As the second well is grown the 1st misfit segment is still extending but is now further from the growth surface so is moving more slowly Relaxation occurs in the second well and the process continues Figure 5 shows a case where hw = hc3 No relaxation occurs in the 1st well until 2 additional wells or more have been grown A misfit segment is generated in each well once there are 2 additional wells above but the climb slows to a stop once the growth surface is too far from the misfit segment for significant diffusion to occur In reality the critical thickness is thought to be around 2-5nm so it is likely that both these processes will be observed The longer misfit segments observed for thicker wells could be explained by either increased diffusion or by a transition between the two relaxation mechanisms Finally it is noted that no additional MDs are generated in contrast to the findings of Costa et al [4] in InGaN wells The strain relief is achieved through staircase-like movement of existing TDs possibly due to their high density 5 Conclusions High Al content AlGaNGaN quantum well structures on AlGaN templates have been studied by weak beam dark field TEM It is found that the compressive strain in the GaN wells is relieved by the generation of pure edge-type misfit segments along lt1-100gt directions This relaxation occurs at each well by the diffusion limited climb of a-type TDs

References 1 Cherns P D McAleese C Kappers M J and Humphreys C J 2005 Springer Proc in Phys 107 55 2 Matthews J W 1979 Misfit Dislocations in Dislocations in Solids Vol 2 ed Nabarro F R N

pp 461-545 3 Srinivasan S Geng L Liu R Ponce F A Narukawa Y and Tanaka S 2003 Appl Phys Lett 83

5187 4 Costa P M F J Datta R Kappers M J Vickers M E Humphreys C J Graham D M Dawson P

Godfrey M J Thrush E J and Mullins J T 2006 Phys Stat Sol a 203 1729

Fig 4 Schematic of relaxation when hwgthc

(b) (a)

Fig 5 Schematic of relaxation mech-anism when hw=hc3a) After 3 wells are grown b) After 4 wells

Characterisation of InxAl1-xN Epilayers Grown on GaN T C Sadler M J Kappers M E Vickers and R A Oliver Department of Material Science and Metallurgy University of Cambridge Pembroke St Cambridge CB2 3QZ UK Summary InxAl1-xN epilayers were grown on GaN pseudo-substrates at a range of temperatures between 900 degC and 750 degC Indium incorporation decreased as the growth temperature was increased and surface roughness at the 1 microm scale was observed to decrease simultaneously However due to macroscopic cracking of the samples grown at higher temperature broader scale surface roughness reached a minimum at 800 degC which corresponded to the layer most closely lattice-matched to the GaN pseudo-substrate 1 Introduction InxAl1-xN can be grown to have the same in-plane lattice parameter as c-plane GaN by adjusting x to 018 allowing the fabrication of structures free of misfit strain [1] Such layers have many potential applications they have sufficient refractive index contrast with GaN to allow them to be used in distributed Bragg reflectors (for use in devices such as resonant cavity LEDs and vertical cavity surface emitting lasers) [2] and as marker layers for reflectivity measurements during epitaxial growth on bulk GaN [3] Additionally their etching characteristics make them suitable as sacrificial layers for use in nitride device fabrication [4] Here we explore the effect of growth temperature on the composition and surface morphology of InxAl1-xN 2 Experimental The InxAl1-xN layers were grown on GaN pseudo-substrates by metal-organic vapour phase epitaxy (MOPVE) using a Thomas Swan 6 times 2rdquo close-coupled showerhead reactor The pseudo-substrates consisted of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 560 degC InxAl1-xN layers were grown using the precursors trimethylaluminium (TMA) trimethylindium (TMI) and ammonia with nitrogen as the carrier gas The growth rate of the InxAl1-xN was estimated from in situ reflectivity measurements performed during growth of ca 220 nm thick layers For microscopy and X-ray diffraction (XRD) studies thinner (ca 100 nm) layers were then grown

Surface morphology was assessed by Nomarski light microscopy (also known as differential interference contrast microscopy) using an Olympus BX60FS11 and Atomic Force Microscopy (AFM) using a Veeco Dimension 3100 with analysis using WSxM freeware[5] The average root-mean-square (rms) roughness for each sample was found from four scans at each scan size studied (1 microm and 10 microm)

Scanning electron microscopy (SEM) was performed using a JEOL JSM 5500LV with an Oxford Instruments INCAx-sight Energy Dispersive Spectrometer (EDS) XRD was carried out using a PhilipsPanalytical PW305065 XPert PRO HR horizontal diffractometer with an asymmetric Ge (220) 4-bounce primary monochromator Analysis was performed using Xrsquopert Epitaxy and Smoothfit 40 Philips Analytical BV

The epilayer lattice parameters were calculated from peak positions using the (002) symmetric and (105) antisymmetric reflections These were then used to calculate the epilayer compositions and equivalent relaxed lattice parameters by assuming Vegardrsquos law holds for InAlN and isotropic strain

30 T C Sadler et al

in the c-plane of the samples [6] We used calculated literature values for the Poissonrsquos ratios of AlN and InN [7]

3 Results and Discussion 31 Compositional Information from XRD Table 1 shows that increasing the growth temperature reduces the indium incorporation in InxAl1-xN Growth Temperature degC 750 800 850 900 InN fraction 203 109 31 13 Error 29 12 10 13 Table 1 Variation of InN fraction in InxAl1-xN with growth temperature

The 800 degC sample had three InxAl1-xN-related peaks present in the X-ray diffraction scans for both the (002) and the (105) reflections When analyzed separately each peak corresponded to InxAl1-xN of the same composition within the experimental errors but with different strain states The material varied from being fully relaxed to being fully strained to the GaN pseudo-substrate The strain state could vary through the InAlN epilayer thickness or it could vary across the plane of the sample We hope to investigate this issue in the future by transmission electron microscopy

The wafer grown at 750 degC showed an unexpected excess of in-plane tension The in-plane lattice parameter a was greater than the calculated relaxed lattice parameter which was greater than the in-plane lattice parameter of GaN One would usually expect a for such an epilayer to vary between the a of GaN and the value it takes for a relaxed epilayer

This datum could represent a real excess of in-plane tension but it is currently unclear how this might arise Other possible explanations include a breakdown of the assumptions used in the analysis or that the measurement was some kind of artifact although tilt and wafer bowing have been eliminated as possibilities 32 Surface Topography Nomarski light microscopy revealed that the epilayers grown at 900 degC and 850 degC were both highly cracked the former with a slightly higher crack density (Figs 1a b) This cracking would reduce the tensile strain in the epilayer which is present due to the low InN fraction of these samples The epilayers grown at 800 degC and 750 degC were not cracked but the latter had large clusters of round shiny features distributed across its surface (Figs 1c d) Analysis by EDS in SEM (Fig 2) revealed these features to be indium droplets This surface accumulation of indium may be related to the sample having the largest indium content of the series

Fig 1 Nomarski interference contrast images of the surfaces of AlInN epilayers grown at a) 900 degC b) 850 degC c) 800 degC d) 750 degC

25 microm

(a) (b)

25 microm 100 microm 100 microm

(c) (d)

Characterisation of InxAl1-xN Epilayers Grown on GaN 31

AFM data at a 10 microm scale (Figs 3a-d) showed similar features of the coarse morphology to the Nomarski images but also revealed additional nanoscale roughness These nanoscale features may be seen more clearly in Figs 3e-h The epilayer grown at 900 degC (Fig 3e) has a surface made up of stacks of single-monolayer two-dimensional islands some of which are centred on pits on which the islands may have nucleated The pit size is consistent with that

observed for the termination of threading dislocations in the GaN-pseudo-substrates which may also be the origin of the pits in this case

At 850 degC the surface consists of nanoscale three-dimensional (3D) islands ~25 nm in diameter and rising 2-3 nm above the surface (Fig 3f) These islands are grouped into clusters ~250 nm in diameter approximately the same size as the larger islands seen at 900 degC

At 800ordmC (Fig 3g) we see similar but slightly larger 3D islands ~35 nm in diameter and 3-4 nm high but they are not clustered Nanoscale 3D islands can again be seen between the indium droplets on the surface of the epilayer grown at 750 degC (Fig 3h) and these are slightly larger again at ~50 nm in diameter and 4-6 nm high Overall the nanoscale islands

coarsen as the growth temperature is decreased The small scale roughness over a 1 microm field decreased as the epilayer growth temperature

increased perhaps because of increased surface diffusion at the higher temperatures reducing stochastic roughening The roughness over a 10 microm field is at a minimum for growth at 800 degC (Fig 4) because cracking of the epilayers grown at 850 degC and 900 degC increased their broad scale roughness

Fig 2 SEM micrograph of the In droplets on the epilayer grown at 750 degC

10 microm

Fig 3 Atomic force microscopy images of epilayer surfaces 10 microm wide scans of epilayers grown at a) 900 degC b) 850 degC c) 800 degC d) 750 degC 1 microm wide scans of epilayers grown at e) 900 degC f) 850 degC g) 800 degC h) 750 degC The scans of the 750 degC sample were taken from areas between the indium droplets seen in the Nomarski micrograph Fig 1d

(b)

(h) (g)(f)

(c) (d)

(e)

(a)

32 T C Sadler et al

4 Summary InxAl1-xN epilayers have been grown on GaN at various temperatures and examined by XRD which reveals that indium incorporation increases as the growth temperature decreases The epilayer grown at 800 degC was the closest to being lattice-matched to the GaN pseudo-substrate This epilayer also had the lowest broad scale roughness over a 10 microm field However more development of growth processes is required both to produce high quality lattice matched InAlN and to understand its growth mechanisms Previous studies on InxGa1-xN [8] suggest that altering the NH3 flow or the reactor pressure may be possible routes to engineering the InN fraction 5 Acknowledgements The authors gratefully acknowledge funding from the EPSRC and the Royal Society We also thank Clifford McAleese for helpful discussion References 1 Carlin J F Zellweger C Dorsaz J Nicolay S Christmann G Feltin E Butte R and Grandjean N

2005 phys stat sol b 242 2326 2 Butte R Feltin E Dorsaz J Christmann G Carlin J F Grandjean N and Ilegems M 2005 Japan

J Appl Phys 44 Part 1 7207 3 Bejtka K Rizzi F Edwards P R Martin R W Gu E Dawson M D Watson I M Sellers I R and

Semond F 2005 phys stat sol a 202 2648 4 Watson I M Liu C Gu E Dawson M D Edwards P R and Martin R W 2005 Appl Phys Lett

87 151901 5 Horcas I Fernandez R Gomez-Rodriguez J M Colchero J Gomez-Herrero J and Baro A M

2007 Rev Sci Instrum 78 013705 6 Schuster M Gervais P O Jobst B Hosler W Averbeck R Riechert H Iberl A and Stommer R

1999 J Phys D-Appl Phys 32 A56 7 Wright A F 1997 J Appl Phys 82 2833

8 Oliver R A Kappers M J Humphreys C J and Briggs G A D 2005 J Appl Phys 97 013707

05

15

25

35

750 800 850 900temperature degC

rms

roug

hnes

s n

mFig 4 The variation of surf-ace roughness at different length scales Diamond-shaped symbols relate to rms rough-nesses measured over 10 microm by 10 microm areas and averaged over 4 scans Crosses relate to rms roughnesses measured over 1 microm by 1 microm areas and averaged over 4 scans

Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers J Bai T Wang P J Parbrook K B Lee Q Wang and A G Cullis Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK Summary A grid of regularly-distributed misfit dislocation (MD) arrays is observed and analyzed by plan-view and cross-sectional transmission electron microscopy in the GaN films grown on AlN buffer layers A good agreement between experiment and theoretical prediction indicates that the MDs are introduced first by interfacial migration of pre-existing threading dislocations (TDs) in AlN and then gradually form into a grid by direct nucleation around the misfit segments of TDs 1 Introduction The growth of high-quality (AlGa)N films using a thick AlN buffer layer has been attracting interest recently because AlN buffer layers have optical transparency for sub-280nm ultraviolet light-emitting diodes (UV-LEDs) and also prevent cracking simultaneously [1] Due to the large lattice mismatch between AlN and sapphire substrates a very high density of threading dislocations (TDs) exists in AlN films grown on sapphire These dislocations are observed to extend into the overgrown AlGaN layers and are deleterious for device performance Recently we have shown [2] that inserting a very thin layer (20nm) of GaN can successfully improve the device operation of 340nm UV-LEDs This is attributed to a reduction in the dislocation density in the overgrown AlGaN layers Lateral movement and subsequent annihilation of pre-existing TDs can be experimentally [3] and theoretically [4] explained for that dislocation elimination in strained layers It is well known that when a strained layer exceeds a critical thickness hc misfit dislocations (MDs) are generated by interfacial migration of pre-existing TDs or by the nucleation and subsequent glide of dislocation loops or by direct nucleation of new dislocations in nearly perfect crystal materials Strain induced TD movement and MD generation in GaNAlN are therefore important issues The misfit strain produced by the large lattice mismatch (~24) between GaN and AlN is expected to lead to generation of MDs even for very thin GaN films The exact nature and mechanism of introduction of MDs in GaN have still to be clarified The purpose of the present paper is to discuss the generation of MDs in GaN thin films grown on AlN buffers

2 Experiments All epitaxial films used in this study were directly grown on (0001)-faced sapphire substrates using vertical low pressure metalorganic chemical vapour deposition (MOCVD) A ~500nm AlN layer was directly grown on a sapphire substrate at 1150 degC Afterwards the temperature was lowered to grow GaN layers with different thicknesses For plan-view samples a ~60nm GaN layer was grown on the AlN layer Microstructural observations of thinned samples were carried by using Philips EM430 and high resolution JEOL 2010F transmission electron microscopes (TEMs)

3 Results and Discussion Figure 1a shows the sample surface of 60nm GaN grown on an AlN layer GaN platelets with an average size of around 200nm are connected to each other showing that GaN grew by a form of coarse islanding and coalescence mechanism Figure 1b is a plan-view TEM image of the GaN on AlN sample taken using the weak-beam dark field technique The TD density in the AlN layer is

34 J Bai et al

~7x1010 cm-2 as determined from regions without GaN coverage A triangular grid of equally spaced MDs is observed in the GaN platelets We did not observe the interfacial MD lines with cross-sectional TEM using g=lt0002gt even if tilting the sample to a large angle indicating that the MDs are pure-edge dislocations with Burgers vector b=13lt1120gt The line direction of MDs in area A is ~30deg rotated compared to the line direction in area B By detailed analysis the MDs are along lt1100gt and lt1120gt in each case respectively lt1210gt MDs always have a line direction of 60deg with the Burgers vector In the case of lt1100gt MDs the line direction is either 30deg or 90deg to the Burgers vector The accommodated strain εm by a triangular MD grid is given by εm = 3bsinβ2Dm b is the magnitude of the Burgers vector b Dm is the MD spacing and β is the angle between the MD line and b [10] It is thus energetically favourable for the lt1100gt MDs to adopt a direction normal to Burgers vector since the 90deg case provides maximum strain relief We deduce from the MD spacing in area A that about 90 of misfit strain has been relaxed by MDs in the 60nm GaN grown on AlN

The question therefore arises as to how the MDs are generated In our case the density of TDs in AlN buffers is quite high (~1010 cm-2) which should have a major effect on the introduction of MDs Figure 2a is a cross-sectional TEM image of 200nm GaN grown on an AlN buffer Most

of the TDs in AlN are observed to stop at the interface consistent with our observation of dislocation reduction through the insertion of a 20nm GaN layer between AlGaN and AlN layers [2] Interfacial dislocations are also observed in the image by tilting the sample These are regularly spaced with a spacing of ~19nm This spacing agrees with the plan-view image in Fig1b As can be observed an interfacial dislocation marked with ldquoMDrdquo in the image is connected with its threading arm in AlN marked with ldquoTDrdquo which suggests that the TD in AlN migrated into the interface plane to become a misfit segment The relation between the in-plane MD in the GaNAlN interface and the TD in AlN is further revealed in a high resolution TEM (HREM) image (Fig2b) The inset shows a Fourier filtered image of the circled area where only the in-plane frequencies are used It should be noted that there is one less lattice fringe in the GaN than in the AlN due to the edge component of in-plane MD Considering that almost all of TDs in AlN extend along lt0001gt line direction and have pure-edge Burgers vectors in the basal plane [5] the glide planes of these dislocations should be normal to the basal plane The in-plane MD lines are thus out of the glide planes whether that is along lt1100gt or along lt2110gt Otherwise the Burgers vector b would be parallel to the dislocation line direction in the interface and such a pure screw dislocation can not relieve misfit strain Therefore the TD migration can not be completed

Fig 2 a) Cross-section TEM imag of GaNAlN taken around [2110] zone-axis with g=lt0110gt b) HREM image of a TD in AlN migrating into the interface to become a misfit dislocation

Fig 1 a) AFM image of 60nmGaN grown on an AlN layerb) Plan-view dark-field TEM imagetaken with g=lt1010gt

Generation of Misfit Dislocations in Highly Mismatched GaNAlN Layers 35

by glide but must occur by climb Though the energy required for the climb is usually larger than that for glide as the dislocation climb needs diffusion and condensation of point defects both the high growth temperature and super-saturation of point defects during the MOCVD growth of GaN exist to support climb formation

However it is impossible that all of the MDs observed in Fig 1b were formed by the migration of pre-existing TDs since the TD spacing is about three times larger than that of the MDs Further MDs need to be generated by direct nucleation to develop the observed grid Theoretical analysis shows that the energy required for in-plane migration of a TD to form a MD is lower than that for direct nucleation of a new MD because in the case of TD migration the TD self-energy for the line direction normal to the surface is released [6] The interfacial migration of TDs is expected to occur first followed by the direct nucleation of MDs Experimental observation also suggests that the MD grid did not form suddenly but developed gradually As shown in Fig 3 there is a well-developed MD grid in area A while the dislocations in area B are not distributed regularly and uniformly The larger MD spacing in area B indicates a larger local residual strain in the area B than that of area A which may be related to the local morphology pre-existing defects or film thickness Actually our cross-section TEM observation presented that the MD spacing depends strongly on the film thickness The MD spacings of 213nm 193nm and 180nm were obtained for film thicknesses of 20nm 60nm and 400nm respectively Additionally it is interesting to note that there is a long straight dislocation in the middle of area B bordered by some roughly equally-spaced short lines at one of its sides It can be observed that the short lines in area B make a 60deg angle with the long one and the two line directions are two of the six symmetrical lt1100gt directions which suggests that the short dislocations probably originate around the long one and are forming in order to achieve an isotropic strain relaxation This is very similar to the report of the nucleation of bowed pure-edge MDs at crack edges in AlGaNGaN heterostructures [6] All these observations indicate that the MD grid formed by a progressive introduction of MDs with the growth of GaN We thus propose that the MDs were introduced first by interfacial migration of pre-existing TDs in AlN and then gradually formed into a MD grid by direct nucleation around the TD misfit segments

In order to identify the feasibility of this mechanism we plot the in-plane strain of the GaN films as a function of film thickness in Figure 4 comparing our experimental results with theoretical predictions The in-plane strain ε (filled square symbols) was obtained for each film thickness by TEM measurements of MD spacings using ε=ε0-3bsinβ2Dm where ε0 is 0024 the original misfit strain of GaN on AlN The values obtained are very close to those from our Raman measurements (not shown here) and also close to those reported from in-situ optical curvature measurements [7] Two classic theoretical predictions are plotted as well in Fig4 One is based on the energy balance model by People amp Bean [8] and the other is based on force balance approach by Matthews [9] and [10] People amp Beanrsquos model follows an energy static approach and gives good fits for InGaAsGaAs or SiGeSi systems where the dislocation density is low However the fit is much poorer for high TD systems as shown in our case because it does not predict the turnover of pre-existing TDs well The model based on Matthewsrsquo equilibrium approach which supposes that MDs are formed by movement of pre-existing TDs tends to give lower strain values than are experimentally observed in semiconductor films The discrepancy can be attributed to the existence of a kinetic barrier to the generation of MDs in semiconductors In our case a relatively large energy barrier for MD generation is indeed formed due to the climb process of the pre-existing TDs Here we followed the recent treatment based on the energy balance model [7] assuming simultaneously that MDs in the GaNAlN interface are introduced by the migration of pre-existing TDs The energy release induced by the in-plane TD migration in a layer of the thickness h is

Fig 3 Plan-view dark-field TEM image of 60nm GaN grown on an AlN buffer layer showing different MD distributions in different areas

36 J Bai et al

αεννα

ανπα tan

)1()1(]1sin)1ln[(

cos)1(4)( 2

2

mbhGbhhGbhE

minus+

minus+minusminus

=∆ (1)

where G is shear modulus ν is Poissonrsquos ratio and εm is misfit strain α is the inclination angle with the pre-existing TD For αrarrπ2 the equation presents the case for MD formation in strained layers By applying the requirement )( αhE∆ =0 we can determine the largest in-plane strain εa remained in the film for each film thickness h

bhbha ln

)1(4 νπε

+=sdot (2)

As can be seen the plot of our model based on the TD migration gives the best agreement with experiment The good agreement at small thicknesses proves the assumption that MDs are introduced first by TD migration at the early growth stage However there is still a discrepancy between the prediction and experiments at large thicknesses with larger experimental strain values than theoretical ones This supports our suggestion that the MDs are generated by direct nucleation at the later growth stage because the required energy of direct nucleation is larger than for TD migration 4 Conclusions

In conclusion in highly-mismatched GaNAlN layers MDs are introduced first by interfacial migration of TDs in AlN at the early stage of GaN growth which reduces the dislocation density in the overgrown layer and relieves part of the strain simultaneously Then probably by nucleating around the misfit segments of TDs more MDs are formed gradually to develop into a grid of equally-spaced MDs leading to nearly complete relaxation of misfit strain References 1 Chitnis A Zhang J P Adivarahan V Shatalov M Wu S Pachipulusu R Mandavilli V and

Khan M A 2003 Appl Phys Lett 82 2565 2 Wang T Lee K B Bai J Parbrook P J Airey R J Wang Q Hill G Ranalli F and Cullis A G

2006 Appl Phys Lett 89 081126 3 Kuwano N Tsuruda T Kida Y Miyake H Hiramatsu K and Shibata T 2003 Phys Stat Sol

(c) 0 2444 4 Romanov A E Pompe W Mathis S Beltz G E and Speck J S 1999 J Appl Phys 85 182 5 Bai J Wang T Parbrook P J Lee K B and Cullis A G 2005 J Cryst Growth 282 290 6 Romanov A E and Speck J S 2003 Appl Phys Lett 83 2569 7 Bethoux J-M and Venneacuteguegraves P 2005 J Appl Phys 97 123504 8 Wang J F Yao D Z Chen J Zhu J J Zhao D G Jiang D S Yang H and Liang J W 2006 Appl

Phys Lett 89 152105 9 People P and Bean J C 1985 Appl Phys Lett 47 322 10 Matthews J W 1975 J Vac Sci Technol 12 126 11 Matthews J W 1975 in Epitaxial Growth (Academic Press New York) Pt B Ch 6

01 1 10 100 1000

001

01

1

GaNAlN

People amp Beans direct nucleation

Matthews equilibrium TD migration Experiment (TEM) Experiment (Raman)

Film

Stra

in ε

()

Film Thickness h (nm)Fig 4 Plots of in-plane strain εa as a function of film thickness h Theoretical calculations and experimental data are given by open and filled symbols respectively

InN Nanorods and Epilayers Similarities and Differences Z Liliental-Weber O Kryliouk 1 H J Park1 J Mangum1 T Anderson1 and W Schaff2

Lawrence Berkeley National Laboratory Berkeley ms 62203 CA 94720 USA 1 Dept of Chemical Engineering University of Florida Gainesville FL 32611 USA 2 Dept of Electrical and Computer Engineering Cornell University Ithaca NY USA Summary Transmission electron microscopy was applied to study InN nanorods grown on the a- c- and r-plane of Al2O3 and (111) Si substrates by non-catalytic template-free hydride metal-organic vapor phase epitaxy (H-MOVPE) Single crystal nanorod growth was obtained on all substrates However the shape of the nanorods varied depending on the substrate used For example nanorods grown on r-plane sapphire and (111) Si have sharp tips In contrast growth on a- and c- planes of Al2O3 results in flat tips with clear facets on their sides The structural quality of these nanorods and their growth polarity are compared to crystalline quality surface roughness defects and growth polarity of InN layers grown by MBE on the same planes of Al2O3 1 Introduction InN has some promising transport and electronic properties It has the smallest effective electron mass of all the group-III nitrides which leads to a potentially high mobility saturation velocity and a large drift velocity at room temperature As a result of these properties there has been rapidly increasing interest in InN for use in optoelectronic devices such as laser diodes and high efficiency solar cells as well as high frequencyhigh power electronic devices The growth of high quality epitaxial layers of InN has been established by molecular beam epitaxy and metal-organic chemical vapor deposition However the fabrication of potential one-dimensional structures such as nanowires and nanorods has proven even more difficult since in order to synthesize high quality InN a very low decomposition temperature and a large pressure of N is required

InN nanorods grown on a- c- and r-planes of Al2O3 and (111) Si substrates by non-catalytic template-free hydride metal-organic vapor phase epitaxy (H-MOVPE) and InN layers grown on c- and r-plane of Al2O3 substrates by molecular-beam epitaxy were characterized using transmission electron microscopy (TEM) methods Structural quality and growth polarity of the nanorods and epilayers were compared 2 Experimental The InN films were grown by molecular beam epitaxy on c-and r-planes of substrates with a GaN buffer layer The details of this growth procedure are described elsewhere [1] The InN nanorods were grown using a horizontal hot wall H-MOVPE reactor Trimethyl indium (TMIn) and NH3 were used as the In and N sources respectively [2] The growth was performed at atmospheric pressure in an N2 ambient The growth temperature varied from 400 to 700 degC HClTMIn inlet mole ratio was varied from 0 to 6 VIII ratio ranged from 100 to 10000 N2 carrier gas flow rate = 16 slm Growth temperature substrate selection and HClTMI and VIII ratios controlled the diameter density and orientation of the nanorods A JEOL 3010 with an accelerating voltage of 300 keV and a sub-Angstrom CM 300 were used in these studies Convergent beam electron diffraction (CBED) along the [1-100] zone axis together with computer simulation were used to determine the growth polarity of the layers and some nanorods Electron energy loss spectroscopy (EELS) in addition to X-ray diffraction (XRD) patterns was used to determine the purity of the nanorods

38 Z Liliental-Weber et al

3 Results and Discussion 31 Faceting of Nanorods and Roughness of the Layers InN nanorods grown on the different planes (a- c- and r-) of Al2O3 and also (111) Si are of a high structural quality but their shapes and faceting differ from each other The majority of them are elongated along the wurtzite c-axis but not all crystals are distributed vertically to the substrate and thus form flower-like or random arrangements XRD studies showed that the nanorods have a wurtzite structure and the majority of them grow along the [0001] axis This was also confirmed by selective area diffraction patterns EELS studies show that only some nanorods might contain a minute concentration of oxygen

The nanorods grown on the a- and c-planes of Al2O3 have a hexagonal cross-section and their diameter is in the range of 70-220 nm They have similar lengths in the range of 700-2000 nm Usually nanorods grown on the c-plane have slightly smaller diameters (70-220 nm) compared to those grown on the a-plane (150-220 nm) Since these nanorods were removed from the substrate for TEM observation they appear rectangular at one end and have small facets at the other end It is therefore understood that these rectangular shaped ends whose surface is not atomically flat were earlier attached to the substrate and the ends with facets indicate the growth direction (Fig 1a)

The nanorods grown on r-plane Al2O3 are round in cross-section tapering to pencil-shape at the growth front with a small plateau on the c-plane at the tip Their diameter and length are in the range of 300-600 nm and 1000-2500 nm respectively A similar shape of tip was also observed for the nanorods grown on (111) Si (Fig 1b)

Fig 1 a) InN nanorod grown on the a-plane and b) on the r-plane of Al2O3 Note difference in faceting of their tips and different length of facets on two sides of each crystal The arrows indicate a growth direction

Fig 2 a) InN epilayers grown along [11-20] direction on the r-plane (1-102) Al2O3 Note columnar growth and strong faceting of all columns b) InN layer grown along polar [0002] direction on the c-plane (0002) Al2O3 Much smaller surface roughness and large columnar grains are observed in these layers

For the differences in shape of faceting of the nanorod tips for growth on the differing substrates one can find similarities in the surface roughness of InN layers grown on the same surfaces of sapphire Independently from the substrate surface on which epilayers were grown columnar growth was

(a) (b)

200 nmQuickTimetrade and a

TIFF (Uncompressed) decompressorare needed to see this picture

50 nm

(b) (a) (b)

InN Nanorods and Epilayers Similarities and Differences 39

observed These columns are more pronounced in the layers grown in non-polar orientation (grown on r-plane of Al2O3) where occasionally separation between the columns is visible (Fig 2a) Much stronger faceting (on the same planes as for the nanorods) is observed at the sample surface grown on the r-plane of Al2O3 In addition the surface roughness can be as large as 130 nm while for the layers grown on the c-plane of Al2O3 the roughness does not exceed 10-20 nm (Fig 2b)

32 Growth Polarity of the InN Epilayers and Nanorods

Convergent Beam Electron Diffraction (CBED) was applied to study the growth polarity of InN layers grown on the c-plane of sapphire and InN nanorods grown also on the c-plane of the same substrate using a JEOL 3010 TEM These patterns were taken for different sample thicknesses CBED patterns for the same zone axis and sample thickness were simulated for the accelerating voltage (300keV) as used in the experiment A good agreement between experimental and calculated patterns was obtained Based on these experiments and taking into account the rotation angle between the image and a diffraction pattern in our microscope it was determined that the layers were grown with In polarity but the studied nanorods grown on c-plane of Al2O3 were grown with N-polarity Since the statistics for polarity determination of nanorods are rather poor it is very difficult to make a more general statement as to whether the nanocrystals grown on different surfaces of sapphire have a similar polarity

Fig 3 a)-b) and c)-d) Pairs of experimental and calculated CBED patterns for InN with a thickness of 65 nm and 100 nm with an indication of the In and N atom arrangement along the c-axis confirming In growth polarity of the layer e) InN epilayer with growth direction indicated by arrow f) InN nanorod with growth direction indicated g-h) a pair of experimental and calculated CBED patterns showing N growth polarity of the nanorod

33 Defects in the InN Epilayers and Nanorods The main defects in the InN layers grown on the c-plane of Al2O3 are threading dislocations which propagate along the growth direction Their density is in the range of 8x109 cm-2 - 2x1010cm-2 This is only slightly larger than the density of dislocations in the underlying GaN buffer layer Basal stacking faults (BSFs) formed on c-planes are also observed For the samples grown in the non-polar direction prismatic stacking faults (PSFs) are observed in addition to dislocations and BSFs

InN nanorods show high crystalline perfection with very clean and abrupt side walls (Fig 4a) However occasionally the presence of twins can be observed leading to corrugated side walls (Fig 4b) As mentioned at the beginning of this paper faceting of the nanorod tips is observed These facets have different lengths on the opposite sides of the nanorods Usually the longer facet is abrupt (Fig 4c) but along a shorter facet twins are also observed (Fig 4d-e) Twins were not observed in the epilayers

In some nanocrystals grown on the a-plane of Al2O3 the diameter slightly changes along the length and a v-shape grove appears along one elongated side of the crystal CBED patterns show two interconnected identical patterns where the c-axis of one part is rotated by about 60deg toward the other

In N

N In

(a)

(b)

(c)

(d)

(g)

(h)

(e)

(f)

40 Z Liliental-Weber et al

Fig 4 a) Side wall of a nanorod showing high perfection b) a similar side wall of a nanocrystal grown on a-plane showing corrugated walls with twins c-d) high resolution images of a long and short facet of a tip of a nanorod grown on Si substrates e) a magnified area of the tip facet showing formation of a twin

This coincides with the [0-111] direction which has the same displacement vector as a prismatic stacking fault (PSF) This suggests that PSFs might be present in these nanorods (similarly to the InN MBE grown layers) PSFs may give rise to the growth of the crystals at different angles and thus the ldquoflower-likerdquo features 4 Conclusions These studies show that InN epilayers grown on c- and r-plane of Al2O3 have different surface roughness Much more narrow columns with strong faceting are observed for the growth in non-polar direction This coincides with the different shape of the tips of the nanorods grown on different planes of sapphire

The majority of the nanocrystals have high crystalline perfection but in some of them twinning was observed along the sidewalls and tips of the nanorods However this type of defect was not observed in the epilayers The majority of defects present in the latest material are dislocations and stacking faults Particularly basal and prismatic stacking faults are observed in the layers grown on non-polar surfaces Based on CBED studies of nanorods grown on the a-plane Al2O3 prismatic stacking faults might be present in the nanorods grown on the same substrate since the two patterns are rotated by about 60deg to each other This coincides with the angle between the c-axis and [0-111] direction which has the same displacement vector as a prismatic stacking fault Acknowledgements This work is supported by the US Department of Energy under Contract No DE-AC02-05CH11231 The work at UF is partially supported by NSF (CTS-031178) and NASA Kennedy Space Center Grant NAG 10-316 ONR (N00014-98-1-04) and NSF DMR 0400416 References 1 Lu H Schaff W J Hwang J Wu H et al 2000 Appl Phys Lett 77 2548 2 Kryliouk O Reed M Dann T Anderson T and Chai B 1999 Mater Sci Eng B 59 6

(c) (d) (e)

(a) 3 nm (b)

Residual Strain Variations in MBE-Grown InN Thin Films A Delimitis Ph Komninou J Arvanitidis M Katsikini S-L Sahonta E Dimakis1 S Ves E C Paloura F Pinakidou G Nouet2 A Georgakilas1 and Th Karakostas Department of Physics Aristotle University of Thessaloniki GR-54124 Thessaloniki Greece 1 Microelectronics Research Group Department of Physics University of Crete PO Box 2208

71003 Heraklion-Crete and IESL FORTH PO Box 1527 71110 Heraklion-Crete Greece 2 ENSICAENSIFCOM UMR CNRS 6176 6 Bld du Marechal Juin 14050 Caen Cedex France Summary The different nature of the residual strain in InN thin films grown on GaNAl2O3 templates is investigated Wurtzite InN grows epitaxially on GaN with a well-defined orientation relationship having threading dislocations as the dominant structural defect Electron microscopy Raman and X-ray absorption fine structure experiments reveal that the epilayers are subject to biaxial residual strain either compressive or tensile The origin of compressive strain is due to the InNGaN structural mismatch and thermal strains imposed from sapphire whereas tensile strain is predominately attributed to InN island coalescence during the initial growth stages 1 Introduction The recently established band gap value of ~06 eV[1] makes InN a quite attractive material since its alloys with AlN and GaN could broaden the nitride-based device wavelength range from infrared to ultraviolet[2] However since only its epitaxial growth using molecular beam epitaxy (MBE) is feasible up to now[3] InN films are subject to a great amount of residual strains which can have a significant effect on their structural electrical and optical properties

In this study the different nature of the residual strain in InN thin films epitaxially grown on GaNAl2O3 templates by plasma-assisted MBE is investigated The films were characterized by conventional and high-resolution transmission electron microscopy (TEM-HRTEM) whereas complementary results are provided by X-ray absorption fine structure (EXAFS) and Raman spectroscopy Wurtzite InN grows epitaxially on GaN with an orientation relationship of [11 2 0]InN[11 2 0]GaN (0001)InN(0001)GaN The main structural defects present in all epilayers are threading dislocations (TDs) of screw- mixed- and edge-type character whose density decreases towards the InN free surface The variations in the lattice constants as deduced both by electron diffraction and HRTEM experiments as well as by the EXAFS and Raman results reveal that the epilayers are subject to biaxial residual strain which is either compressive or tensile The InNGaN structural mismatch and the thermal strains imposed from sapphire are the origin of compressive strain whereas tensile strain is always encountered in two-step growth mode epilayers due to the coalescence of InN islands during the initial growth stages 2 Experimental Details The InN epilayers were grown in a single (InN4-InN7) or two step growth mode (InN1-InN3) on 25 microm thick Ga-face GaNAl2O3 (0001) templates by plasma assisted radio-frequency (rf) MBE according to the regime previously described[3] XTEM specimens were prepared by mechanical thinning followed by ion milling in a liquid nitrogen-cooled stage TEM observations were performed on a JEOL 2011 electron microscope with a point resolution of 019 nm and Cs=05 mm The EXAFS spectra were recorded at the In K edge at the A1 beamline of the Synchrotron Radiation Facility HASYLAB in Hamburg The Raman spectra were recorded in the

42 A Delimitis et al

backscattering geometry using a DILOR XY micro-Raman system equipped with a cryogenic charge coupled device (CCD) detector For excitation the 5145 nm line of an Ar+ laser was used 3 Results and Discussion Compact InN films can be successfully grown both in the single or two-step growth modes at relatively low growth temperatures [3] In the two-step mode compact growth is accomplished by the introduction of a thin InN nucleation layer in low temperature A typical TEM image of the compact ~1 microm thick InN1 film on top of GaN is shown in Fig 1 The predominant structural defects in all compact samples are TDs of edge screw and mixed type character Their density values are up to 1010 cm-2 close to the InNGaN interface [4] however they show a significant decrease towards the InN free surface especially for the edge and mixed type TDs The epitaxial relationship of InN and GaN is illustrated in the selected area diffraction (SAD) pattern of Fig 1b which corresponds to the common [11 2 0] zone axis and was determined to be [11 2 0]InN[11 2 0]GaN (0001)InN(0001)GaN

Fig 1 a) TEM image from the InN1 epilayer grown in compact mode viewed along [11 2 0] and b) SAD pattern from the corresponding area revealing the InNGaN epitaxial relationship

Such common diffraction patterns of InN and GaN enable the deduction of the InN lattice constants and their variations among the films with high accuracy Subsequently after precise measurements the lattice constants a and c range from 0352-0354 nm and 0569-0571 nm respectively [4 5] In each epilayer of this study the a and c constants have an inversely proportional relationship which is indicative of the existence of biaxial residual strain in InN Similar results are found from HRTEM images of the interfacial InNGaN region such as the ones shown in Fig 2a for InN4 and Fig 2b for InN1 both viewed along [11 2 0] Improved quality atomically flat interfaces between InN and GaN are generally resolved The insets are Fourier filtered images of a part of the interface using only the in-plane 1 100 spatial frequencies in order to reveal locally the position of misfit dislocations (MDs) that accommodate the InNGaN structural mismatch [4] The GaN 1 100 half planes clearly reveal the position of the projected edge component of the MDs in the interface The dislocations appear in an average of 105 GaN planes in Fig 2a and 10 planes in Fig 2b ie the fringes are shown to terminate in an average plane sequence of 11-10-11-10 in (a) and 11-10-9-10 GaN planes in (b) This is translated [6] into a=03525 nm for (a) and a=03543 nm for (b) in agreement with the SAD results for the corresponding InN epilayers Consequently it is deduced that the InN lattice parameters remain practically constant throughout the total thickness [6] Based on the strain free InN values recently deduced [5] the InN epilayers are subject to residual biaxial strains either compressive or tensile in nature

Residual Strain Variations in MBE-Grown InN Thin Films 43

Fig 2 HRTEM images from the InNGaN interface in the epilayers a) InN4 and b) InN1 The different spacing of the MDs reveal residual compressive strain in (a) and tensile in (b)

The Fourier Transforms (FT) of the χ(k) EXAFS spectra for most of the InN epilayers is

summarized in Fig 3a The FT corresponds to the radial distribution function of the absorbing atom and thus the first two peaks correspond to the 1st and 2nd nearest neighbouring shells of In ie the InndashN and InndashIn distances respectively The results reveal that within the experimental error the InndashN distance is constant (215-216 Aring) among the samples studied due to the high ionic character of the In-N bond [7] On the other hand the In-In distance is proportional to the a lattice constant and reflects the different kind of residual strain present in the films Fig 3b in good agreement with the TEM analysis However since the InndashIn distance depends on both the a and c constants the graph of InndashIn vs a departs from the RInndashIn = a curve in Fig 3b towards higher distances for samples under compressive stress and towards lower InndashIn distances under tensile stress In other words the InndashIn distance resists stress-induced distortions indicating that stress relaxation is mainly done by angle deformation rather than bond elongation or contraction

Typical Raman spectra of the samples around the high frequency E2 mode (E22) are depicted in

Fig 3c The frequency of the E22 mode is strongly affected by biaxial stresses induced in the InN

epilayer due to the different thermal expansion coefficients of the epilayer and the template as well as their lattice mismatch The samples can be grouped in two categories those with a red-shifted E2

2 frequency and those with a blue-shifted one Taking into account the positive pressure coefficient of this mode [8] it is clear that the InN epilayers are subject to either compressive (blue-shifted) or tensile (red-shifted) residual strain These results confirm the TEM and EXAFS measurements and illustrate that the origin of the different strain sign stems from the growth process [3] in samples with a two-step growth regime a discrete island growth formation takes place prior to coalescence that accounts for the tensile strain [9] whereas epilayers grown in a single compact mode develop compressive residual strain The latter originates both from any residual structural mismatch not accommodated by the MDs network as well as from the thermal strains imposed from Al2O3 due to its higher thermal expansion coefficient than that of InN and GaN[5] 4 Conclusions The nature of the residual strain imposed on InN thin films grown by rf plasma-assisted MBE on GaNAl2O3 templates has been investigated by combining the TEM EXAFS and Raman techniques The characterization results revealed that InN is under either compressive or tensile biaxial strain The origin of compressive strain is both from the InNGaN structural mismatch and the thermal strains imposed from the sapphire substrate during cooling down to room temperature whereas tensile strain is merely a consequence of the discrete island coalescence in films grown with a two-step mode The strain distribution is uniform throughout the whole InN thickness for films having typical thickness values up to 1 microm

44 A Delimitis et al

Fig 3 a) FT amplitudes of the k3 weighted χ(k) EXAFS spectra The experimental and fitting curves are shown in thin and thick lines respectively b) Dependence of the InndashIn distance on the a parameter The vertical line at 03535 nm denotes the relaxed value of a[5] c) Raman spectra of the compressive (left panel) and tensile (right panel) InN samples in the E2

2 mode region The dashed vertical line denotes the E22 frequency in stress-free InN

while the solid vertical lines denote the maximum blue or red shift of E22

Acknowledgements Financial support from the European Union through the Marie Curie RTN contract No MRTN-CT-2004-005583 (PARSEM) and the GSRT through the ldquoGreece-Czech Republic Joint Research amp Technology Programs 2005-2007rdquo is greatly acknowledged References 1 Wu J Walukiewicz W Yu KM Ager III J W Haller E E Lu H Schaff W J Saito Y and

Nanishi Y 2002 Appl Phys Lett 80 3967 2 Bhuiyan A G Hashimoto A and Yamamoto A 2003 J Appl Phys 94 2779 3 Dimakis E Tsagaraki K Iliopoulos E Komninou Ph Kehagias Th Delimitis A and

Georgakilas A 2005 J Cryst Growth 278 367 4 Delimitis A Komninou Ph Kehagias Th Karakostas Th Dimakis E Georgakilas A and Nouet G

2005 Proc MSMXIV Conf eds Cullis AG and Hutchison JL (Springer Berlin) p 71 5 Dimakis E Iliopoulos E Tsagaraki K Adikimenakis A and Georgakilas A 2006 Appl Phys

Lett 88 191918 6 Delimitis A Komninou Ph Dimitrakopulos G P Kehagias Th Kioseoglou J and Karakostas Th

2007 Appl Phys Lett 90 061920 7 Wright A F 1997 J Appl Phys 82 2833 8 Pinquier C Demangeot F Frandon J Pomeroy J W Kuball M Hubel H Van Uden N W A

Dunstan D J Briot O Maleyre B Ruffenach S and Gil B 2004 Phys Rev B 70 113202 9 Boumlttcher T Einfeldt S Figge S Chierchia R Heinke H Hommel D and Speck J S 2001 Appl

Phys Lett 78 1976

0 1 2 3 4 5 6 7 80

10

20

30

40

InN7

InN6

InN5

InN4

In

InN1

|FT

k3 χ(k

) |

R(Aring)

N

3520 3525 3530 3535 3540 3545 35503520

3525

3530

3535

3540

3545

3550

InN6

InN7InN5

InN4

InN1

RIn

-In (Aring

)

a(Aring)

rela

xed

(Dim

akis

et a

l)

R In-In=a

tensilecompressive

480 490 500

InN2

Raman Shift (cm-1)

InN1

InN3

tensile films

480 490 500

InN7

InN5

InN6

InN4

compressive films

E22

Ram

an In

tens

ity (a

rb u

nits

)

a b

c

Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy A Mogilatenko W Neumann E Richter1 M Weyers1 B Velickov2 and R Uecker2

Institut fuumlr Physik Humboldt-Universitaumlt zu Berlin Newtonstr 15 12489 Berlin Germany 1 Ferdinand-Braun-Institut fuumlr Houmlchstfrequenztechnik Gustav-Kirchhoff-Str 4 12489 Berlin

Germany 2 Institut fuumlr Kristallzuumlchtung Max-Born-Str 2 12489 Berlin Germany Summary Structural analysis of c-plane GaN nucleation layers on (100) γ-LiAlO2 and freestanding 2 inch c-plane GaN wafers is presented The nucleation layers contain a number of hexagonal pits The pit formation in nucleation layers might be an origin for the formation of macroscopic pyramids which are coupled on V-pits in the freestanding 2 inch GaN layers The pyramids start growing at the interface to LiAlO2 They consist of wurtzite GaN which is brown in colour The brown GaN regions show an extremely high defect density The freestanding HVPE-grown GaN layers have Ga polarity 1 Introduction (100) γ-LiAlO2 is a promising substrate which allows the growth of both polar c- and non-polar m-plane oriented GaN layers [1 - 4] In contrast to the GaN growth on the commonly used commercial substrates (sapphire SiC Si) the GaNLiAlO2 system shows significantly smaller lattice mismatch Furthermore LiAlO2 decomposition at elevated substrate temperatures [5] as well as a large difference in thermal expansion coefficients between LiAlO2 and GaN lead to spontaneous separation of the substrate during post-growth cooling down [1 4] This allows the preparation of thick freestanding GaN layers which can be used as substrates for subsequent GaN homoepitaxy

In the last years the growth of freestanding m-plane oriented GaN layers on γ-LiAlO2 has been successfully demonstrated [1] whereas less attention has been given to the growth of c-plane layers Recently freestanding c-plane GaN layers have been grown on LiAlO2 by hydride vapour phase epitaxy (HVPE) for the first time [4] The present study includes structural analysis of c-plane oriented GaN nucleation layers as well as freestanding GaN wafers grown by this process In particular the influence of nucleation temperature on the structure and morphology of the nucleation layers is considered 2 Experimental γ-LiAlO2 single crystals of 2 inch diameter and up to 120 mm length were grown using the Czochralski technique The LiAlO2 ingots were sliced and polished resulting in (100) γ-LiAlO2 wafers of 400 microm thickness HVPE growth was performed in a horizontal Aixtron-HVPE reactor GaN nucleation layers were grown at a substrate temperature of 590degC followed by recrystallization at 990degC Two samples were deposited with a recrystallization time of 2 min and 6 min In addition a 200 microm thick GaN film was grown on the top of the nucleation layers [4] Due to the spontaneous substrate separation freestanding GaN wafers were obtained

Transmission electron microscopy (TEM) studies comprising scanning transmission electron microscopy (STEM) energy dispersive X-ray spectroscopy (EDXS) electron energy loss spectroscopy (EELS) as well as convergent beam electron diffraction (CBED) were carried out on a JEOL JEM2200FS microscope operating at 200 kV Plan-view as well as cross-sectional

46 A Mogilatenko et al

specimens were prepared from central and edge regions of the wafers to assess the structural homogeneity of the layers 3 Results 31 Structure of Thin GaN Nucleation Layers on (100)LiAlO2 Electron diffraction analysis shows the formation of hexagonal GaN growing with the c-plane orientation ie GaN(0001)[110] || LiAlO2(100)[001] Cross-sectional TEM analysis shows that the GaN nucleation layers have a columnar structure Deposition of a thin GaN nucleation layer at a substrate temperature of 590degC followed by the subsequent recrystallization for 2 min at 990degC leads to formation of a film with a rough surface and a smooth interface (Fig 1a) The thickness of the layer is not uniform over the wafer It changes from up to 80 nm at the central region of the wafer down to 40 nm at its edge Furthermore the crystallite size decreases from the wafer centre to the wafer edge

Fig 1 Cross-sectional TEM micrographs of the GaN nucleation layers obtained at a recrystallization time of a) 2 min and b) of 6 min The images were obtained at the centre (left) and the edge (right) of the wafers Arrows in (b) indicate small LiAl5O8 grains at the GaNLiAlO2 interface which appear due to substrate decomposition

Longer recrystallization time improves the structure and morphology of the GaN nucleation

layers An increase of the recrystallization time from 2 min up to 6 min leads to an almost homogeneous layer thickness over the wafer (Fig 1b) The surface roughness decreases compared to the previous sample indicating that transport processes occur on the surface minimizing the free surface energy In addition the longer recrystallization leads to the formation of a GaN layer with a larger grain size indicating progression of coalescence with time Furthermore the longer recrystallization time supports the decomposition of LiAlO2 underneath the GaN layer which results in the formation of epitaxial LiAl5O8 grains at the GaNLiAlO2-interface (see the arrows in Fig 1b) [5]

Plan-view analysis shows that the GaN layers contain a number of hexagonal grains which appear dark in STEM annular dark-field images (Fig 2a) The grain density increases from the centre to the edge of the wafer High resolution TEM analysis (Fig 2b) reveals that these grains as well as the rest of the layer contain c-plane oriented GaN Thus the dark colour in the STEM dark-field image must be attributed to the smaller specimen thickness of the grains The EELS spectrum from a hexagonal grain shows a strong carbon K-edge (Fig 2c) However this result must be carefully interpreted since carbon is often introduced by the specimen preparation In this case preferred agglomeration of the carbon atoms is possible for the particular layer geometry where some hexagonal grains are thinner than the surrounding layer and consequently appear as pits

The origin of the pit formation in the GaN nucleation layers is not clear yet Obviously the GaN grains show a different growth rate which can be explained either by the presence of inversion domains or by a different chemical composition (for example by Li or O impurities

Growth of c-Plane GaN Films on (100) γ-LiAlO2 by Hydride Vapour Phase Epitaxy 47

which stem from the substrate decomposition) We tried to identify the inversion domains in cross-section by the CBED technique Unfortunately this analysis was not successful Because of the columnar layer structure and a high defect density in the nucleation layers the symmetric contrast in CBED discs vanishes which does not allow us to carry out polarity measurements

Fig 2 a) Plan-view STEM dark-field micrograph of a GaN layer on LiAlO2 b) HRTEM image of a hexagonal grain visible in (a) The enlarged inset demonstrates that the hexagonal grains contain c-plane oriented GaN c) EELS spectra from the points 1 and 2 (marked in (a)) Strong carbon K-edge is visible in the spectrum obtained from the hexagonal grain

32 Structure of 2 inch Freestanding GaN Layers Figure 3 shows a cross-section light microscopy image of a freestanding GaN layer obtained by HVPE The layer contains 12-sided V-pits which are partly 100 microm deep They are surrounded by hexagonal pyramids The pyramids originate at the interface to the LiAlO2 substrate They intersect the whole GaN layer thickness Their density increases rapidly from the centre to the edge of the wafer which is similar to the observed pit distribution in thin GaN nucleation layers The GaN layer regions which are free of pyramids are transparent and colourless whereas the pyramid material is brown in colour (see the black coloured pyramids in Fig 3) The dark colour may indicate a nitrogen-deficiency in the grown layers

Fig 3 Freestanding GaN layer in cross-section The V-pits are surrounded by hexagonal pyramids The dark pyramid colour may indicate a nitrogen-deficiency in the grown sample

EDXS analysis did not show any compositional difference between both regions Electron

diffraction reveals the presence of only wurtzite GaN phase Plan-view investigations were carried out to analyse the defect structure of the layers In this case the specimen preparation was complicated by the very high ion milling rates of the brown regions compared to the colourless GaN matrix Figure 4a shows the bright-field micrographs obtained from a colourless GaN matrix and a brown pyramid The brown regions show an extremely high defect density with evidence of crack formation This explains the higher ion milling rates of the brown GaN regions during the specimen preparation EELS spectra from the pyramid material contain a C-K edge (not shown here) However a preferential agglomeration of C at dislocation bunches as well as in cracks is possible That is why the presence of C in the samples still has to be verified by other chemically sensitive methods

48 A Mogilatenko et al

Polarity of the freestanding GaN layers was studied using convergent beam electron diffraction (CBED) The CBED patterns were obtained in the [1-100] GaN zone axis A 180deg-rotation between the image and diffraction plane has been measured for our microscope Simulations were carried out using the software package JEMS for specimen thicknesses ranging from 80 nm to 300 nm in 10 nm steps The CBED analysis shows that the freestanding GaN layers grown by HVPE have the Ga polarity ie the [0001] GaN axis is oriented upwards (Fig 4b)

Fig 4 a) Bright-field micrographs from the white and brown regions of the GaN wafer b) Experimental CBED patterns (resp left) acquired from the freestanding GaN layer and calculated CBED patterns (resp right) The layers have the Ga-polarity

4 Summary C-plane oriented GaN nucleation layers have been grown on (100) γ-LiAlO2 substrates by HVPE process Longer recrystallization time considerably improves the structure and morphology of the GaN layers Nevertheless the layers contain hexagonal pits The pit formation can be explained by a different growth rate of the particular GaN grains The pit density increases from the centre to the edge of the wafers

Colourless freestanding 2 inch (0001) GaN wafers contain 12-sided V-pits which are surrounded by brownish GaN pyramids The brown regions show an extremely high defect density compared to the colourless GaN The freestanding GaN layers grown by HVPE have the Ga-polarity Whether the presence of hexagonal pits in the thin GaN nucleation layers is a reason for the formation of macroscopic dark pyramids in the thicker layers still needs to be clarified Acknowledgements Authors would like to thank K Peters and U Rinas from CrysTec GmbH Berlin for the processing of γ-LiAlO2 wafers This work is financially supported by the Investitionsbank Berlin and the European Regional Development Fund under the ProFIT scheme References 1 Maruska H P Hill D W Chou M C Gallagher J J and Chai B H 2003 Opto-electron Rev 11 7 2 Waltereit P Brandt O Ramsteiner M Trampert A Grahn H T Menniger J Reiche M Uecker

R Reiche P and Ploog K H 2000 Phys Stat Sol (a) 180 133 3 Reed M D Kryliouk O M Mastro M A and Anderson T J 2005 J Cryst Growth 274 14 4 Richter E Hennig Ch Zeimer U Weyers M Traumlnkle G Reiche P Ganschow S Uecker R and

Peters K 2006 Phys Stat Sol (c) 3 1439 5 Mogilatenko A Neumann W Richter E Weyers M Velickov B and Uecker R submitted to J

Appl Phys

Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire R Kroumlger T Paskova and A Rosenauer

Institute of Solid State Physics University of Bremen Otto-Hahn-Allee 1 28359 Bremen Germany Summary The defect structure in a-plane GaN films grown on r-plane sapphire distinguishes itself significantly from the one found in c-plane GaN Transmission electron microscopy studies on a-plane GaN films grown by hydride vapour phase epitaxy reveal basal plane stacking faults with a density in the range of 105 cm-1 as a major planar defect in this type of growth In addition prismatic and pyramidal stacking faults were found lying in the 1-100 and 1-102 planes The non-basal plane stacking faults are found in conjunction with nanopipe-like voids emerging at the filmsubstrate interface It is suggested that the formation of the prismatic and pyramidal stacking faults is caused by interfacial strain during the early stage of growth 1 Introduction The realization of nitride-based semiconductors with non-polar or semi-polar growth surfaces is of great technological interest since it will enable the production of optoelectronic device structures with no or a reduced spontaneous polarization fields which will enhance the efficiency of the devices However compared to state-of-art high-quality films grown in the c-orientation non-polar or semi-polar nitride films suffer from a high density of structural defects [1] The defect structure in these materials differs significantly in some aspects from those commonly found in polar c-plane nitride films In order to improve the material quality a better understanding of the defect formation mechanisms and a detailed knowledge of their structure are required A promising growth technique for the deposition of high-quality nitride films with large growth rates for the realization of nitride based bulk substrates is hydride vapour phase epitaxy (HVPE) [2] For this aim the present paper focuses on the defect structure of a-plane wurtzite GaN films grown on r-plane sapphire by HVPE 2 Experimental Details Thick GaN film with a thickness of about 20 microm was deposited by means of HVPE on an r-plane sapphire substrate in a conventional horizontal reactor at atmospheric pressure The microstructural analysis was performed by transmission electron microscopy (TEM) using a Philips CM20UT TEM specimen preparation was carried out by mechanical grinding and subsequent thinning to electron transparency applying a focused ion beam system (FEI NOVA NanoLab) This preparation enabled to obtain large planar areas to be investigated by TEM in plan view as well as in cross section geometry 3 Results and Discussion Growth related planar defects such as basal plane stacking faults (BSFs) are commonly observed in a-plane or m-plane GaN heteroepitaxially grown on different substrates having densities in the range of 10-5 cm-1 [3-5] The dominant type of stacking fault is the I1-type with a stacking sequence ABABCBCB being terminated by Frank-Shockley partial dislocations with Burgers vector b=16lt2-203gt [5] In the investigated sample only stacking faults of the I1-type could be identified which is the lowest-energy stacking fault in the wurtzite GaN [6] indicating near

50 R Kroumlger T Paskova and A Rosenauer equilibrium growth conditions Figure 1a shows a bright-field TEM (BFTEM) image of such BSFs The white arrow indicates the presence of a prismatic stacking fault (PSF) lying in 1-210 planes inclined at an angle of 60deg relative to the (11-20) growth plane [5] The lateral dimension of these PSFs is only a few nanometers and they are terminated by I1 type BSFs bounded by16[10-10] and 16[-1010] stair-rod dislocations The high density of BSFs is the reason for streak formation in the selected area diffraction (SAD) pattern as can be observed in Fig 1b

Fig 1 a) A BFTEM plan-view image of an area containing BSFs The white arrow indicates the presence of a 60deg PSF being bounded by two BSFs The insert on the right is a magnification of the area indicated in (a) by a white rectangle b) An SAD pattern taken along the [11-20] zone axis The white arrow indicates a streak

To determine whether the BSFs result from a dislocation split reaction it is useful to calculate the stacking fault energy from the observed partial dislocation distances The stacking fault energy γ of a stacking fault bounded by two partial dislocations having the (0001) as slip plane and with a separation distance d after a dissociation reaction can be calculated for elastically anisotropic crystals according to [6]

γ =b2

24πd3sin2 φ minus cos2 φ( )K1 + 3cos2 φ minus sin2 φ( )K3[ ]

Here b is the magnitude of the Burgers vector (BV) of the partial dislocations φ is the angle between BV and dislocation line direction whereas the values of K1 and K3 depend on the elastic constants and can be calculated from the equations given by Steeds [6] For the basal glide plane the resulting values of K1 and K3 are 1465 GPa and 1201 GPa respectively Using these values and the stacking fault formation energy for I1 type stacking faults given by Stampfl et al [7] a separation distance d of 275 nm is estimated which is much lower than the experimentally determined distances of several hundred nanometers From this fact it can be concluded that the main driving force for the BSF formation is not a dislocation split reaction but is rather connected with the nucleation step of the film formation and the strong anisotropy of the lattice mismatch between the a-plane GaN film and the r-plane sapphire Beside the BSFs and the 60deg PSF also non-basal plane stacking faults were observed lying on the 1-100 and 1-102 planes respectively (Figs 2a and 2b) These prismatic and pyramidal stacking fault segments frequently occurred in the arrangement of closed domains created by a stacking fault folding process In the 0002 dark-field image (see Fig 2c) the PSF related fringe contrast appeared when the sample was tilted towards the 1-100 pole This indicates that the displacement vectors R of the different stacking fault segments do not lie in the reflecting planes ie the 1-100 planes since the visibility of the PSF under these conditions leads to g0002middotR ne 0

Interaction of Stacking Faults in Wurtzite a-Plane GaN on r-Plane Sapphire 51

with g0002 being the respective diffraction vector The voids shown in Figs 2a and 2b are in fact nanopipes emerging from the filmsubstrate interface as can be seen from Figs 3a and 3b The lateral dimensions of these nanopipes are in the range of 30 ndash 100 nm and their length was found to amount to several micrometers

Fig 2 a) A Plan-view bright field TEM images of HVPE a-plane GaN tilted by 4deg towards the 1-100 pole and b) tilted by 45deg towards the 0002 pole c) A 0002 dark-field image of the same sample position tilted by 6deg towards the 1-100 pole and showing the stacking fault contrast of the PSFs

The process of stacking fault folding involves stair-rod dislocations to mediate between the different prismatic and pyramidal crystal planes since the displacement vectors of the stacking faults in the 1-100 and 1-102 planes are not the same An HRTEM plan-view of the tip of a prismaticpyramidal stacking fault domain found in an HVPE film is shown in Fig 4a The arrows indicate the position of stair-rod dislocations It is noteworthy that the tip involves the interaction with an I1 BSF being typical for all such domains found in the film The tip position coincides with a terminated BSF and consists of two r-plane PSF each of them subsequently folding onto the m-plane A magnified part of the PSF on the m-plane is shown in Fig 4b with a BSF crossing the PSF boundary The arrows mark the position of the BSF inside (left) and outside (right) of the stacking fault domain which shows a significant relative shift in c-direction This shift indicates that the PSF domain was formed after the BSF and that the PSFs were therefore formed after the basal plane stacking faults

Fig 3 a) BFTEM cross-section views along the [0001] direction showing a nanopipe formed in HVPE GaN grown directly on sapphire The image taken in a thicker part of the specimen and showing that the pipes originate at the GaNsapphire interface b) BFTEM image taken in a thinner part of the sample showing that the 2-1-10 terminate the nanopipe in growth direction

52 R Kroumlger T Paskova and A Rosenauer

Fig 4 a) Plan-view HRTEM image showing the tip of a folded stacking fault White arrows assign the positions of stair-rod dislocations b) HRTEM image of an m-plane PSF The arrows assign a BSF crossing the PSF and being shifted in c-direction

In Fig 5 a schematic overview is given summarizing the different planar defects found in a-plane GaN grown on r-plane sapphire Beside the I1 basal plane stacking faults (I) bounded by FS partial dislocations folded stacking fault domains containing m- and r-plane facets (II) as well as 60deg prismatic stacking faults bounded by two I1 stacking faults (III) were identified in the investigated film The prismatic and pyramidal stacking fault formation indicates the presence of strong external stresses during growth which is likely to be connected with wafer bending due to the lattice mismatch and different thermal expansion coefficients for the GaN and the sapphire

Fig 5 Sketches of stacking faults found in a-plane GaN grown on r-plane sapphire Grey arrows indicate stair-rod dislocations mediating stacking faults on different planes and grey slabs assign terminating partials

Acknowledgements Support by the Deutsche Forschungsgemeinschaft (Contract No FOR506-KR2195) is gratefully acknowledged We thank PT Fini JS Speck and S Nakamura for sample supply References 1 Craven M D Lim S H Wu F Speck J S and DenBaars S P 2002 Appl Phys Lett 81 469 2 Martin D Napierala J Ilegems M Butteacute R and Grandjean N 2006 Appl Phys Lett 88

241914 3 Haskell B A Wu F Craven M D Matsuda S Fini P T Fujii T Fujito K DenBaars S P

Speck J S and Nakamura S 2003 Appl Phys Lett 83 644 4 Liu T Y Trampert A Sun Y J Brandt O and Ploog K H 2004 Phil Mag Letters 84 435 5 Zakharov D N Liliental-Weber Z Wagner B Reitmeier Z J Preble E A and Davis R F 2005

Phys Rev B 71 235334 6 Steeds J W 1973 Introduction to Anisotropic Elasticity Theory of Dislocations Clarendon

Press Oxford 7 Stampfl C and van de Walle C 1998 Phys Rev B 57 R15 052

Composite Substrates for GaN Growth B Peacutecz L Toacuteth L Dobos P Bove1 H Lahregraveche1 and R Langer1 Research Institute for Technical Physics and Materials Science Hungarian Academy of Sciences POBox 49 H-1525 Budapest Hungary 1 Picogiga International SAS Place Marcel Rebuffat Courtaboeuf 7 91971 Villejust France Summary This work reports on the development of composite substrates realised using the Smart Cuttrade technology A thin film of monocrystalline SiC or Si is transferred onto polycrystalline SiC Those composite substrates can be prepared for 4rdquo size and over as well GaN layers are grown onto the above substrates in order to demonstrate that they can be used for the fabrication of GaN high electron mobility transistor (HEMT) devices The epitaxial nitride layers have been grown successfully and exhibit state of the art GaN HEMTs grown on silicon in terms of crystal and electrical properties 1 Introduction GaN has been demonstrated as an appropriate choice for high electron mobility transistor (HEMT) devices Large area substrates are needed for them in order to keep the unit cost low However GaN can be grown on SiC but SiC is still very expensive Although growth of GaN is realised on Si and on sapphire as well the thermal properties of those substrates suffer from low thermal conductivity The substrates which are presented in this paper have been developed in the HYPHEN EU project utilising the Smart CutTM process of SOITEC The base material is a polycrystalline SiC wafer which is available in large diameter 2 Experimental Four inch large wafers of polycrystalline SiC are used as starting material Another wafer of single crystalline Si (also hexagonal SiC) was used as a seed crystal Both wafers were oxidised and the seed crystal wafer was implanted with hydrogen ions to the appropriate depth which can be regulated by the ion energy A typical dose applied is 5x1016 ions cm-2 The two whole wafers are cleaned after ion implantation in order to eliminate any contamination and to make both surfaces hydrophilic The two wafers are aligned and contacted to each other making wafer bonding The wafer bonded structure is annealed and the defects caused by hydrogen implantation are accumulated to voids and the top stripe of the wafer is split during annealing The process is described in the following review [1] The remaining part of the seed wafer can be used further while a single crystalline strip of the Si (or SiC) is transferred to the polycrystalline SiC substrate separated by an oxide layer Our composite substrates are prepared in the above way and called SopSiC (meaning Si on polycrystalline SiC) and SiCopSiC (meaning SiC on polycrystalline SiC) In the case of SopSiC wafers (111) Si wafers are used which provide the appropriate orientation for the growth of hexagonal GaN GaN layers are grown onto SopSiC substrates in order to demonstrate that they are useful for that purpose

Both the starting material and the composite substrates are characterised by transmission electron microscopy (TEM) atomic force microscopy (AFM) Conventional TEM images were taken on a Philips CM20 microscope while high resolution images and elemental maps were taken on a JEOL 3010 operating at 300 kV Thin sections of the samples were prepared by our low angle ion beam milling technique [2 3]

54 B Peacutecz et al

3 Results 31 Polycrystalline SiC Starting Material

The image shown in Fig 1 is taken at low magnification and shows the polycrystalline wafer in plan view Both the selected area diffraction pattern and the X-ray diffractometry spectrum shows that this is a cubic SiC wafer with a strong (111) preferred orientation The X-ray spectrum is governed by the (111) and (222) peaks the (200) and (220) peaks are completely missing while a very small (311) peak is observed

Fig 1 Plan view image of polycrystalline SiC

Figure 2 shows the same sample at higher magnification in dark field mode One can see that the average grain size is about 1 microm from the top view In cross section (Fig 3) a columnar structure is revealed with long columns of cubic SiC Further details are learned from high magnification images like the typical stacking faults lying horizontally inside the columns The top surface is missing in most of the image in Fig 3 due to the ion milling however in the left side we can see the glue line above the top surface Although the polycrystalline SiC wafers are polished to mirror-like some surface steps are found which are however expected to be covered homogeneously by SiO2 in the next step The wafer is also characterised by Makyoh (magic mirror) topography and the curvature is determined with a radius of 333 m The bow of the wafer is a few times 10 microns

Fig 2 Dark field image of the poly-SiC Fig 3 Cross section of the poly-SiC wafer

Composite Substrates for GaN Growth 55

32 Composite substrates A typical example for a SopSiC substrate prepared by Smart Cuttrade is shown in Fig 4 Crystalline defects are not visible in the Si layer Both the bright field (Fig 4) and dark field images had shown

Fig 4 Cross section of SopSiC substrate Fig 5 AFM image of the surface of the SopSiC sample

that the surface of this SopSiC sample is a little wavy For that reason the surface roughness was measured by AFM and is shown in Fig 5 on a 2 microm x 2 microm area The rms value of 57 nm was evaluated which seems high but is perfectly suitable for GaN growth by MBE or MOCVD

An investigated development related to the surface preparation of the raw materials showed a drastic improvement in the surface roughness with an rms value of 06 nm which is one order of magnitude better than the former one Hexagonal SiC layers were also successfully transferred onto polycrystalline SiC by the Smart Cuttrade process

Fig 6 The nitride buffer layer of a HEMT structure grown by molecular beam epitaxy (MBE) onto SopSiC substrate One can see that the structure is homogeneous which is also confirmed by the following elemental maps

56 B Peacutecz et al

Fig 7 Nitrogen map Silicon map Oxygen map

Following the structure shown in Fig 6 the silicon map in the second image of Fig 7 shows a laterally homogeneous Si strip The markers on the elemental maps of Fig 7 are 20 nm long A complete HEMT structure was grown onto that single crystalline (111) oriented silicon with an ~1500 nm thick Ga(Al)N layer and with a GaNAlGaN of a few times 10 nm with a final cap layer of 1 nm GaN The dislocation density at the surface was determined on plan view images as 7-75 x 109 cm-2 which is in the expected range when GaN is grown onto Si 4 Conclusion Polycrystalline SiC wafers can be used for wafer bonding without any adhesion problem The transferred Si stripes (together with an amorphous SiO2 layer) are homogeneous It is also proved already that the composite substrates can be used for the growth of high quality nitride layers Because the SiSiO2 interface does not contain defects at all that does not limit the quality of the overgrown nitride layers therefore the SopSiC composite substrate is very promising for HEMT fabrication Acknowledgements The authors would like to thank ZsE Horvath for the X-ray data and Z Osvath for the AFM measurements Financial support of the HYPHEN (Hybrid Substrates for Mass Production of High Frequency Electronics) EU project (No FP6 IST 027455) and OTKA T047141 (Hungary) are acknowledged References 1 Celler G K and Cristoloveanu S 2003 J Appl Phys 93 4955 2 Barna A Radnoacuteczi G and Peacutecz B 1997 Handbook of Microscopy (eds S Amelinckx D van

Dyck J van Landuyt G van Tendelo) VCH Verlag Vol 3 Chapter II3 3 Barna A Peacutecz B and Menyhard M 1998 Ultramicroscopy 70 161

GaN Layers Grown by MOCVD on Composite SiC Substrate L Toacuteth L Dobos B Peacutecz M A di Forte Poisson1 and R Langer2 Research Institute for Technical Physics and Materials Science of the Hungarian Academy of Sciences H-1525 Budapest P O Box 49 Hungary 1 ALCATEL-THALES III-V Laboratories Route de Nozay 91460 Marcoussis France 2 PICOGIGA International SAS Place Marcel Rebuffat Courtaboeuf 7 91971 Villejust France Summary GaN based high electron mobility transistor structures were grown onto special composite substrates by metal-organic chemical vapor deposition These substrates consist of a thin single crystalline SiC layer transferred onto polycrystalline SiC wafer by a technique involving ion implantation and wafer bonding Transmission electron microscopy of these structures has proven that their epitaxial quality and defect structure is the same as of the reference samples deposited onto single crystalline bulk SiC substrate 1 Introduction The widespread use of various GaN based semiconductor devices (optoelectronic as well as high frequency and high power devices eg high electron mobility transistors (HEMTs)) is still limited due to the high cost of the large diameter single crystal substrates which are needed to manufacture them 6H and 4H SiC single crystal wafers are regarded as the most suitable substrate materials Al2O3 (sapphire) and Si with less optimal properties are used as well though their heat conductivity and lattice matching are inferior to SiC therefore the dissipated power and lifetime of the devices are much less

The European research project HYPHEN aims to solve this problem by developing special composite substrates and using them as a base for high electron mobility transistor (HEMT) devices In this process very thin layers of high quality monocrystalline SiC are transferred onto polycrystalline SiC wafers by the Smart Cuttrade technology involving ion implantation and wafer bonding [1] In this way a compromise between the highly conductive low cost poly-SiC and the defect-free lattice matched single crystalline SiC can be realized Details of this development are described elsewhere in this volume [2] In this paper the results of transmission electron microscopy (TEM) characterisation of GaN based HEMT structures grown on these special composite substrates by metal-organic chemical vapour deposition (MOCVD) will be presented 2 Experimental Special composite SiCopSiC (silicon-carbide-on-polycrystalline-silicon-carbide) substrates were prepared according to the technology described in another paper in the same volume [2] by transferring thin monocrystalline SiC-6H layers onto a 2rdquo polycrystalline SiC wafer (3C polytype) separated by a thin SiO2 layer These substrates were placed in a MOCVD reactor to deposit various (GaAl)N layers forming a HEMT structure First a 140 nm thick GaAlN nucleation layer was deposited followed by 15 microm GaN and a 22 nm Ga1-xAlxN (x=024) layer

Standard plan view and cross sectional TEM specimens were prepared by Ar+ ion milling at 10 keV which was lowered to 3 keV for the final minutes of the preparation process to decrease surface damage of the specimens Conventional TEM study was carried out on a Philips CM20 transmission electron microscope at 200 kV High resolution and filtered electron microscopy was applied as well in a 300 kV JEOL3010 microscope attached with a GATAN imaging filter

58 L Toacuteth et al

3 Results and Discussion The overall view of cross sectional specimens of GaN on SiCopSiC substrate were found to be similar to the reference (on bulk SiC) as well as to that expected (Fig 1) namely good quality epitaxial films with a strongly defected GaAlN nucleation layer at the interface and more defect-free GaN near the top surface The orientation relationship GaNSiC determined from electron diffraction patterns (Fig 2) was also the same well known from earlier studies

(0001)GaN || (0001)SiC [1100]GaN || [1100]SiC

Fig 1 Cross sectional TEM micrographs of the HEMT structures grown over a) SiCopSiC composite substrate and b) bulk SiC wafer

Fig 2 Selected area electron diffraction patterns of the GaN layer and the substrates for a) SiCopSiC composite substrate and b) bulk SiC wafer showing the epitaxial orientation relationship

GaN Layers Grown by MOCVD on Composite SiC Substrate 59

The interface GaAlNSiC however was not as planar on SiCopSiC substrates as on bulk SiC although the phase transition was very sharp according to high resolution TEM micrographs (Fig 3b) This comes from the wavy nature of the composite substrate surface (mean rms roughness value of about 4 nm determined by AFM two orders of magnitude higher than on bulk SiC) and was also confirmed by elemental mapping using filtered electrons (Fig 4)

Fig 3 a) Bright field and b) high resolution electron micrographs of the GaAlNSiC interface of the sample deposited onto SiCopSiC composite substrate

Fig 4 Zero loss image (ZLI) and elemental maps of Si C and N of the same area at the interface GaAlNSiCopSiC The interface is sharp although the substrate surface is wavy

60 L Toacuteth et al

In spite of the slightly uneven GaNSiC interface the top surface of the HEMT structure was found to be atomistically flat and planar except of the vicinity of emergence points of threading dislocations (Fig 5) Their density near the top surface measured on plan view specimens under two-beam dynamic imaging conditions was found to be 13 ndash 16 x 109 cm-2 a value corresponding to that measured on bulk SiC specimens as well as reported in the literature [3] Their Burgers vector was determined as well b = 13lt1123gt or 13lt1120gt In the nucleation layer as usual the density of dislocations was orders of magnitude higher The rms surface roughness of the top surface was found by AFM to be below 04 nm for both SiCopSiC and bulk SiC substrate

Fig 5 Bright field electron micrographs of the Ga1-xAlxN layer at the top surface of a) the sample on SiCopSiC substrate and b) the reference sample on bulk SiC wafer showing threading dislocation

4 Conclusions It has been shown that the epitaxial quality and microstructure of the formed HEMT layer structure is not changed significantly by using composite SiCopSiC substrate instead of bulk SiC substrate In spite of the slightly wavy surface of the composite substrate the top surface of the HEMT structure is completely planar The most characteristic defects at the active layer are mixed type threading dislocations with density of about 15 x 109 cm-2 the same value as observed in similar layers deposited onto bulk SiC substrate Acknowledgement Financial support of the HYPHEN (Hybrid Substrates for Mass Production of High Frequency Electronics) EU project (No FP6 IST 027455) and OTKA T047141 (Hungary) are acknowledged References 1 Celler G K and Cristoloveanu S 2003 J of Appl Phys 93 4955 2 Peacutecz B Toacuteth L Dobos L Bove P and Langer R 2007 this Proceedings volume 3 Jahnen B Albrecht M Dorsch W Christiansen S Strunk H P Hanser D and Davis R F 1998

MRS Internet J Nitride Semicond Res 3 39

An Initial Exploration of GaN Grown on a Ge-(111) Substrate Y Zhang C McAleese H Xiu C J Humphreys R R Lieten1 S Degroote1 and G Borghs1

Department of Materials Science and Metallurgy University of Cambridge Cambridge CB2 3QZ UK 1 Interuniversity Microelectronics Center Kapeldreef 75 B-3001 Leuven Belgium Summary Using electron microscopy techniques two types of defect have been identified in a GaN epilayer grown directly on a Ge-(111) substrate using plasma assisted molecular beam epitaxy (PAMBE) without any intermediate buffer layers One defect initially observed with optical microscopy has a triangular shape in plan-view imaging and is essentially a faceted void in the Ge extending from the interface into the substrate Another type of defect is the formation of domains due to the misorientation of the GaN relative to the Ge substrate with a twist of plusmn4˚ about the GaN-[0002] axis 1 Introduction GaN and other wide band-gap III-nitride semiconductors have attracted enormous attention in the last decade due to their electronic and optoelectronic properties The widely used substrates sapphire SiC and Si all suffer from large lattice mismatches with respect to GaN of 15 35 and -17 respectively [1] Until recently Ge substrates have never been used to grow GaN and other III-nitrides due to the theoretically huge lattice mismatch The in-plane lattice mismatch between Ge-(111) and GaN-(0001) for example is over 20 However recent research has investigated the possibility of using Ge as a substrate for direct GaN growth and found the quality of GaN grown on Ge using PAMBE to be surprisingly good [2] The GaN epilayer coalesced easily to give a flat surface Good crystal quality was revealed by XRD measurements with 371 arc sec FWHM for the rocking curve of a ~38 nm GaN epilayer Rectifying behaviour for n-GaN on p-Ge was also observed in current-voltage measurements These results suggest that direct growth of good quality GaN on Ge is possible which could lead to the application of Ge substrates in vertically conductive devices To investigate this possibility as well as to further improve the crystal quality of GaN structural characterisation has been initiated using a combination of techniques including optical microscope (OM) atomic force microscopy (AFM) X-ray diffraction (XRD) transmission electron microscopy (TEM) and scanning transmission electron microscopy (STEM) Particular interest was focused on the defects that exist in the GaN epilayer in an attempt to understand the growth mechanism and to assist in the optimisation of growth conditions Initial work has found two types of defect that were not observed in GaN on other substrates [3-4] The identification of these features is the main object of this paper 2 Experimental Details A GaN epilayer with a nominal thickness of 280 nm was grown in a MBE apparatus (Riber) equipped with a nitrogen plasma source (HD25 Oxford Applied Research) Details of the growth can be found in [2] The structure of the wafer was characterised using different techniques Optical microscopy gave a quick plan-view of the wafer on a large scale Tapping mode AFM was used to examine the surface morphology of GaN A Philips MRD high-resolution X-ray diffractometer was used to determine the orientation of GaN using Phi scans of skew-symmetric reflections For TEM work a Philips CM 30 microscope was used to perform diffraction pattern

62 Y Zhang et al

(DP) analysis bright field (BF) and dark field (DF) imaging and an FEI Tecnai F20 microscope was used to perform STEM-EDX analysis to obtain compositional information from the sample Both plan-view and cross-section TEM samples were prepared by a standard method ie mechanical grinding and polishing down to 40 microm and then ion-milling down to electron transparency using a Gatan precision ion polishing system (PIPS) 3 Results and Discussion 31 Triangular Void Formation One kind of defect observed with OM has a triangular shape in plan-view imaging as shown in Fig 1 The triangular defects are roughly in the same orientation and their sizes range from several hundred nm up to ten microm The density is about 1 triangle per 10 micromtimes10 microm AFM was used to examine the possibility of these triangular defects being located on the surface Considering the density of the triangular defects several AFM images with scanning area up to 20 micromtimes20 microm were taken A representative image is shown in Fig 2 No obvious triangular feature is observed However some dark areas whose size and density are similar to the triangular defects are observed indicating some depressions which might be related to the defects

40microm

Depressions

50 microm

Fig 3 TEM and STEM on cross-section and plan-view samples a)ampb) cross-section BF images of two different regions c) STEM-EDX analysis on a triangular defect in the plan-view sample

Ge

GaN

200 nm Faceted void

Ge

GaN

500 nm

Vacuum

Faceted void

500 nm

12

Energy (keV)

Cou

nts

11010090

4000

3000

2000

1000

0

Ga

GaCu

Ge

Ge

EDX HAADF Detector Point 1

Energy (keV)

Cou

nts

11010090

4000

3000

2000

1000

0

Ge

GeCu Cu

Ga

Ga

EDX HAADF Detector Point 2

(a)

(b) (c)

13295

000

Fig 1 Optical plan-view image showing the triangular defects

Fig 2 AFM image showing depressions but no triangular defects on the surface

An Initial Exploration of GaN Grown on a Ge-(111) Substrate 63

TEM and STEM give more conclusive results about the nature of the triangular defects as shown in Fig 3 Figures 3a and 3b are cross-section BF images of two different regions in the sample Faceted voids are clearly seen at the GaN-Ge interface The density and size of the voids are consistent with those of the triangular defects observed with optical microscopy Fig 3c shows STEM-EDX analysis on a plan-view sample The top image is a plan-view of a triangular defect The bottom left spectrum gives Ga and Ge concentration at point 1 which is inside the triangular area and the bottom right spectrum gives the concentration outside the area It can be inferred from the Ga and Ge peaks that the Ga concentration is the same for the two points while there is much less Ge inside the triangular area supporting the existence of Ge voids beneath the surface

All the evidence suggests the triangular defects are faceted voids in Ge extending from the interface into the substrate Their formation might arise from desorption of Ge prior to or during the early stages of GaN growth because of the low melting temperature of the Ge substrate 32 Misorientation of GaN Figure 4a shows a representative plan-view BF image of the sample Strong contrast can be observed in the image which can be either due to residual Ge left in some regions after TEM sample preparation or due to the fact that GaN is growing on Ge in more than one orientation STEM-EDX analysis on the plan-view sample (not shown here) suggests that the Ge concentration is uniformly low across the area where the strong contrast exists The selected area diffraction pattern obtained on an area containing both black and white contrast has shown two sets of GaN-[0002] zone axis patterns twisted relative to each other with an angle of about 8deg as shown in Fig 4b indicating the presence of two orientations of GaN grains twisted about the [0002] axis

The two orientations of the GaN grains can also be observed in cross-section images Additionally using different tilting angles the orientation of GaN relative to the Ge substrate can also be determined as shown in Fig 5 Figure 5a was taken on the Ge [110] zone axis and no contrast with the scale

200 nm

GaN

Ge

200 nm

GaN

Ge 200 nm

GaN

Ge

(a)

(b) (c) Fig 5 A series of TEM cross-section BF images taken at different titling angles a) Beam direction was along Ge [110] b) Sample was tilted 4deg about GaN [0002] zone axis away from (a) c) Sample was tilted -4deg about GaN [0002] zone axis away from (a)

1 microm

8deg

(a) (b)

1 microm

8deg

Fig 4 a) TEM BF plan-view image shows strong contrast b) Diffraction pattern analysis of (a) shows two sets of GaN-[0002] zone axis patterns

64 Y Zhang et al

observed in the plan-view image can be found If the sample is tilted about the GaN [0002] direction by 4deg (Fig 5b) or -4deg (Fig 5c) strong contrast appears on a similar scale as observed in the plan-view image and the contrast is reversed for the same region in the two images The diffraction pattern from the dark areas in both images is along the GaN-[1120] zone axis and the diffraction pattern from the bright areas shows a systematic row which is about 8deg away from the GaN [1120] zone axis

XRD results confirmed the misorientation of GaN observed in TEM as shown in Fig 6 The fact that each GaN (1011) peak has split into two sub-peaks and the Ge-(220) peak sits in-between suggests there are two orientations of the GaN grains and both of them are misorientated from the Ge The inset shows that the angles between each GaN sub-peak and the Ge peak is about 4ordm

According to the TEM and XRD results there is a misorientation of GaN grains when growing on a Ge substrate Two orientations exist in the GaN epilayer and they are twisted plusmn4ordm about the GaN-[0002] zone axis relative to the substrate The reason for this misorientation might be minimization of the large lattice mismatch between GaN and Ge Further investigation on this phenomenon will be carried out by examining the GaN-Ge interface using techniques such as high resolution TEM which will help to understand the mechanism of GaN formation on the Ge substrate 4 Summary and Conclusions The structure of a GaN epilayer directly grown on a Ge (111) substrate has been characterised using a combination of microscopic techniques Two interesting types of defect have been identified and investigated Triangular defects observed by optical microscopy are faceted voids extending from the GaN-Ge interface into the substrate Their formation is probably due to desorption of Ge prior to or during the early stages of GaN growth Another feature is the misorientation of the GaN relative to the Ge substrate with a 4ordm twist about the GaN-[0002] zone axis Further investigation into both features as well as further structural characterisation will help to understand the growth mechanism of GaN on Ge Acknowledgement The author would like to thank Cambridge Overseas Trust for financial support References 1 Liu L and Edgar J H 2002 Mater Sci Eng R 37 61 2 Lieten R R Degroote S Cheng K Leys M and Borghs G M 2006 Appl Phys Lett 89 252118 3 Weyher J L Brown P D Zauner A R A Muller S Boothroyd C B Foord D T Hageman P R

Humphreys C J Larsen P K Grzegory I and Porowski S 1999 J Crystal Growth 204 419 4 Kuwano N Hijikuro M Hata S Takeuchi M and Aoyahi Y 2007 J Crystal Growth 298 284

Fig 6 High-resolution XRD phi scan of skew-symmetric reflections

0

10000

20000

30000

40000

50000

60000

70000

80000

90000

100000

-250 -200 -150 -100 -50 0 50 100 150

GaN (1011)Ge (220)

0100002000030000400005000060000700008000090000

100000

40 42 44 46 48 50 52 54 56 58 60

0

10000

20000

30000

40000

50000

60000

70000

80000

90000

100000

-250 -200 -150 -100 -50 0 50 100 150

GaN (1011)Ge (220)

0100002000030000400005000060000700008000090000

100000

40 42 44 46 48 50 52 54 56 58 60

0

10000

20000

30000

40000

50000

60000

70000

80000

90000

100000

-250 -200 -150 -100 -50 0 50 100 150

GaN (1011)Ge (220)

0100002000030000400005000060000700008000090000

100000

40 42 44 46 48 50 52 54 56 58 60

Electron Microscopy Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE G P Dimitrakopulos Ph Komninou Th Kehagias A Delimitis J Kioseoglou S-L Sahonta E Iliopoulos1 A Georgakilas1 and Th Karakostas Solid State Section Dept of Physics Aristotle University of Thessaloniki GR 54124 Thessaloniki Greece 1 Microelectronics Research Group Dept of Physics University of Crete PO Box 2208 71003

Heraklion-Crete Greece and IESL FORTH PO Box 1527 GR 71110 Heraklion-Crete Greece Summary Misfit relaxation and related defects in AlNGaN epitaxial heterostructures were studied using an MBE-grown AlNGaN multilayer with graded AlN thicknesses starting from 3 up to 100 nm Transmission electron microscopy techniques were employed Principally elastic behaviour was observed below 6 nm AlN thickness with some roughness and undulations due to local destabilization of the growth front Above 6 nm there is introduction of misfit and a-type threading dislocations The threading dislocations were frequently found to adopt inclined zig-zag line directions across the heterostructure thus contributing to the relief of alternating compressive-tensile elastic strain 1 Introduction AlN or AlGaN layers are often employed in GaN epitaxy eg in multiple quantum wells Bragg reflectors and HEMTs as well as in the form of interlayers in order to reduce threading dislocation (TD) density [1-3] Therefore it is important to elucidate their structural properties ie misfit relaxation critical thickness and defect formation Various critical thicknesses have been reported [4-6] Fracture is one important relaxation mechanism owing to the tensile strain of AlGaN on GaN and the lack of available low energy slip systems for operation of the Matthews-Blakeslee (MB) mechanism [7] Lee et al claimed that for Al content greater than ~65 fracture dominates over MB and starts from 3 nm thickness Fracture may be followed by activation of the 13lt 0121 gt0001 slip system for misfit dislocation (MD) emanation from crack tips [4] Bourret et al [6] obtained a 3-6 nm critical thickness interval (depending on the AlN flux ratio) for the onset of relaxation through dynamical platelet coalescence in molecular beam epitaxy (MBE) Although initially part of this relaxation may be reversible elastic and not due to MD introduction progressive introduction of MDs was then observed A characteristic thickness of 9-15 nm was given for irreversible relaxation under high IIIN ratio In the present work we report on the structural properties of a graded AlNGaN multilayer that was grown in order to elucidate the critical thickness and the defects contributing to misfit relaxation Transmission electron microscopy (TEM) techniques were employed The study of the mechanisms of MD introduction is not within our present scope and will be treated elsewhere 2 Experimental A multilayer heterostructure comprising seven AlN layers with nominal thicknesses 3 5 7 10 20 50 and 100 nm separated by 150 nm GaN spacers was grown by radio-frequency plasma-assisted MBE The sample was grown at 715oC on MOCVD GaN(0001)Al2O3 template under excess Ga flux employed in order to support a Ga adlayer This suppressed the Stranski-Krastanow growth mode as evidenced by in-situ reflection high-energy electron diffraction (RHEED) The growth of

66 G P Dimitrakopulos et al

AlN was performed using an AlN flux ratio = ~1 while retaining the incident Ga flux Cross sectional TEM specimen preparation was performed by tripod polishing followed by low-angle ion milling TEM observations both conventional (CTEM) and high resolution (HRTEM) were performed on a 200 kV JEOL 2011 (019 nm point resolution Cs = 05 mm) microscope Quantitative strain analysis on HRTEM images was performed using the geometric phase method (GPM) [8] 3 Results The CTEM image of of the whole heterostructure (Fig 1) shows extensive emanation of TDs after the 7 nm AlN layer GPM allowed quantitative determination of the reduced relative displacement ε = (cs

AlNcGaN)-1 of AlN with respect to GaN along the growth direction using (0002) HRTEM fringe patterns (Fig 2) The c lattice parameter of AlN is influenced by the biaxial strain in agreement with equation ε3 = -2 (C13C33) ε1 where ε1 = (asaAlN) -1 is the biaxial strain and ε3 = (cs

AlNcAlN)-1 is the strain along the growth direction due to the tetragonal distortion In the above equations cAlN and aAlN are the lattice constants of relaxed AlN cs

AlN is the c constant of AlN under strain as is the lsquointerfacialrsquo in-plane lattice constant and C13 C33 are elastic constants

The obtained values of ε for the 3 nm and 5 nm layers are -52plusmn02 and -45plusmn03 respectively with the expected value for pseudomorphic growth being -53 Hence partial relaxation is observed already at the 5 nm layer The obtained biaxial elastic strain of the 5 nm layer is ε1 = ~10plusmn05 and the corresponding relaxation is ∆ε1 = ε1max - ε1 = ~15plusmn05 If we assume that ∆ε is accommodated entirely by MDs we calculate the expected MD spacing to be δ = ~30 nm However such MD introduction was not observed in the 5 nm layer but only after the 7 nm layer (Fig 3) A possible explanation is that part of the observed relaxation in the 5 nm layer is reversible elastic as suggested by Bourret et al [6] and is accommodated by roughness and small

Fig 1 Bright-field CTEM image along [ 0011 ] of the heterostructure showing extensive emanation of threading defects starting from the 7 nm AlN layer The vertical arrow indicates the [0001] growth direction

Fig 2 a)-b) HRTEM (0002) fringe patterns along [ 0011 ] of the 3 and 5 nm AlN layers respectively c)-d) Corresponding GPM strain maps along [0001]

AlN

EM Characterization of a Graded AlNGaN Multilayer Grown by Plasma-Assisted MBE 67

scale undulations (Fig 4) Such features are introduced due to the destabilization of the growth front caused by the dynamical platelet formation and are precursors to cracking

We have observed surface cracking starting from the 7 nm layer (Fig 5) Also optical and scanning microscopy on the top surface of the specimen revealed an irregular crack network with no well-defined crystallographic orientations Crack overgrowth was observed by AFM indicating that cracking occurred during growth

The majority of TDs were a-type emanated from the 7 nm AlN layer and were connected to the MD network by threading arms TDs were found to be affected by the strain field of the heterostructure and in many instances they would re-enter an epitaxial interface as MD segments (Fig 6(a)) or form semi-loops (Fig 6(b)) Very often TDs appear inclined from the [0001] growth direction towards lt 0110 gt a phenomenon similar to that reported by other authors [9] By adopting such orientations the TDs contribute to the strain relaxation through their projected to the (0001) plane line segments However there are two differences concerning these TDs compared to the previously observed ones The first is that the inclined TDs are generated from the epitaxial interfaces starting as threading arms In the cases previously reported the TDs mainly propagated from the template and adopted their inclination at the interface The second interesting observation is that the TDs appear to follow zig-zag lines They change their line direction upon crossing the AlNGaN interfaces so that they reverse the sense of their projected line directions while keeping the Burgers vector invariant This is equivalent to reversing their Burgers vector while keeping the line direction invariant It signifies the role of inclined TDs in providing relief to alternating elastic strain that changes from tensile in AlN to compressive in GaN Since the GaN spacers participate in the misfit relaxation by hosting inclined TDs they are compressively strained In other words strain partitioning has taken place between GaN and AlN We observe an increase of the average projected angle starting from below 20o in the first GaN spacers up to around 30o at the top GaN spacer Assuming that the TD density is approximately constant this corresponds to an approximately two-fold increase of the plastic relaxation in the top GaN spacer compared to the one between the 7 nm and 10 nm AlN layers

Fig 3 Bright-field CTEM image of the 5 7 and 10 nm layers taken under two-beam conditions with g = 2011 The specimen has been tilted away from the [ 0011 ] zone axis by ~6o about [ 2011 ] in order to broaden the projection of the interfaces Arrays of MDs are observed in the interfaces of the 7 and 10 nm layers

Fig 4 HRTEM (0002) fringe pattern along [ 0011 ] showing undulations at the upper interface of the 3 nm AlN layer

Fig 5 HRTEM image along [ 2011 ] showing surface cracks at the upper interface of the 7 nm AlN layer (black arrows) An emerging TD is shown by a white arrow

68 G P Dimitrakopulos et al

Fig 6 a) Dark-field CTEM image of the top six AlN layers taken with g= 2011 The specimen has been slightly tilted out of the [ 0011 ] zone axis about [ 2011 ] so as to broaden the projection of the interfaces TDs are observed to emerge as threading arms from MDs as well as to re-enter the interfaces as MDs Inclined TDs are seen to adopt zig-zag lines b) Weak-beam dark-field g2g image of the top four AlN layers taken with g= 2011 Many zig-zag TDs are illustrated as well as some semi-loops

4 Conclusions Accommodation of strain relaxation has been studied in an MBE-grown AlNGaN multilayer with AlN layers of increasing thickness In AlN layers thinner than 6 nm the strain was principally elastic Introduction of MD arrays as well as of TDs was initiated after 6 nm thickness TDs were found to incline from [0001] towards lt 0110 gt line directions and to adopt zig-zag lines thus relieving alternating compressive-tensile elastic strain across the heterostructure This signifies partitioning of elastic strain between AlN layers and GaN spacers The inclined TDs originated from threading arms of misfit dislocations Acknowledgement This work was supported by the EU under the Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) References 1 Takano T Narita Y Horiuchi A and Kawanishi H 2004 Appl Phys Lett 84 3567 2 Waki I Kumtornkittikul C Shimogaki Y and Nakano Y 2003 Appl Phys Lett 82 4465 3 Komninou Ph Kehagias Th Kioseoglou J Dimitrakopulos G P Sampath A Moustakas T D

Nouet G and Karakostas Th 2002 J Phys Condensed Matter 14 13277 4 Lee S R Koleske D D Cross K C Floro J A Waldrip K E Wise A T and Mahajan S 2004

Appl Phys Lett 85 6164 5 Bykhovski A D Gelmont B L and Shur M S 1995 J Appl Phys 78 3691 6 Bourret A Adelmann C Daudin B Rouviegravere J-L Feuillet G and Mula G 2001 Phys Rev B

63 245307 7 Matthews J W and Blakeslee A E 1974 J Cryst Growth 27 118 8 Hyumltch M J Snoek E and Kilaas R 1998 Ultramicroscopy 74 131 9 Cantu P Wu F Waltereit P Keller S Romanov A E DenBaars S P and Speck J S 2005 J Appl

Phys 97 103534

The Effect of Silane Treatment of AlxGa1-xN Surfaces N Ketteniss M J Kappers C McAleese and R A Oliver Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary AlxGa1-xN epilayers grown by metal-organic vapour-phase epitaxy have been treated in situ post-growth with silane and ammonia with the aim of increasing the size of the surface pits relating to threading dislocations in order to allow easy quantification of the dislocation density The treatment was successful for relaxed samples but resulted in the formation of nanoscale hillocks for epilayers under tensile strain 1 Introduction GaN light emitting diodes (LEDs) and laser diodes with wavelengths in the visible spectrum are now widely used in the optoelectronics industry However the attention of researchers is turning to devices emitting in the ultra-violet Access to this wavelength range requires the growth of high quality AlxGa1-xN epitaxial layers crystal defects such as threading dislocations (TDs) are known to limit device performance [1] Hence methods for TD density reduction in AlxGa1-xN are being developed and fast turnaround routes for the characterisation of TD densities are required

We have previously described a method to highlight TDs in MOVPE-grown GaN using an in situ treatment with SiH4 and NH3 [2] The surface treatment was observed to increase the size of surface pits associated with both screwmixed-type and edge-type TDs for n-type p-type and undoped GaN epilayers allowing fast and accurate characterization of TD densities and TD-type populations by atomic force microscopy (AFM) Here we investigate the applicability of this surface treatment to AlxGa1-xN layers 2 Experimental Ca 150 nm thick AlxGa1-xN epilayers were grown on GaN pseudo-substrates by metal-organic vapour-phase epitaxy (MOVPE) in a 6 times 2rdquo Thomas Swan close-coupled showerhead reactor The pseudo-substrates consisted of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 560 degC AlxGa1-xN layers were grown using the precursors trimethylaluminium (TMA) trimethylgallium (TMG) and ammonia (NH3) with H2 as a carrier gas Prior to growth of the AlxGa1-xN epilayer a 500 nm GaN connecting layer was grown to bury the regrowth interface providing a clean flat surface characterized by evenly-spaced monolayer steps For each Al-content studied a pair of samples was grown under identical conditions apart from the surface treatment Of each pair one sample received no treatment but was immediately cooled to room temperature after the epilayer growth The other sample was treated at 860 degC with a SiH4 flux of 200 nmolminute for 240 s with a simultaneous 20 slm NH3H2 flow (NH3H2 = 11)

The treated and untreated AlxGa1-xN epilayers were examined using both Nomarski light microscopy (also known as differential interference contrast microscopy) and intermittent contact mode AFM to determine both the broad microstructure and the nanoscale details of their surface morphology The a and c lattice parameters of the AlxGa1-xN were determined by high-resolution X-ray diffraction (XRD) reciprocal space mapping of the symmetric (0004) and asymmetric (10macr15) reflections A biaxial strain model [3] which assumes the

70 N Ketteniss et al

applicability of Vegardrsquos law to the lattice parameters and the elastic constants was used to determine the composition and strain state of the layers using GaN and AlN elastic constants from Wright [4] 3 Results 31 Epilayer Compositions and Strains Table 1 shows the results of the XRD measurements of the composition and strain state of the AlxGa1-xN epilayers along with thickness measurements based on in situ optical reflectivity data collected during layer growth assuming refractive indices of 2117 for AlN and 238 for GaN For x asymp 01 and x asymp 02 the layers are observed to be fully strained to the underlying pseudo-substrates For x asymp 03 some relaxation is observed but there is some variation in relaxation across the sample whereas for x asymp 04 the majority of the layer is completely relaxed

Target Al-content (x)

Al-content (x) (From XRD)

Epilayer thickness nm

Tensile strain in a

Relaxation

01 0095 176 011 0 02 0196 143 036 0 03 03 144 026 to 048 20 ndash 556 04 041 149 004 96

Table 1 Compositions thicknesses and strains of the AlxGa1-xN epilayers 32 Microscopy Data The broad microstructure of the epilayers is illustrated in Fig 1 which shows Nomarski light microscopy images of each treated sample At this scale the morphologies of the untreated samples are indistinguishable from those displayed here For x asymp 01 and x asymp 02 the observed morphology is fairly similar to that of the underlying pseudo-substrate (Figs 1a and 1b) Very careful examination of the sample with x asymp 02 revealed a few long cracks ndash two or three over the entire surface of the 2rdquo wafer Many more cracks are seen on the samples with higher Al-contents but the spacing between cracks varies For x asymp 03 (Fig 1c) some areas of the epilayer are observed to have crack spacings in the range 5 ndash 50 microm whereas other adjacent regions are uncracked over distances of up to about 500 microm Overall about one third of the surface exhibits the finely-spaced cracks The layers with x asymp 04 (Fig 1d) show similar characteristics to those with x asymp 03 but in this case approximately 90 of the sample area exhibits finely-spaced small cracks

More detailed surface characterisation was performed using AFM and the results are shown in Fig 2 for both treated and untreated samples For the samples with x asymp 03 and x asymp 04 the treated samples exhibited notably different morphologies in the areas with finely and widely spaced cracks Hence both types of area are shown in the Figure All untreated

(a) (b) (c) (d)

50 microm 50 microm 50 microm 50 microm

Fig 1 Nomarski light microscopy images of epilayers with a) x asymp 01 b) x asymp 02 c) x asymp 03 and d) x asymp 04 Note the variation in crack density in (c) and (d)

The Effect of Silane Treatment of AlxGa1-xN Surfaces 71

samples (Fig 2ai 2bi 2ci and 2di) exhibited fairly evenly spaced monolayer steps For x asymp 01 the surface treatment resulted in increases in the TD pit size but the previously terraced surface now exhibits islands ca 25 nm wide (Fig 2aii) For x asymp 02 these islands dominate the morphology of the treated surface and no pits may be distinguished (Fig 2bii) For x asymp 03 and x asymp 04 considering first those areas of the sample with a low macroscopic crack density (Figs 2cii and dii) we again observe the formation of a high density of small hillocks obscuring the TD pits In contrast in areas with higher crack densities (Figs 2ciii and 2diii) a largely terraced surface with clear TD pits is observed

For all the treated samples except for that with x asymp 02 (for which the hillocks entirely obscure the TD pits) the density depth and width of the pits has been measured by AFM and compared with similar data from the untreated samples (The pit depth in particular may be significantly affected by the finite probe size but as similar probes were used for all measurements it should be possible to make comparisons) In all cases the observed pit density increased as did the average pit depth For both x asymp 01 and x asymp 04 the average pit width also increased but this effect was less clear for x asymp 03

600 nm

Fig 2 AFM images of epilayers with a) x asymp 01 (i) untreated and (ii) treated b) x asymp 02 (i) untreated and (ii) treated c) x asymp 03 (i) untreated (ii) treated ndash area with low crack density (iii) treated ndash area with high crack density and d) x asymp 04 (i) untreated (ii) treated ndash area with low crack density (iii) treated ndash area with high crack density

(ai) (aii) (bi) (bii)

200 nm 200 nm 200 nm 200 nm

(ci) (cii) (ciii)

600 nm 600 nm 600 nm

(di) (dii) (diii)

600 nm 600 nm

72 N Ketteniss et al

33 Discussion Unlike in our studies of the effect of this treatment on GaN layers some of the samples exhibit very significant surface roughening following treatment This effect is most marked for the sample with x asymp 02 which we note is largely uncracked and hence under significant strain Additionally those areas of the samples with x asymp 03 and x asymp 04 which one would expect to be most relaxed (ie those with the highest crack density) have a terraced morphology whereas the areas with less cracks (and hence presumably higher local strain) exhibit hillocks Hence we suggest that the morphology after treatment depends on the strain state of the material with higher strain correlating with hillock formation Further evidence is provided by Fig 3 which shows the detailed morphology around one of the few cracks in the sample with x asymp 02 With increasing distance from the crack (which relaxes the strain locally) the surface becomes rougher and hillocks appear

These results suggest that whilst the silane treatment does increase the TD pit size when applied to AlxGa1-xN layers with x le 04 its usefulness is currently limited to assessing dislocation densities in relaxed material The treatment should thus be relevant to studies of thick AlxGa1-xN layers grown directly on sapphire which may be nearly strain free [5] Thus far we have only considered the effects of tensile strain on the surface morphology We have not yet assessed the effects of compressive strain ndash for instance if AlxGa1-xN is grown on an AlN buffer layer or pseudo-substrate The applicability of the silane treatment to this situation will be a topic of further investigation 4 Summary Treatment of AlxGa1-xN surfaces with x le 04 with SiH4 and NH3 at 860 degC is a useful means of enlarging dislocation pits if the AlxGa1-xN is relaxed For material in tensile strain the treatment results in a rough morphology consisting of nanoscale hillocks Acknowledgements This work has been funded in part by the EPSRC RAO would like to acknowledge funding from the Royal Society References 1 Wang T Lee K B Bai B Parbrook P J Airey R J Wang Q Hill G Ranalli F and Cullis A G

2006 Appl Phys Lett 89 081126 2 Oliver R A Kappers M J Sumner J Datta R and Humphreys C J 2006 J Crystal Growth 289 506 3 Schuster M Gervais P O Jobst B Hoesler W Averbeck R Riechert H Iberl A and Stommer R

1999 J Phys D Appl Phys 32 A56 4 Wright A F 1997 J Appl Phys 82 2833 5 Krost A Blasing J Schulze F Schon O Alam A and Heuken M 2000 J Cryst Growth 221 251

800 nm

Fig 3 AFM image of a treated epilayer with x asymp 02 showing the variation in the sample morphology with distance from a macroscopic crack (black stripe at left)

Quantitative Analysis of Deformation Around a Nanoindentation in GaN by STEM Diffraction K K McLaughlin and W J Clegg Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary The lattice rotations underneath a nanoindentation in GaN are measured from the energy-filtered convergent beam electron diffraction patterns generated by scanning transmission electron microscopy (STEM) These rotations reveal a kink band not visible in STEM high angle annular dark field images Furthermore the diffraction data allows the lowest-energy configuration of dislocations associated with the kink band rotations to be estimated 1 Introduction GaN is a semiconductor with the wurtzite structure which is of interest for its luminescent properties [1] The reliability of GaN devices can be diminished by the presence of dislocations hence the nature of the plastic region induced by contact damage is of importance Here nanoindentation is used to introduce damage

Qualitative characterization of nanoindentations by transmission electron microscopy from cross-sections made in the focussed ion beam (FIB) workstation has been done for some time now including in GaN [2] This technique has provided some information about the size and shape of the zone of plastic deformation in a wide variety of materials Quantitative characterization however has been impeded by the lack of automated measurement techniques and smearing of patterns due to very high dislocation densities

By collecting the energy-filtered convergent beam electron diffraction (EF-CBED) patterns produced from scanning transmission electron microscopy (STEM) the lattice rotations due to plastic and elastic deformation can be measured The data collection is automated through the STEM and the small probe size means that any smearing is significantly reduced 2 Experimental Methods [0001] GaN was grown by organometallic vapour phase epitaxy on a sapphire substrate 25 mN Berkovich nanoindentations (Micromaterials Nanotest 600) in the [0001] surface were completed so that the corners of the nanoindentation were along the lt 1021 gt directions A cross-section was prepared using the lift-out technique in a FIB workstation (FEI 200) as described in [3] The cross-section plane is ( 0110 ) although this did not pass through the tip of the nanoindentation Fig 1a shows the approximate location of the cross-section

The plastic zone underneath the nanoindentation was analysed in STEM mode at 200 keV (FEI Tecnai F20) EF-CBED patterns were collected every 200 nm over a 32 microm times 18 microm grid and every 100 nm closer to the nanoindentation The STEM probe size was 1 nm with a convergence angle of 009deg so the total volume of material measured for a sample thickness of 100 nm was about 100 nm3 The orientation was measured in the manner described by Wang and Starink [4] such that only 2 sets of Kikuchi lines and the undiffracted disk needed to be identified in each pattern In regions of low local dislocation density the error was approximately plusmn 01ordm consistent with Wang and Starink in regions of higher dislocation density (on the order of 1015 m-2) the error was increased to plusmn 05ordm

74 K K McLaughlin and W J Clegg

Fig 1 a) Geometry of the cross-section of the nanoindentation in GaN b) STEM HAADF image of the cross-section of the nanoindentation in GaN taken 25deg from [ 0110 ] The box indicates the outermost diffraction measurements

3 Results and Discussion The STEM high angle annular dark field (HAADF) image of the nanoindentation can be seen in Fig 1b Much of the deformation was by basal plane dislocations although several slip lines can be identified along a pyramidal orientation

Fig 2 shows the misorientations measured relative to a reference axis at x = 0 y = 400 nm oriented 25deg from [ 0110 ] Several points in the misorientation data are marked with a ldquo rdquo symbol These are from regions where the Kikuchi lines were too blurred for measurements to be made

The misorientation data shows a region of large misorientations along x = 1050 nm and x = 1150 nm This misorientation is consistent with the presence of a kink band a deformation mechanism often found in highly anisotropic materials [5] The misorientations between horizontal neighbours along with the axis of rotation can be calculated from the orientation data This data shows that the axes of rotation in this region are perpendicular to [0001]

Fig 2 Misorientations between a zero near [ 0110 ] and locations underneath the nanoindentation

Quantitative Analysis of Deformation Around a Nanoindentation in GaN 75

As the dislocations causing the rotation are confined to a narrow band Frankrsquos formulation [6] for calculating the number of dislocations in a boundary can be used The sum of the Burgers vectors in the boundary ( bΣ ) can be calculated as

( )

times=Σ

2sin2 θlrb

where l is the axis of rotation θ is the misorientation and r is a vector which is perpendicular to both l and the normal of the dislocation wall r is taken to be 100 nm equal to the distance between measurements

As the axes of rotation are perpendicular to [0001] it can be assumed that the dislocations causing the rotation are all a-type The minimum number of dislocations (and hence the minimum dislocation line energy) will be the solution of the equation

[ ] [ ] [ ]11023

02113

10213

rqp nnnb ++=Σ

where np indicates the number of [ ]102131 dislocations nq for [ ]02113

1 and nr for [ ]110231 and

the sum of np nq and nr is minimized The minimum number of dislocations required to cause the rotation between x = 1050 and

x = 1150 nm can be found in Fig 3b The number of dislocations can be as high as 43 within a volume of 100 nm times 100 nm times 100 nm which gives a dislocation density of 5 times 1015 m-2 This value is similar to the densities of rotational dislocations calculated by theories such as strain gradient plasticity which predicts an average dislocation density of 15 times 1016 m-2 [7] The value of np is consistently larger than nq or nr so that the majority of the dislocations were in the [ ]1021 direction perpendicular to the side of the indenter

Analysis of the kink band was conducted between several of the rows of measurements as seen in Fig 4a The numbers of [ ]10213

1 dislocations np along each of these lines can be found in Fig 4b In all cases there were significantly more [ ]10213

1 dislocations than the other orientations The lines along x = 1100 to x = 1300 nm are discontinuous as some of the axes of rotation in this region were not perpendicular to [0001] in most cases this indicates that one of the pyramidal slip lines crossed the 100 nm times 100 nm region It can be seen from the graph in Fig 4b that the values of np along x = 900 and x = 1000 nm were large and positive while the values along x = 1100 to x = 1300 were mostly smaller and negative indicating that the dislocations had Burgers vectors of

[ ]012131

Fig 3 a) a-type dislocation directions in the hexagonal cell b) Graph of minimum numbers of basal dislocations (np nq and nr) responsible misorientation between x = 1050 and x = 1150 nm on Fig 2 The error bars refer to the plusmn 05deg error in the misorientation

76 K K McLaughlin and W J Clegg

Fig 4 a) Lines along which basal dislocations could be quantified b) Numbers of [ ]10213

1 dislocations across the lines indicated in a

4 Conclusions The combination of FIB sample preparation and STEM analysis gives an effective means to measure the lattice rotations underneath nanoindentations Features can be identified with this technique that cannot be seen with HAADF imaging such as kink bands It is shown that the kink band is made of dislocations with Burgers vectors of [ ]10213

1 and with dislocation densities of up to 5 times 1015 m-2 Acknowledgements The authors would like to thank Finn Giuliani for FIB sample preparation the Cambridge Centre for Gallium Nitride for providing the sample and the Natural Sciences and Engineering Research Council of Canada the Cambridge Commonwealth Fund and the Overseas Research Student Award Scheme for funding References 1 Reshchikov M A and Morkoc H 2005 J Appl Phys 97 1 2 Bradby J E Kucheyev S O Williams J S Wong-Leung J Swain M V Munroe P Li G and

Phillips M R 2002 Appl Phys Lett 80 383 3 Langford R M and Petford-Long A K 2001 J Vac Sci Technol A 19 2186 4 Wang S C and Starink M J 2003 J Microsc 211 130 5 Hess J B and Barrett C S 1949 Met Trans 185 599 6 Frank F C 1950 A Symposium on the Plastic Deformation of Crystalline Solids NAVEXOS-P-

834 150 7 Nix W D and Gao H J 1998 J Mech Phys Solids 46 411

Microstructure of (GaFe)N Films Grown by Metal-Organic Chemical Vapour Deposition T Li C Simbrunner A Navarro-Quezada M Wegscheider M Quast and A Bonanni Institute of Semiconductor and Solid State Physics Johannes Kepler University Altenbergerstr 69 A-4040 Linz Austria Summary We report on the structural and chemical analysis of (GaFe)N films grown by metal-organic chemical vapour deposition using transmission electron microscopy and energy dispersive X-ray spectroscopy In homogeneously Fe-doped GaN we have found Fe-rich nanoclusters to be assigned to α-Fe and ε-Fe3N In GaN δ-doped with Fe and co-doped with δMg we have observed a significant reduction of the threading dislocations to be associated with the growth interruption while the Fe ions tend to float to the surface 1 Introduction GaN doped by transition metal (TM) is a model material system for testing the mechanisms of room temperature (RT) ferromagnetism in diluted magnetic semiconductors in the perspective of future spintronics applications Since the prediction of RT carrier-mediated ferromagnetism in wide band gap semiconductor doped by TM [1] there have been considerable research efforts made on material systems such as Mn-doped GaN and Mn-doped ZnO [2] Currently there are growing interests in Fe-doped GaN Ferromagnetic-like properties have been reported in (GaFe)N grown by Fe-ion implantation molecular beam epitaxy (MBE) metal-organic chemical vapour deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) [3 - 6] Despite the above-mentioned promising reports the origin of the ferromagnetic response in these systems is still not clear A difficulty in verifying the underlying mechanism lies in the fact that depending on the growth conditions one may produce a mixture of random alloy magnetic-ion-rich clusters and other secondary phases Therefore it is necessary to study case by case the microstructures of TM-doped materials Here we present the results on structural and chemical analysis of (GaFe)N films grown by MOCVD 2 Experimental The samples have been grown in an AIXTRON 200RF horizontal-tube MOCVD reactor All the structures have been deposited on c-plane sapphire substrates according to a well-established growth procedure involving TMGa NH3 and Cp2Fe respectively as precursors for Ga N and Fe with hydrogen as a carrier gas [7] Upon the nitridation of the substrate the deposition of a low-temperature (540 degC) GaN nucleation layer its annealing under NH3 and growth of a 1microm thick device-quality GaN layer at 1050 degC about 05 microm thick (GaFe)N layers have been grown at a substrate temperature of 850 degC and increasing Cp2Fe flow rates from 50 standard cubic centimeters per minute (sccm) to 350 sccm at a step of 25 sccm In a further step Fe and Mg have been introduced one after the other as δ-dopants repeatedly in GaN layers grown on top of a GaN buffer layer The buffer and doped layer in the δ-doped samples are slightly thicker than those in the bulk-doped ones

High-resolution transmission electron microscopy (HRTEM) studies were performed on cross-sectional samples using a JEOL 2011 Fast TEM microscope operated at 200 kV with a Gatan CCD camera The chemical analysis has been carried out by means of an Oxford Inca energy dispersive X-ray spectroscopy (EDS) system

78 T Li et al

3 Results and Discussion From superconducting quantum interference device (SQUID) and electron-paramagnetic resonance (EPR) measurements we found that for doping levels higher than the solubility limit of the Fe ions into the GaN matrix under our growth conditions both GaN homogeneously doped with Fe [(GaFe)N] and δFe-doped GaN co-doped with δMg [(GaδFe)N δMg] show ferromagnetic signatures persisting up to above RT [8] 31 (GaFe)N For Cp2Fe rates lt 175 sccm ie for concentrations of the Fe ions below the solubility limit at the growth conditions [8] the Fe-doping does not change the morphology of GaN in terms of strain and dislocation density while the EDS spectra show that there is a little mount of Fe in the lattice In these samples the Fe ions are expected to substitute the Ga ions since Fe and Ga have the similar atomic radius (1720 pm1810 pm) and almost the same electro-negativity (183181) The interstitial or anti-sited Fe ions are more likely to introduce structural point defects or cause local strain thus to change the morphology of the layers

Fig 1 a) Micrograph showing nanocrystals close to the surface b) EDS spectrum taken around the precipitates showing the enhanced Fe signal c) EDS spectrum taken in precipitate-free area showing the barely detectable Fe signal

Fig 2 Micrographs of two types of Fe-rich nanocrystals and the corresponding SADPs a) α-Fe and b) ε-Fe3N

Microstructure of (GaFe)N Films Grown by Metal-Organic CVD 79

For Cp2Fe ge 175 sccm a number of nanocrystals have been found either embedded in the GaN matrix in defect free region or associated to the dislocations (Fig 1a) EDS spectra indicate that the nanocrystals are Fe-rich (Fig 1b) as compared with the surrounding GaN matrix (Fig 1c) The density of the Fe-rich nanocrystals increases qualitatively with the Cp2Fe flow rate We believe that phase separations have happened in these samples Further EDS studies show that the Fe ions distribute inhomogeneously within the doped region no deeper than ~250 nm from the surface well above the nominal interface between the GaN buffer and the (Ga Fe)N layer This implies that the Fe ions tend to float toward the surface where the precipitation takes place

The micrograph in Fig 2a shows one of the nanocrystals in Moireacute contrast typically found in our samples and the selected area diffraction pattern (SADP) acquired along the [10-10] zone axis In the SADP there is an additional diffraction spot along with the (0002) diffraction of GaN indicating the presence of a secondary phase apart from GaN with a set of planes parallel to the GaN (0002) planes The interplanar spacing is calculated to be 0204 nm by using the lattice parameter of relaxed GaN film as a reference The additional phase is either iron or iron nitride Among the possible candidates listed on Table II in Ref 8 α-Fe (d110 = 0202 nm) is matching most closely our observation Figure 2b shows another typical nanocrystal and the corresponding SADP which reveals the structure of this additional phase to be hexagonal with lattice parameters c = 0436 nm and a = 0268 nm matching those of ε-Fe3N It is also worth noticing that the nanocrystal in Fig 2b locates at the end of a dislocation We have actually observed that a number of nanocrystals are associated to threading dislocations (TDs) [8] It has been reported that TDs cause charge accumulation in GaN [9] In GaN-Fe the charging centers introduced by TDs may trap some of Fe ions thus promote the formation of nanocrystals

32 (GaδFe)N δMg In the perspective of enhancing the p-conductivity of the samples we have also grown (GaδFe)N δMg layers Figures 3a and 3b show the dark-field images of a (GaδFe)N δMg layer with g = 11-20 and g = 0002 along the [10-10] zone axis respectively According to the visibility criterion the a type (edge) and a+c type (mixed) dislocations should be in contrast in Fig 3a while the c type (screw) and a+c type dislocations should be in contrast in Fig 3b Comparing the two images we find that most of the edge dislocations are terminated at around 14 microm above the epilayer-substrate interface where the nominal interface between the GaN buffer and the δFeδMg doped layer is located while screw and mixed dislocations are not affected In Fig 3a the edge dislocations appear to be redirected by the δ-doping layers and to combine to each other to form some half loops Because the edge dislocation density is almost two orders higher than that of the screw dislocations in GaN the reduction of edge dislocations actually reduces the overall TD density

Fig 3 Dark-field images (negative) taken with a) g = 11-20 and b) g = 0002 respectively along the [10-10] zone axis

80 T Li et al

Fig 4 a) Micrograph around the sample surface and b) high-resolution lattice image around a single nanocrystal

Figure 4a shows Fe-rich nanocrystals distributed within ~100 nm of the surface in a layer

(GaδFe)N δMg The HRTEM image in Fig 4b reveals that the nanocrystal is α-Fe Compared to those in the bulk-doped samples nanocrystals in GaN-δFeδMg are of smaller size most of them are not associated to dislocations and they distribute even closer to the surface This is correlated to the fact that δ-doping reduces the density of TDs hindering the trapping effects on the Fe ions and leading to more Fe ions floating toward the surface Furthermore the precipitation not related to dislocations may lead to smaller nanocrystal sizes 4 Conclusions As an effect of phase separation Fe-rich nanocrystals have been found in both (GaFe)N and (GaδFe)N δMg layers Ferromagnetic phases (α-Fe and ε-Fe3N) have been identified in these nanocrystals in (GaFe)N In (GaδFe)N δMg layers the significant reduction of TDs due to the δ-doping process influences both the size and the distribution of the Fe-rich nanocrystals We are confident that the Fe-rich nanocrystals are the origin of the high-temperature ferromagnetic response of the (GaFe)N layers References 1 Dietl T Ohno H Matsukura F Cibert J and Ferrand D 2000 Science 287 1019 2 Liu C Yun F and Morkoc H 2005 J Mater Sci ndash Mater Electron 16 555 3 Shon Y et al 2004 J Appl Phys 95 761 4 Ofuchi H et al 2001 Appl Phys Lett 78 2470 5 Bonanni A Simbrunner C Wegscheider M Przybylinska H Wolos A Sitter H and Jantsch W

2006 Phys Stat Sol (b) 243 1701 6 Malguth E Hoffmann A and Xu X 2006 Phys Rev B 74 165201 7 Bonanni A Stifter D Montaigne-Ramil A Schmidegg K Hingerl K and Sitter H 2003 J Cryst

Growth 248 211 8 Bonanni A et al 2007 Phys Rev B 75 125210 9 Leung K Wright A F and Stechel E B 1999 Appl Phys Lett 74 2495

Nanostructures on GaN by Microsphere Lithography W N Ng K N Hui X H Wang C H Leung P T Lai and H W Choi Department of Electrical and Electronic Engineering The University of Hong Kong Hong Kong Summary The fabrication of nanopores on GaN substrates using the simple and economical technique of microsphere lithography is demonstrated A self-assembled hexagonal microsphere array formed on GaN substrate acts as a hard-mask for the fabrication of nanostructures In subsequent dry etch processes arrays of holes in a nanostructure were formed on top of the LED The structural properties of the nanopores are characterized by scanning electron microscopy (SEM) while photoluminescence (PL) measurements showed a 25 enhancement of light emission intensity attributed to improved light extraction 1 Introduction Similar to Si technology dimensional down-scaling is an inevitable trend in GaN-based materials and devices With emphasis on enhancing device performance micro and nanoscale features in various geometries of disks rings and hexagons have been incorporated into GaN light emitting diodes (LEDs) [12] The resultant structures have been demonstrated to improve the efficiency and directionality of photon extraction [3] Nanoscale features such as photonic crystals offer superior optical properties to devices by exploiting the photonic bandgap [4] While micro-scale patterns can be readily achieved by conventional photolithography electron-beam lithography is the most widely used method for nanopatterning of optoelectronic devices Nevertheless the process of e-beam writing is expensive and inefficient making it unsuitable for mass-production On the other hand the resolution of deep ultraviolet (DUV) lithography is limited by diffraction effects despite its higher throughput This encourages development of alternative methods for patterning nanostructures Microsphere lithography is a potential candidate in offering an alternative avenue towards nanoscale patterning Either random or ordered monolayers of particles can be used as a photolithographic mask dependent on the application involved For example the formation of photonic crystals would require a high degree of ordering

In this work we demonstrate the fabrication of regularly spaced triangular nanopores using the simple controllable and economical technique of microsphere lithography This techniques was originally developed by Deckman et al to define a large area lithographic mask using a self-assembled spherical colloidal mask [5] It was further optimized by Hultenn et al for applications in surface-enhanced spectroscopy [67] We adopt a similar approach for the enhancement of GaN-based optoelectronic devices through the integration of regularly-spaced nanoscale features such as nanopores and nanoislands Being substrate independent microsphere lithography can be applied to most material systems 2 Experimental Details Schematic diagrams illustrating the fabrication process flow are shown in Figs 1a to 1e A GaN LED sample with InGaN GaN multi-quantum wells was initially coated with a 100-nm-thick SiO2 PECVD deposit (Fig 1a) The SiO2 microspheres purchased from Duke Scientific have a mean diameter of 500 nm and a uniformity of better than 1 The microspheres as received from the manufacturer are suspended in deionized water and further diluted in a solution of the

82 W N Ng et al

surfactant SDS at a volume ratio of 51 A pre-determined amount of the solution is precisely dispensed onto the oxide-coated GaN samples using a micro-pipette Using a combination of tilting and spin-coating the microspheres are dispersed and self-assemble into ordered hexagonal arrays (Fig 1b) The sample was then dry-etched by reactive ion etching (RIE) using Ar and CHF3 gases in the ratio of 46 for 200 seconds to remove the SiO2 in the void region The formation of the ordered air-hole structure after RIE dry etch process is shown in Fig 1c The sample was then dipped in DI water with sonication for 2 minutes for detachment of the microspheres as shown in Fig 1dThe pattern was subsequently transferred to GaN by ICP etching using a Cl2 and BCl3 chemistry to remove the unmasked GaN material at the void regions forming the nanopores on the GaN surface as shown in Fig 1e

Figs 1a)-e) Schematic diagrams illustrating the process flow of microsphere lithography

The surface morphology of the etched air-holes was characterized by field emission scanning electron microscopy (FE-SEM) Photoluminescence spectra were collected to evaluate the optical properties of the fabricated structure using a Spectra-Physics DPSS UV laser at 349nm as an excitation source The beam was focused to a spot of about 100microm and the PL signal collected and focused by two converging lenses and coupled to a spectrometer via an optical fiber 3 Results and Discussion

Fig 2 a) Silica microspheres dispensed onto GaN samples self-assembling into ordered hexagonal monolayer arrays b) Various defects in microsphere coating

Figure 2a is an FE-SEM image showing a monolayer array of silica microspheres self-assembled into an hexagonal structure The formation of the ordered array relies on the intrinsic property of the microspheres to freely diffuse across the substrate and settle down in their lowest energy configuration The deposition of microspheres onto a substrate is a non-

Nanostructures on GaN by Microsphere Lithography 83

equilibrium process the system tries to restore equilibrium by forming aggregates The process is similar to that of atomic deposition during crystal growth [8] The microspheres migrate across the surface which subsequently form clusters or islands by attaching to adjacent microspheres This is dependent on the diffusion rate and concentration of microspheres In order to form well-ordered monolayers microspheres must adsorb on the edge of aggregates and continue to migrate around the perimeter Thus the diffusion rate must be precisely controlled which is achieved by a combination of manual tilting and spin-coating techniques

Fig 3 FE-SEM image of the triangular air-hole oxide mask

Using the hybrid method developed in our team uniformly dispersed microsphere coatings

span across an area of approximately 300 microm by 100 microm While this is sufficient as a proof-of-concept demonstrator further enlargement of coating area must be achieved Within the monolayer region imperfections in patterning of the air-holes can occur when transferring to the SiO2 layer thus leading to the emergence of localized disordering

The ordered nanopore array was formed on the PECVD oxide layer by etching through the microsphere template The void regions between microspheres allow penetration of reactive ions in the plasma and thus the removal of oxide materials forming air holes An anisotropic recipe was employed to ensure that the dimensions were maintained Intuitively a certain degree of dimensional tuning can be achieved by adjusting the reactivity and directionality of the ions A FE-SEM image of such a nanopore oxide mask is shown in Fig 3 where ordering can be observed Analogous to defect formation in crystal growth this includes point defects and line defects as illustrated in Fig 2b The dimensions of each pore are approximately 100 nm In addition it is worthy of note that nanoislands can also be formed by depositing material through the microsphere gaps

Fig 4a) and b) FE-SEM image of nano-scale air-holes array at different magnifications

84 W N Ng et al

The pattern was finally transferred to the GaN LED wafer by ICP etching Being more isotropic the pores are enlarged to around 200 nm as illustrated in the FE-SEM image in Fig 4(a) and (b) The dimensional scaling can of course be controlled by varying the dry etch recipe To evaluate the effects of nanopore incorporation PL spectra were collected from the processed and unprocessed regions of the same sample which are plotted in the graph in Fig 5 The spectral peak centred at 470 nm corresponds to light emission from the InGaN GaN MQWs in the LED structure As evident from the plot an increase of 25 in the emission intensity was observed attributed to enhanced light extraction via the nanoscale air-holes In smooth and flat as-grown wafers a large proportion of light is trapped in the wafer due to total internal reflections [9] Roughening of the surface either on the microscale or nanoscale significantly improves light extraction by allowing more photons to pass through the interface [10]

Fig 5 PL spectra of as-grown and processed sample with nanopores

4 Summary In summary we have demonstrated the fabrication of nanopore arrays on a GaN surface using the technique of microsphere lithography A self-assembled SiO2 microsphere array was deposited and acted as a hard mask to form triangular nanopores with dimensions of 100 to 200nm by subsequent dry etching PL measurements revealed that the nanopores enhanced the light emission intensity by about 25 due to an increase in light extraction efficiency References 1 Choi H W Jeon C W and Dawson M D 2003 IEEE Photonics Technol Lett 15 510 2 Choi H W Edwards P R Jeon C W Liu C Martin R W Watson I M Dawson M D Tripathy S

and Chua S J 2005 Appl Phys Lett 86 021101 3 Choi H W Dawson M D Edwards P R and Martin R W 2003 Appl Phys Lett 83 4483 4 Yablonovitch E 2001 Scientific American 285 47 5 Deckman H W and Dunsmuir J H 1982 Appl Phys Lett 41 377 6 Hulteen J C and Van Duyne R P 1995 J Vac Sci Technol A 13 1553 7 Haynes C L and Van Duyne R P 2003 J Phys Chem B 107 7426 8 Roumlder H Hahn E Brune H Bucher J P and Kern K 1993 Nature 336 141 9 Choi H W Jeon C W Dawson M D Edwards P R Martin R W and Tripathy S 2003 J Appl

Phys 93 5978 10 Kim D W Lee H Y Yoo M C and Yeom G Y 2005 Appl Phys Lett 86 052108

Nanopores As-grown

On the Nature of Eu in Eu-Doped GaN J S Barnard and Y S Beyer1 Department of Material Science University of Cambridge Pembroke Street Cambridge CB2 3QZ 1 New Hall Huntingdon Road Cambridge CB3 0DF Summary Using scanning transmission electron microscope high-angle annular dark-field imaging we have investigated the Eu-rich region formed by high-energy implantation of Eu+ ions into GaN and annealing at 1300oC In conjunction with multi-slice calculations we have used the higher-than-average intensities of atomic columns to identify candidate Eu-containing strings and calculate the visibility of these strings These candidate strings show a bimodal distribution the higher mode agreeing well with the visibilities suggested by the multi-slice calculations From this we find that the Eu atoms are predominantly isolated and do not form Eu-clusters after annealing 1 Introduction Gallium nitride is the latest semiconductor in which rare-earth luminescence has been explored Amongst others europium is known for its efficient luminescence in the red part of the spectrum [1] However if Eu is implanted the luminescent efficiency is poor unless a high-temperature treatment is used to re-activate it [2] Such temperatures suggest that crystal damage has to be annealed out before the Eu atom can luminesce effectively suggesting local environmental changes

The characterization of this environment is being pursued along several different lines Bang and co-workers used the extended fine structure (EXAFS) of X-ray fluorescence spectra of Eu and Tb in GaN [3] They calculated the X-ray spectra of displaced rare-earth atoms along several different directions and fitted these to the spectrum to retrieve the displacement In SiC Kaiser et al used scanning transmission electron microscope high-angle annular dark-field (STEM-HAADF) imaging to investigate the clustering of erbium at extended defects directly [4]

In this paper we used a similar approach but attempted to identify the Eu atoms by the higher-than average intensity expected from the Eu atoms We posed three questions Is it possible to image the Eu atoms directly Do Eu atoms exist as single isolated atoms or as extensive defect complexes Is it possible to see and measure slight displacements of Eu atoms 2 The Visibility of EuGa in STEM-HAADF Images Theory The viability of using STEM-HAADF imaging to detect Eu atoms was tested using multi-slice simulations using the code of Kirkland [5] Our measure of visibility was the apparent contrast of the Eu-containing string against the intensity maxima of the surrounding lattice (because of the significant difference in scattering power this maxima reside close to the gallium sub-lattice) The simulated lsquospecimenrsquo consisted of bulk GaN with three different thicknesses 29 Aring 54 Aring and 104 Aring (corresponding to 9 17 and 33 unit cells) sliced along the [11-20] direction Each slice was comprised of 10times5 unit cells of GaN In the unit cell of one of the slices a single Eu atom replaced a Ga atom (EuGa) This was placed at three different depths (top middle and bottom) and in this way we explored the range of visibilities one might expect from the random location of Eu atoms in GaN The location of the Eu atom within its unit was varied too an exact lsquoon-sitersquo EuGa defect and one slightly displaced 02Aring in the [0001] direction as suggested by Bang et al [3]

86 J S Barnard and Y S Beyer

Fig 1 a) A simulated image of a single EuGa defect with amorphous surface layers note the resulting variations in HAADF intensity b) A tetrahedral 4EuGa-VN defect cluster

Our simulations did not take into account thermal displacements because of the computational

demand of simulating an entire image and sampling a large number of phonon configurations We reasoned that with the same Debye-Waller factor as the other Ga atoms the visibility of Eu would not differ much

Our simulations showed that the highest visibility for the EuGa defect occurs at mid to lower depths depending on the crystal thickness The contrast of the EuGa defect varied between 13 and 47 even with surface roughness (2Aring RMS) and amorphous layers (3Aring each side Fig 1) For multiple Eu-containing strings the contrast increased only slightly Two Eu atoms gave 55 contrast and three Eu atoms gave ~60 contrast Defect complexes such as the EuGa-VN pair were indistinguishable from a lone EuGa defect and a 4 EuGa-VN defect cluster as might be expected in a tetrahedral semiconductor could easily be seen (Fig 1) 3 STEM-HAADF Imaging of Eu-doped GaN Experimental 31 Sample Details The sample consists of a 2microm thick GaN film grown by metal-organic chemical vapour deposition on c-plane sapphire The film was capped with 10nm of AlN which was found to prevent amorphisation of the topmost GaN layers and resultant mass-loss Europium ions were implanted with energy of 150keV to a dose of 1015 cm-2 Films were subsequently annealed at high temperature (1300degC) to activate the dopant atoms [2] According to SRIM calculations [6] for this ion and material the Eu has a maximum range of 70 nm and a peak concentration of 3times1017 cm-3 at a depth of 40 nm

TEM samples were prepared by gluing two pieces of the ion-implanted film together and mechanically polishing to 50microm using a Tripod polisher to create mirror-smooth surfaces After dimpling the samples were ion-milled to near-transparency at 5keV before reducing the beam energy to 3keV for final polishing Samples were chemically etched in a hot saturated solution of KOH (60degC) for 30 seconds After rinsing with filtered and deionized water the samples were immediately placed into the microscope- an FEI Tecnai F20 operated at 200keV 32 Eu-Finding STEM-HAADF imaging was performed with every effort to optimise the spatial resolution of the STEM image The microscope was calibrated to determine the conditions notably illumination angle for which the highest resolution could be attained We used the setting suggested by Mory et al for obtaining the smallest probe [7] The Tecnai F20 microscope has a spherical aberration coefficient of 12 mm putting 70 of the probe current into a probe of diameter 24Aring The HAADF collection range was 50-200 mrad and images were taken along the [11-20] zone axis

On the Nature of Eu in Eu-Doped GaN 87

Visibility Distribution of Sample Areas of Different Thicknesses

0

005

01

015

02

025

03

035

04

0 5 10 15 20 25 30 35 40 45 50 55 60

Visibility (percent)

Rel

ativ

e fr

eque

ncy

lt5nm5-10nmgt10nm

Fig 2 Frequency distribution of visibilities for those clusters determined from ten different areas

Thickness of the sample was determined from CBED patterns taken from line profiles scanned

across the region of interest after STEM imaging The diffraction pattern is highly asymmetric owing to the breaking of Friedelrsquos law by the non-centro-symmetric unit cell Bloch-wave calculations show a linear variation in the (000-2)(0002) intensity ratio for small thicknesses (lt200Aring) allowing thickness determination with an estimated accuracy of plusmn5Aring

To analyze the STEM-HAADF images quantitatively estimates of the dark-signal level were obtained from blanked images which were then subtracted off the raw images These images were next processed two-fold first the image was heavily smoothed removing the lattice-resolution detail Given the near-proportional variation of HAADF signal with specimen thickness and using the CBED patterns as a calibration we were able to get local thickness maps of the areas Second from the raw image the smoothed version was removed so that local variations of brightness stood out We then smoothed the images by convoluting with a 1Aring radius Gaussian kernel These images were then analysed for their standard deviations We examined all those pixels with intensities greater than 4σ These were grouped into pixel lsquoclustersrsquo - small regions no more than 2Aring wide These were analysed for their centre-of-mass coordinates that yielded a list of candidate sites Each site was analysed for the HAADF intensity within a 1Aring radius of the centre of the site The intensity within a 1Aring radius of the average lattice maxima was calculated from the strength of the Fourier amplitudes of the background lattice in the near vicinity (~5nm square) The visibility of each site was then calculated from the contrast value The visibility of the sites were sorted according to size and binned into 5 intervals (Fig 2) Note the distinct bimodal nature of the distribution for the thinnest sample thicknesses of lt5nm and 5-10nm

Candidate sites were selected from those sites with visibilities in excess of 20 (see boxes in Fig 3) In almost all cases the brightest points in these areas correspond to a regular lattice site In some cases the bright cluster appears to be associated with a defect For example in box A the hellipABABhellipstacking sequence the GaN above the central lsquoblobrsquo is shifted by c2 spacing relative to the crystal below it In other cases there is no such shift and the crystal looks reasonably regular (eg box C) In all for two regions with thickness of 50Aring or less we found 14 isolated candidate sites for a 50Aring thick film and 16 sites for a 35Aring-thick film This compared well with the SRIM calculations of 11 and 5 sites respectively Large defect clusters were not seen 4 Discussion and Concluding Remarks We posed three questions at the start and it is worth considering what was and was not achieved First it seems that theoretically at least the Eu atoms can be found with a reasonable degree of confidence The bimodal distribution of cluster visibilities in Fig 2 and the range of visibilities

88 J S Barnard and Y S Beyer

Fig 3 a) An experimental STEM-HAADF image from one region with thickness 50Aring or less boxes show clusters of pixels exceeding 20 visibility and b) their magnified versions

that the upper mode spans give very good agreement with the multi-slice calculations especially for the thinnest samples On this basis we are encouraged but without a unique chemical signature specific to europium this remains speculation With an aberration-corrected STEM instrument performing atomic resolution electron energy loss spectroscopy (EELS) measurements this may well be rectified soon

If the candidate sites seen here are Eu-containing strings then our results suggest that they are isolated and well incorporated into the lattice structure ie few lsquobright blobsrsquo were seen in locations that would correspond to interstitials or clusters like those seen by Kaiser et al [4] (their material was annealed at much higher temperatures than ours) The moderate agreement with the number of sites expected from the SRIM calculations was also encouraging but with such low numbers the uncertainties are high

Third the current imaging performance of this electron microscope is insufficient to measure small displacements of atoms along certain directions (practically and theoretically) Much more promising would be the use of fine structure in the near-edge or extended fine structure in EEL spectra taken from the column of interest Only with the very best aberration corrected STEM would this be possible Acknowledgement We acknowledge Dr R Martin University of Strathclyde for supplying the sample References 1 Heikenfeld J Garter M Lee D S Birkhahn R and Steckl A S 1999 Appl Phys Lett 75 1189 2 Lorenz K Wahl U Alves E Dalmasso S Martin R W OrsquoDonnell K P Ruffenech S and

Briot O 2004 Appl Phys Lett 84 2712 3 Bang H Morishima S Li Z Akimoto K Nomura M and Yagi E 2002 J Crystal Growth 237-

239 1027 4 Kaiser U Muller D A Grazul J L Chuvilin A and Kawasaki M 2002 Nature Mater 1 102 5 EJ Kirkland 1998 Advanced Computing in Electron Microscopy (Plenum Press) 6 Ziegler J 2003 wwwsrimorg (accessed June 2006) 7 Mory C Colliex C and Cowley J M 1987 Ultramicroscopy 21 171

Recent Studies of Heteroepitaxial Systems David J Smith Department of Physics Arizona State University Tempe Arizona 85287-1504 USA Summary Electron microscopy methods have been used extensively in recent collaborative studies involving the growth and characterization of semiconductor heterostructures and nanostructures Examples that are described here include epilayers and nanowires as well as quantum wells and quantum dots while the heteroepitaxial systems represented include II-VI III-V and IV-IV compounds as well as mixed valence materials Ferromagnetic Cr-doped nitride semiconductors are also briefly discussed Control over the growth and processing conditions in concert with the microstructural information available from electron microscopy is confirmed as being essential for achieving materials of the highest possible quality 1 Introduction The ongoing evolution in the use of existing semiconductors and the development of alternative materials for novel electronic and optoelectronic devices bring new opportunities for both crystal growers and device engineers The fabrication of epitaxial heterostructures and especially nanostructures based on two (or more) dissimilar materials continues to present many challenges Lattice mismatch across the hetero-interfaces invariably leads to strain and likely defect formation while valence mismatch and differences in thermal expansion coefficients may also seriously impact the final material quality Microstructural characterization using electron microscopy methods has a crucial role to play in the process of understanding controlling and refining the materialsrsquo properties Heteroepitaxial systems described in the following sections include a) HgCdTeHgTe alloys for infrared detectors b) silicide nanowires for nanoscale electrical interconnects c) self-assembled Ge-Si quantum dots d) GeSi(100) Lomer edge dislocations e) III-nitrides for solid-state lighting and devices and f) ferromagnetic Cr-doped nitrides for possible spintronic applications 2 Techniques 21 Imaging The transmission electron microscope (TEM) is a powerful imaging and analytical instrument with many different operating modes that make it highly useful for characterizing the local microstructure and chemical composition of semiconductor materials and devices at the nanoscale Most of the images presented here were taken with a JEM-4000EX high-resolution electron microscope (Cs = 10mm) operated at 400 keV and equipped with a top-entry double-tilt specimen holder High-resolution electron micrographs were recorded at close to the optimum (Scherzer) defocus from thin specimen regions using a large objective aperture while conventional bright- and dark-field diffraction-contrast imaging with a small objective aperture was used for defect identification A Philips CM200 with a field-emission electron source and equipped for energy-dispersive Xray spectroscopy (EDXS) and electron-energy-loss spectroscopy (EELS) was used for nanoprobe analysis A JEM-2010F operated at 200 keV and equipped with a field-emission electron source was used for Z-contrast annular-dark-field (ADF) imaging Samples were usually imaged in the cross-sectional geometry with the substrate normal perpendicular to the electron beam direction

92 David J Smith

22 Sample Preparation Standard methods based on mechanical polishing with final ion-beam milling to perforation were used for TEM sample preparation in most cases [1] However in the specific case of In-based nitride materials because of concerns about possible ion-beam damage andor In segregation being caused by ion-milling low-angle wedge polishing followed by chemical etching for final cleaning of the exposed sample surfaces was normally used

Fig 1 a) Two epitaxial HgCdTe p-n heterojunctions (different [In] dopant levels in n-type layer) prepared together but with insufficient cooling Defects visible in n-type layers and defect pile-up at p-n junctions (arrowed) b) Same sample after re-thinning for 10 minutes but with sufficient time allowed for cooling (about 90 minutes) No defects visible c) Re-thinned for 10 minutes - sample at RT [3]

For the II-VI semiconductors susceptibility to structural damage during cross-sectioning as a result of ion-milling is a well-known problem that can seriously compromise image interpretation [2] Hg1-xCdxTe (MCT) is currently a key material for use in infrared detectors especially because small changes in the alloy composition x allow choice of operation over a wide spectral range However as evidenced by the p-n heterojunctions imaged in Fig 1 spurious defects may be caused by ion-milling unless special care is taken to ensure that the sample is indeed cooled down close to liquid nitrogen temperature during the milling process [3] This sample originally showed a high density of small defects with average diameters of about 100 nm in the n-type layers of both samples smaller defects with diameters of 10-30 nm in the p-type layers and a defect pile-up in the region of the p-n junction as visible in Fig 1a None of these defects was visible when the sample was re-thinned after allowing adequate time for cooling - see Fig 1b Investigation of multilayered samples with different Hg concentrations also revealed that the extent of ion-induced damage apparently depended sensitively on Hg composition as well as the epilayer growth conditions (ie Hg rich or Te rich) Modeling of the damage process suggested that these differences in behavior were attributable to the production and diffusion of Hg interstitials which could rapidly form interstitial dislocation loops in the absence of sufficient cooling [3]

p-n junction

p-n junction

glue line

p

n

p

n 500nm

p

p

n

500nm

(a) (b)

n100nm

(c)

Recent Studies of Heteroepitaxial Systems 93

3 Results 31 HgCdTe IR Detectors Hg1-xCdxTe (MCT) is an ideal material for infrared (IR) focal-plane arrays because of its superior electronic and optical properties including tunable bandgap from 0 to 16 eV Growth of epitaxial MCT alloys of the crystal quality needed for latest IR applications can be problematic because of various technical difficulties such as compositional inhomogeneities and high defect densities A recent overview of the various types of defects associated with epitaxial HgCdTe alloys as characterized by electron microscopy can be found elsewhere [4] Bulk CdZnTe (CZT) has traditionally been the substrate of choice used for MCT growth because of its close lattice match and chemical compatibility with MCT Figure 2a shows a good example of an MCTCZT(211)B heterointerface where occasional misfit dislocations (arrowed) are visible although the MCT layer itself is of high crystallinity MCT growth using the technique of molecular beam epitaxy (MBE) is highly sensitive to the growth conditions For example the substrate temperature must be restricted to within a very small window around 185degC and it is essential to maintain very careful control over the incoming flux of the constituent materials in order to obtain the desired target composition Surface craters sometimes called ldquoV-shaped defectsrdquo have been associated with Hg deficiency during the MBE growth of MCT and we have found as shown in Fig 2b that the polycrystalline material found in the vicinity of these defects often involves the nucleation and growth of Te together with defective MCT inclusions [5]

Fig 2 a) Misfit dislocations present at HgCdTeCdZnTe heterointerface [4] b) Region of surface crater defect caused by Hg deficiency during HgCdTe growth showing interface between grain of highly defective HgCdTe surrounded by polycrystalline Te [5]

32 Silicide Nanowires The deposition of rare-earth metals onto heated Si(100) substrates has been found to result in the formation of self-assembled nanowire (NW) structures which have very large (~251 or much more) length-to-width (LW) aspect ratios [6] This NW shape has been attributed to anisotropic lattice mismatch with the Si substrate which is typically small (~0) in the long NW direction and relatively large (~5-10) in the narrow NW direction The NW size and shape in combination with their inherently high crystallinity and Si compatibility make them attractive for a range of applications such as nanoscale contacts interconnects and sensors Transition metals such as Ti [7] and Co [8] have also displayed NW silicide growth and cross-sectional TEM observations of self-assembled CoSi2 NWs on Si(111) Si(100) and Si(110) has revealed that epitaxial growth into the substrate took place [8] This lsquoendotaxialrsquo growth mechanism does not necessarily rely on

94 David J Smith

anisotropic lattice mismatch thus possibly making it applicable to a range of metals and substrates and it also appears that the growth temperature controls the average LW aspect ratio As shown by the examples in Fig 3 the common feature of these endotaxial islands is that growth into the substrate has occurred along inclined Si(111) planes with coherent B-type interfaces thereby breaking the surface symmetry and leading to the NW shape [8] It seems likely that a similar NW endotaxial growth mechanism might also apply to systems other than siliconsilicide

Fig 3 Cross-sections of cobalt disilicide NWs formed by reactive epitaxy of Co a) Si(111) at 800degC b) Si(100) at 750degC Note the coherent B-type interfaces as indicated by broken lines [8]

33 GeSi(100) Self-Assembled Quantum Dots The growth of germanium on silicon is initially pseudomorphic owing to their identical simple cubic lattice structure However a layer-to-island (Stranski-Krastanov) transition occurs after deposition of about 3-4 Ge monolayers because of the GeSi lattice mismatch of ~42 leading to the formation of self-assembled nanostructures usually referred to quantum dots (QDs) Atomic-force microscopy (AFM) is commonly used to determine the size and shape of these islands as a function of growth conditions (coverage growth temperature) thereby leading to a useful classification of island morphology [9] However unlike TEM imaging the AFM technique is obviously unable to provide structural information about the GeSi heterointerface or any QD defects Plan-view imaging can reveal the presence of interfacial misfit dislocations as shown by the examples arrowed in Fig 4a while cross-section imaging allows the QD defect microstructure to be determined ndash see Fig 4b

Fig 4 a) Plan-view image from sample grown with 11 ML of Ge at 450degC revealing misfit dislocations at QDs arrowed b) Cross-section image of GeSi QD from sample grown with 14 ML of Ge at 400degC showing no visible defects [10]

100nm

(a)

5nm

(b)

Recent Studies of Heteroepitaxial Systems 95

In parallel with the microstructural studies an early EDXS study of island composition had found the totally unexpected result that considerable Si diffusion into the QD had taken place for a sample grown at 600degC [11] A later comprehensive EELS study of QD composition as a function of substrate temperature during deposition showed that the amount of Si diffusion ranged from ~32 at 550degC up to ~56 at 700degC [12] Cross-section TEM observations of QDs grown at high temperature (gt600degC) had also shown that many large islands were surrounded by trenches in the Si substrate [10] which confirmed earlier AFM images Further TEM observations also revealed that many larger islands grown at high temperature perhaps surprisingly did not show misfit dislocations [10] These latter TEM results were explicable given the amount of Si interdiffusion that must have taken place at the elevated growth temperatures 34 GeSi(100) Lomer Edge Dislocations With increasing epilayer thickness during pseudomorphic growth interfacial misfit dislocations will eventually be formed as a strain-relief mechanism Typically these defects will either be 60deg mixed dislocations or else perfect 90deg Lomer edge dislocations lying in the plane of the interface with the latter being regarded as more effective at relaxing the misfit strain Our observations of thick GeSi(100) heterostructures grown at 550degC indicated that the majority of the misfit dislocations were indeed Lomer edge type [13] Figure 5a is an atomic-resolution electron micrograph recorded with the JEOL ARM-1250 in Stuttgart [14] and shows an well-separated array of stress-relieving dislocations as arrowed In contrast Fig 5b shows a Z-contrast annular-dark-field of a single Lomer dislocation recorded with a JEM-2010F Analysis of the average (110)-type lattice spacings in the ARM micrograph and measurements of the intensity levels in the ADF image both confirmed that the GeSi interface was not structurally abrupt as was expected from the earlier GeSi QD studies which had shown Si interdiffusion [13] Moreover based on the appearance of the ARM micrographs it was possible to construct structural models of the dislocation cores as shown in Fig 6 and these revealed that the vast majority of these defects were asymmetrical rather than symmetrical [13] Both the asymmetrical nature of the dislocation core and the GeSi interdiffusion must be considered in future atomistic modeling of this system

Fig 5 a) Atomic-resolution electron micrograph showing array of Lomer edge dislocations (arrowed) at GeSi interface b) Z-contrast ADF image showing single Lomer edge dislocation

Fig 6 ab) Experimental ARM micrographs of Lomer edge dislocations at GeSi heterointerface cd) Asymmetrical and symmetrical structural models based directly on image appearance [13]

5 6

96 David J Smith

35 InN Relaxed Quantum Dots InN is attracting much recent attention because of possible optoelectronic applications including infrared detection Growth on GaN should involve a layer-to-island transition (Stranski-Krastanov mode) because of the ~11 lattice mismatch and InN quantum dots (QDs) should appear after deposition of a very thin wetting layer (lt 2 InN monolayers) The In-polar InN QDs reported here were grown under slightly group III-rich conditions using plasma-assisted MBE with a relatively low growth temperature of ~ 425degC and the total equivalent surface coverage was about nine InN monolayers (one ML ~ 0285nm) Cross-sectional observations as shown in Figs 7a and 7b revealed that most of the QDs were associated with threading dislocations in the underlying GaN layer which had edge components Periodic misfit dislocations were observed at the InN QDGaN interface Plan-view images as shown by Fig 7c indicated periodic primarily hexagonal arrays of dislocations that were separated by ~ 28nm This distance corresponds to the separation between misfit dislocations at a relaxed InN QDGaN interface based on the lattice mismatch Thus the islands can be considered as being completely relaxed Disruptions to the fringe periodicity are also visible attributable to the threading dislocations in the underlying GaN layer Finally there was no evidence for the presence of any InN wetting layer between islands which was consistent with the co-existence of streaks (GaN layer) and spots (InN QDs) that were present in reflection-high-energy electron diffraction patterns recorded in situ during MBE growth

Fig 7 ab) Cross-sectional electron micrographs showing InN QDs on GaN buffer c) Plan-view electron micrograph of relaxed InN QD Hexagonal array of moireacute fringes has spacings corresponding to the misfit between the lattice spacings of InN and GaN indicative of relaxed InN QDs Disruptions to fringe periodicity caused by GaN threading dislocations [15]

36 Lateral Phase Separation in AlInNGaN Heterostructure Materials based on InxAl1-xN have possible applications for LEDs LDs as well as HEMTs The In017Al083NGaN heterostructure should ideally be free of misfit strain because the two materials should have the same lattice parameter Moreover the presence of a two-dimensional gas at the interface is predicted by modeling because of the large conduction band offset and the differences in spontaneous polarization [16] Growth of high quality InAlN is however likely to be challenging The growth temperature should ideally be below about 460degC for reliable In incorporation into the growing film due to the weak In-N bond and spinodal decomposition is also possible owing to the large lattice mismatch between InN and AlN which is 125 and 135 for the c and a directions respectively Cross-section electron micrographs of InAlNGaN heterostructures showed vertical lines or stripes originating from near the interface and the top surface showed undulations on the order of several monolayers The defects were investigated by

(c)

25nm

50nm

10nm

(a)

(b)

Recent Studies of Heteroepitaxial Systems 97

weak-beam dark-field micrographs as shown in Fig 8 [17] The majority of the GaN defects some of which propagated into the InN layer were threading dislocations having edge components Vertical stripes are visible in Fig 8a throughout the entire InN layer but these are not present in Fig 8b recorded with Burgersrsquo vector g = (0002) which is consistent with an absence of strain along this direction The plan-view Z-contrast image of the In018Al082NGaN sample in Fig 9a shows the presence of a honeycomb or cell-like structure The corresponding EDXS spectrum shown in Fig 9b taken along the indicated line confirms that the cell walls of the honeycomb structure are In-rich This novel phenomenon is interpreted in terms of a lateral phase separation initiated at the InAlNGaN interface that is most likely caused by random compositional non-uniformities across the growth surface

Fig 8 Weak-beam dark-field electron micrographs taken under two-beam diffraction conditions from the same area a) g = lt1020gt and b) g = (0002) Note white and black stripes parallel to the growth direction in (a)

Fig 9 a) Z-contrast ADF image of In0175Al0825NGaN heterostructure taken in plan-view showing honeycomb structure caused by lateral phase separation Brighter areas interpreted as In-rich b) EDXS line profile confirms that In-peak intensity increased as Al-peak decreased [17]

37 Ferromagnetic Cr-Doped Nitrides The recent interest and activity in the field of lsquospintronicsrsquo aims to use the charge and spin of the electron to achieve more advanced device functionalities Dilute magnetic semiconductors have been hotly pursued with much attention being directed towards systems based on silicon and GaAs Cr is a potential dopant in III-nitride materials Sets of GaN and AlN thin films doped with a range of Cr concentrations were grown by MBE [18] and magnetic measurements showed that many films were ferromagnetic some having Curie transitions temperatures above 900K [19] As shown by channeling experiments [20] Cr atoms occupied substitutional rather than interstitial sites at least for GaN samples grown at or below 775degC [21] Extensive TEM structural and chemical studies confirmed that the Cr-AlN and Cr-GaN thin films did not show any evidence for the presence of any ferromagnetic secondary phases although trace amounts of antiferromagnetic CrN were detected in some films A high quality film of 3Cr-GaN grown at 775degC is shown in Fig 10a and EELS studies did not show any evidence for Cr clustering An energy-filtered electron micrograph and an EELS profile of another film grown at 825degC are shown in Fig 10b ndash Cr clustering is clearly evident in both cases [21]

g(b)

100nm

In017Al083N

GaN

g(a)

Position (nm)

Cou

nts

151050

250

200

150

100

50

0

InAl(b)

(a)

20 nm

8 9

98 David J Smith

Fig 10 a) Cross-section electron micrograph of 3Cr-GaN sample grown at 775degC EELS profile above indicates no significant Cr clustering b) Energy-filtered micrograph and EELS line profile above confirms Cr clustering in sample grown at 825degC [21]

Acknowledgements I am pleased to acknowledge many students friends and colleagues who have participated in the collaborative studies reported here Use of facilities in the John M Cowley Center for High Resolution Electron Microscopy at Arizona State University is also gratefully acknowledged References 1 Bravman J and Sinclair R 1984 J Electr Micro Tech 1 53 2 Cullis A G Chew N G and Hutchison J L 1985 Ultramicroscopy 17 203 3 Wang C Smith D J Tobin S Parodos T Zhao J Chang Y and Sivananthan S 2006 J Vac Sci

Technol A 24 995 4 Aoki T Chang Y Badano G Zhao J Grein C Sivananthan S and Smith D J 2004 J Cryst

Growth 265 224 5 Aoki T Smith D J Chang Y et al 2003 Appl Phys Lett 82 2275 6 Preinesberger C Becker S K Vandre S et al 2002 J Appl Phys 91 1695 7 He Z Stevens M Smith D J Bennett P A 2003 Appl Phys Lett 83 5292 8 He Z Smith D J and Bennett P A 2004 Phys Rev Lett 93 256102 9 Chaparro S A Zhang Y Drucker J et al 2000 J Appl Phys 87 2245 10 Smith D J Chaparro S Crozier P A et al 2004 J Cryst Growth 259 232 11 Chaparro S A Drucker J Zhang Y Chandrasekhar D McCartney M R and Smith D J 1999

Phys Rev Lett 93 1199 12 Floyd M A Zhang Y T Driver K P et al 2003 Appl Phys Lett 82 1473 13 Stirman J N Crozier P A Smith D J et al 2004 Appl Phys Lett 84 2530 14 Phillipp F Houmlschen R Osaki M et al 1995 Ultramicroscopy 56 1 15 Zhou L Xu T Smith D J and Moustakas T D 2006 Appl Phys Lett 88 231906 16 Katzer D S Storm D F Binari B V et al 2005 J Vac Sci Technol B 23 1204 17 Zhou L Smith D J McCartney M R et al 2007 Appl Phys Lett 90 081917 18 Wu S Y Liu H X Gu L Singh R K Budd L van Schilfgaarde M McCartney M R Smith D J

and Newman N 2003 Appl Phys Lett 82 3047 19 Liu H X Wu S K Singh R K et al Appl Phys Lett 85 4076 20 Singh R K Wu S Y Liu H X et al 2005 Appl Phys Lett 86 012504 21 Gu L Wu S Y Liu H X et al J Magn Magn Mater 290291 1395

SiC

(au)

0

600

12000 21 41 62 83

0

600

12000 22 44 66 88

50nm

Ga(Cr)N

2nm

Ga(Cr)N

(nm)

(a)

(nm) (au)

(b)

SiCSiC

Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple Quantum Wells E Luna A Trampert E-M Pavelescu1 and M Pessa1 Paul-Drude Institute for Solid State Electronics Hausvogteiplatz 5-7 10117 Berlin Germany 1 ORC Tampere University of Technology PO Box 692 FIN-33101 Tampere Finland Summary Transmission electron microscopy (TEM) is used to determine the composition of quaternary (GaIn)(NAs) quantum wells (QWs) Through a combined analysis of the chemically sensitive (002) dark-field images and lattice-resolving high-resolution TEM images the local distributions of nitrogen and indium in the growth direction are determined In particular we were able to directly detect the existence of indium segregation in (GaIn)(NAs) QWs A comparison with the indium distribution profile in the nitrogen-free (InGa)As QWs grown under similar conditions revealed that incorporating N into the alloy enhanced indium segregation 1 Introduction Dilute nitrides have attracted considerable attention because of their potential application in GaAs-based laser diodes operating in the 13 - 155 microm optical fibre window [1] However despite the interest in growing In and N -content (GaIn)(NAs) layers the mechanisms controlling the incorporation of In (35 - 40 ) and N (2 - 5 ) are still not well understood [2] In general indium segregation in the quaternary alloy and structural effects of the presence of N on the alloy are subjects not widely explored so far It has been put forward that the presence of N enhances In segregation [3] This suggestion however is based on data obtained from indirect experiments [3]

In this paper (GaIn)(NAs)GaAs quantum wells (QWs) have been grown by molecular beam epitaxy (MBE) and analysed by the transmission electron microscopy (TEM) The In and N compositions [In] and [N] studied across the QWs were locally determined by a combined evaluation of the tetragonal lattice distortion and the contrast analysis of chemically sensitive (002) dark field TEM images [4] The profiles obtained are compared to those taken from nitrogen-free (InGa)As GaAs QWs grown under similar conditions Indium segregation in the (GaIn)(NAs) QWs is directly inferred from the experimental profiles 2 Experimental Ten (GaIn)(NAs) QWs 7 nm in width and with 30-nm thick GaAs potential-energy barriers were grown on GaAs(001) substrates in a MBE system equipped with a rf nitrogen plasma source the growth conditions have been reported elsewhere [5] We examined two sets of samples One contained (GaIn)(NAs) QWs the other contained QWs without nitrogen Samples were grown at two different temperatures Tg = 420 degC and 460 degC The samples containing (GaIn)(NAs) QWs are labelled G420 (Tg = 420 degC) and G460 (Tg = 460 degC) The other samples (InGa)As are labelled I420 and I460 Prior to TEM analysis the samples were characterised by x-ray diffraction (XRD) and photoluminescence

Cross-sectional TEM foils were prepared in the [110] and [110] projections using mechanical thinning followed by Ar-ion milling The TEM investigation was carried out using a JEOL JEM 3010 microscope operating at 300 kV equipped with a GATAN CCD camera The In and N composition distributions were determined by evaluating the tetragonal lattice distortion (through the analysis of the TEM images with the LADIA program package [6 7]) and the contrast analysis of chemically sensitive (002) DF images [4] The (002) DF images were obtained by tilting the specimen about 10deg on the [110]

100 E Luna et al

zone axis along the growth direction For the (InGa)As samples [In] was directly determined from the analysis of the (002) DF images In this case [In] was inferred from a strain analysis with the LADIA software which yielded similar values (deviation ~ 1 ) to those obtained from the DF micrographs In the analysis of the (002) images we took into account the atomic scattering factors given by Doyle and Turner [8] while influences of electron redistribution due to the bonding of atoms local structural distortions and thin-foil surface relaxation [9-12] are not considered here

Our challenging aim was to determine the distribution profiles of [In] and [N] across the (GaIn)(NAs) QWs It turned out that [In] and [N] deduced from the experimental XRD data (after comparison with simulations which were based on the dynamical diffraction theory [13]) deviated only about 2 for [In] and 1 for [N] from those obtained from the TEM analyses 3 Results and Discussion 31 Element Distribution inside the (GaIn)(NAs) QWs Figure 1a shows a g002 DF cross-sectional TEM image for G420 As observed in spite of the build-up of bilateral compressive strain we have obtained morphologically perfect two-dimensional QWs The QWs exhibit smooth interfaces as indicated by the two dark lines on both sides of each QW and laterally homogeneous overall composition Figure 1b is a lattice-strain map obtained from the TEM analysis with the LADIA software It reveals the presence of a homogeneous strain distribution inside the QW The corresponding strain values inferred from average line-scans are given in Fig 1c

20 nmg002

(GaIn)(NAs)

GaAs

(a)

(b)5 nm

0 20 40 60

0

1

2

3

4

5 (c)G420

Stra

in (

)

Position (arb units) Fig 1 a) g002 DF micrograph from sample G420 b) strain map obtained from the analysis of the HRTEM images with LADIA c) strain distribution across a QW

As for the elemental distribution Fig 2 shows the corresponding [In] and [N] profiles taken for

G420 and G460 While the In distribution for G420 is rather homogeneous it has a pronounced asymmetry for G460 characteristic of segregation effects ie the local indium concentration gradually increases inside the QW as growth proceeds Notice that no significant ldquotailrdquo penetration into the upper barrier layer occurs which results in a rather symmetric distribution at the interfaces The [N] profile of G460 exhibits a pronounced asymmetry too The areas of lower [N] correspond to the areas of higher [In] which is a well-known phenomenon caused by the preferred formation of Ga-N and In-As bonds with an increase in Tg due to the phase separation tendency of the alloy [14] For G460 the fluctuations in [N] are strong amounting to a difference of 16 between the minimum and maximum values in the QW As deduced from the element profiles (Fig 2b) in rough approximation the QWs of G460 is composed of three layers each containing different [In] and [N] This approximation is corroborated by XRD Figure 3 shows the experimental XRD data of G460 together with a simulated XRD The simulated XRD can be fitted assuming three layers in the QW made of layer 1 22 nm thick [In] ~ 39 [N] ~ 44 layer 2 23 nm thick [In] ~ 42 [N] ~ 19 and layer 3 24 nm thick [In] ~ 41 and [N] ~ 42 These values are in agreement with the indium nitrogen contents and distributions obtained by TEM We are however not able to introduce the effects of indium segregation in our XRD simulation program For G420 with reduced Tg the fluctuations in [N] are only 08 In this case the simulated XRD fits the experimental one assuming a homogeneous QW composition

Nitrogen-Enhanced Indium Segregation in (GaIn)(NAs)GaAs Multiple QWs 101

0 5 10 15 20 25

0

10

20

30

40 (a) G420 InIn

con

tent

()

Position (nm)

0

1

2

3

4

5

growth direction

N

N c

onte

nt (

)

0 5 10 15 20 25

0

10

20

30

40 (b) G460 In

In c

onte

nt (

)

Position (nm)

0

1

2

3

4

5

6

growth direction N

N c

onte

nt (

)

Fig 2 Experimental [In] and [N] profiles for (GaIn)(NAs) QWs grown at a) 420 and b) 460 degC 32 Nitrogen Enhanced Indium Segregation The indium content in the (GaIn)(NAs) QWs grown at 420 degC is homogeneous with a symmetric distribution which is well simulated by the error functions that describe diffusion processes (Fig 4) but the raise of Tg to 460 degC promotes a gradual increase in [In] from 36 to 40 characteristic of In segregation Notice that due to the phase separation tendency of (GaIn)(NAs) the range of Tg explored here is kept rather limited It is commonly known that increasing Tg increases indium segregation in (InGa)As [15] We have found however that the impact of higher growth temperatures on In segregation in the (GaIn)(NAs) QWs is partly masked by an increase in surface roughening and the appearance of lateral composition fluctuations

-2 -1 0 1

[In] [N]GaAs 29 nm (GaIn)(NAs) 22 nm 39 44(GaIn)(NAs) 23 nm 42 19(GaIn)(NAs) 24 nm 41 42

simulation

experiment

GaAs(004)

G460

Inte

nsity

(arb

uni

ts)

ω (degree)

-30 -15 0 15 30

0

10

20

30

40

50

G420

In c

onte

nt (

)

Position (ML) Fig 3 Experimental and simulated XRD ω-2θ scan of sample G460

Fig 4 Experimental In distribution from G420 described by error functions

Segregation of atoms is often described and quantified by a segregation efficiency factor R which

defines the fraction of topmost-layer atoms that move to the next layer In G460 a fit to Murakirsquos model for segregation [15] (Fig 5) yields R = 081 ie indium segregation is remarkable For (InGa)As QWs grown at Tg = 535 degC similar R of 08 has been reported [16] Judging from our observations Murakiacutes model describes well the distribution of indium in the QW but there is a discrepancy at the upper interface Error functions provide a good description at the interfaces but the experimental [In] inside the QW clearly deviates from the symmetric fit as observed in the inset of Fig 5 In order to explore the influence of N on In segregation we compared the In distribution obtained for the (InGa)As QWs grown under similar conditions We found that when growing them at 420 le Tg le 460 degC indium segregation was undetectable This is to say that indium segregation in the nitrogen-free QWs occurs at higher Tgrsquos only Figure 6 (I460) shows that [In] indeed remains constant at 39 across the QW with a diffusion-like symmetric profile

102 E Luna et al

-20 0 20 40 60 80

0

10

20

30

40 G460R = 081

In c

onte

nt (

)

Position (ML)

-10 0 1010

20

30

40

In c

onte

nt (

)

Position (ML)

Fig 5 Fit to Murakiacutes model for segregation of the data in Fig 2b The inset shows the deviation of the experimental [In] inside the QW from the symmetric fit with error functions

0 5 10 15 20 25 30

0

10

20

30

40 G460 I460

In c

onte

nt (

)

Position (nm)

10 15

30

35

40

Position (nm)

Fig 6 Experimental In profiles for the samples withwithout N in the QW at 460 degC No In segregation is detected for the (InGa)As QW

4 Conclusions We found that the presence of nitrogen in the (GaIn)(NAs) quantum well enhances indium segregation when compared to the nitrogen-free (InGa)As QW grown under similar conditions in the Tg range from 420 to 460 degC As a consequence of the phase separation tendency this asymmetry in indium distribution produces strong fluctuations in the nitrogen content Acknowledgements The authors acknowledge Mrs A Pfeiffer for technical assistance This work was carried out in part within the framework of EU FP6 Fast Access Project References 1 Kondow M Uomi K Niwa A Kitatani T Watahiki S and Yazawa Y 1996 Jpn J Appl Phys

35 1273 2 Jaschke G Averbeck R Geelhaar L and Riechert H 2005 J Cryst Growth 278 224 3 Liu H F Xiang N and Chua S J 2006 Appl Phys Lett 89 071905 4 Grillo V Albrecht M Remmele T Strunk H P Egorov A Y and Riechert H 2001 J Appl Phys

90 3792 5 Pavelescu E -M Slotte J Dhaka V D S Saarinen K Antohe S Cimpoca Gh and Pessa M 2006

J Cryst Growth 297 33 6 Du K Rau Y Jin-Phillipp N Y and Phillipp F 2002 J Mater Sci Technol 18 135 7 Chauveau J -M Trampert A Pinault M -A Tournieacute E Du K and Ploog K H 2003 J Cryst

Growth 251 383 8 Doyle P A and Turner P S 1968 Acta Crystallogr A24 390 9 Glas F 2004 Philos Mag 84 2055 10 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 11 Cagnon J Buffat P A Stadelmann P A and Leifer K 2003 Inst Phys Conf Ser 180 203 12 Patriarche G Largeau L Harmand J C and Gollub D 2004 Appl Phys Lett 84 203 13 Brandt O Waltereit P and Ploog K H 2002 J Phys D 35 577 14 Kong X Trampert A Tournieacute E and Ploog K H 2005 Appl Phys Lett 87 171901 15 Muraki K Fukatsu S Shiraki Y and Ito R 1992 Appl Phys Lett 61 557 16 Litvinov D Gerthsen D Rosenauer A Schowalter M Passow T Feinaumlugle P and Hetterich M

2006 Phys Rev B 74 165306

Nanoscale Characterisation of MBE-Grown GaMnN(001) GaAs M W Fay12 Y Han1 S V Novikov3 K W Edmonds3 B L Gallagher3 R P Campion3 C R Staddon3 T Foxon3 and P D Brown1 1 School of Mechanical Materials and Manufacturing Engineering University of Nottingham

University Park Nottingham NG7 2RD 2 Nottingham Nanoscience and Nanotechnology Centre 3 School of Physics and Astronomy University of Nottingham University Park Nottingham NG7 2RD Summary The growth of cubic (GaMn)N(001)GaAs heterostructures by plasma assisted molecular beam epitaxy has been appraised as a function of GaN ratio Mn concentration and growth temperature The combined analytical techniques of EFTEM EDX CBED and dark field imaging have been used to appraise the Mn distributions within (GaMn)N epilayers Improved incorporation efficiency of Mn is associated with growth under N-rich conditions but Mn incorporation may be enhanced under Ga-rich conditions at reduced growth temperatures The surfactant behaviour of Mn during the growth of this spintronic system determines the resultant alloy composition 1 Introduction Ferromagnetic semiconductors raise the possibility of spintronic devices that combine electronic and magnetic properties Practical spintronic applications require p-type material and a Curie temperature (Tc) above room temperature [12] Theoretically ferromagnetism at room temperatures is achievable within (GaMn)N for very high Mn concentrations [3] However the low solid solubility of Mn in GaN limits the development of these systems Tc values exceeding room temperature have been reported for (GaMn)N although the source of the ferromagnetic signal has not been definitively identified [45] The requirement for p-type (GaMn)N material is most practically achieved by growing the cubic phase using plasma assisted molecular beam epitaxy (PAMBE) on (001)GaAs [2] The growth conditions combined with a large lattice parameter mismatch between substrate and epilayer result in highly faulted structures Detailed knowledge of the structure and elemental composition on the nanoscale is required for continued refinement of these spintronic material systems both for feedback into growth programmes to improve the control of the materials growth and processing and in order to underpin fundamental understanding of the material functional properties

The effect of varying the growth parameters of III-V ratio Mn flux and temperature on the structure and Mn incorporation of (GaMn) N layers has been investigated using 12kV reflection high energy electron diffraction (RHEED) in-situ within the PAMBE growth chamber and 200kV RHEED ex-situ within a JEOL 2000fx transmission electron microscope Energy dispersive X-ray (EDX) and energy filtered transmission electron microscopy (EFTEM) elemental analysis selected area electron diffraction (SAED) and conventional imaging modes EDX analysis was carried out in a JEOL 2000fx transmission electron microscope operating at 200kV while EFTEM analysis was carried out using JEOL 2010F and 4000fx transmission electron microscopes 2 Results and Discussion (GaMn)N layers grown using PAMBE have been consistently found to be p-type by measuring the electrical properties of free-standing layers using Hall-effect measurements in a Van der Pauw geometry [2]

104 M W Fay et al

Varying the GaN ratio was found to produced a marked structural difference in the layers Under N-rich conditions RHEED analysis confirmed the presence of both zincblende and wurtzite material with a high density of stacking faults on one set of 111 planes (Fig 1a) RHEED analysis of Ga-rich layers after the chemical removal of Ga droplets revealed a smoother surface with single zincblende structure (Fig 1c) However EDX analysis confirmed that Mn was only incorporated in the layers under N-rich and nearly 11 growth conditions

The presence of α-MnAs inclusions into the GaAs buffer layer were confirmed using EDX and SAED It is considered that the inclusions formed due to the migration of Mn from the growth layer to the buffer layer The orientation relationship between MnAs and the GaAs substrate was found to be affected by the GaN ratio with N-rich conditions being associated with ([1120]MnAs[110]GaAs) orientational relationship whilst Ga-rich conditions produced ([1120]MnAs[110]GaAs)

Fig 1 Ex-situ RHEED patterns obtained from (GaMn)N layers grown using PAMBE under a) N-rich b) 11 and c) Ga-rich conditions at 680degC

Increasing Mn flux was associated with the build up of a Mn surfactant layer during the early

stages of PAMBE growth with a transition from zinc-blende single phase growth to zinc-blendewurtzite mixed phase growth as shown in Fig 2 High Mn flux produced samples with a ferromagnetic signal above 400K however the exact nature of the origin of the signal has not been definitively identified Secondary phases and Mn clusters are expected to be a significant contributor to this additional Mn content within the GaMnN alloy EFTEM analysis has revealed the presence of Mn-rich regions at grain interfaces

Fig 2 a) Cross section image of a (GaMn)N layer grown under Mn-rich conditions (nominally 66at) b-d) SAED patterns taken from the top middle and bottom of the layer respectively showing the transition from zincblende (bottom) to wurtzite structure (top) via zincblendewurtzite mixed phase growth (middle)

a b c

100nm

mixed

Wurtzite [1120]

Zincblende[110]

a b c

Nanoscale Characterisation of MBE-Grown GaMnN (001) GaAs 105

Fig 3 The effect of alternating the III-V ratio during growth of a (GaMn)N layer as investigated using in-situ RHEED and EDX line profiles

Fig 4 ex-situ RHEED patterns obtained from layers grown with Ga rich conditions at a) 680degC b) 340degC and c) 265degC d) dark field image showing the structure of a (GaMn)N layer grown at 265degC with inset diffraction patterns of the initial (GaMn)N nucleation layer (bottom left) and the low temperature (GaMn)N layer (top right)

LT GaMnN

GaAs

ba c

d

0

200

400

600

800

1000

1200

0 50 100

atomic

nm fr

om s

urfa

ce

Growth Conditions

In-situ RHEED

N

Ga

Ga

N

spo

ts stre

aks

Mn at x10

Ga at

As at

spo

ts GaAs substrate

(GaMn)N layer

106 M W Fay et al

The elemental composition through a (GaMn) N layer grown using alternating N and Ga-rich conditions to a thickness of ~1100nm was appraised by EDX line profiles (Fig 3) 12kV in-situ RHEED patters allowed comparison of the chemical profile with the near surface crystal structure of the layer during PAMBE growth at 680degC The initial growth phase of (GaMn)N under N-rich conditions produced a layer with a significant level of Mn incorporation However switching to Ga-rich growth conditions resulted in a drastic reduction in the level of Mn incorporation along with an improvement in the structural quality of the layer indicated by the streaks in the in-situ RHEED Returning to N-rich growth conditions at ~500nm layer thickness caused a transition back to a rough growth mode and the incorporation once again of significant levels of Mn A subsequent switch back to Ga-rich growth conditions continued to show a rough growth mode with significant but reduced Mn incorporation

The effect of growing (GaMn) layers at reduced temperature was also investigated Under N-rich growth conditions reducing the temperature from 680degC was simply associated with the transformation from single crystal cubic growth to polycrystalline cubic and hexagonal mixed phase growth [6] Under Ga-rich conditions lowering the growth temperature to 340degC led to a transition from single crystalline to polycrystalline growth (Figs 4a and 4b) However further reducing the growth temperature to 265degC resulted in a recovery from polycrystalline growth to a mixed phase growth with close packed planes for both cubic and hexagonal GaMnN roughly parallel to the growth surface as shown by 200kv ex-situ RHEED (Figs 4c and 4d) This was accompanied by an improved Mn incorporation as confirmed by EDX line profiles indicating the uniform incorporation of Mn under such non-equilibrium conditions [6] 3 Conclusions The microstructure and elemental concentrations of (GaMn)N layers grown by PAMBE under a range of conditions have been investigated N-rich and nearly 11 GaN growth conditions are associated with the incorporation of Mn into the GaN lattice Ga-rich growth conditions are associated with a slightly improved (GaMn)N microstructure but with little incorporation of Mn into the GaN lattice Under conditions of increasing Mn flux the build up of Mn on the growth front creates a surfactant layer resulting in enhanced levels of Mn incorporation but mixed phase growth Growth of a layer under alternating Ga or N rich conditions confirmed the surfactant behaviour of Mn during the growth of this spintronic system Low temperature growth under N-rich conditions leads to polycrystalline mixed phase growth (GaMn)N films adopt a tilted mixed phase growth mode with an improved Mn incorporation under Ga-rich conditions at low temperature References 1 Novikov S V Edmonds K W Giddings A D Wang K Y Staddon C R Campion R P Gallagher

B L and Foxon C T 2004 Semicond Sci Technol 19 L13 2 Edmonds K W Novikov S V Sawicki M Campion R P Staddon C R Giddings A D

Zhao L X Wang K Y Dietl T Foxon C T and Gallagher B L 2005 Appl Phys Lett 86 152114

3 Dietl T Ohno H Matsukura F Cibert F and Ferrand D 2000 Science 287 1019 4 Pearton S J Park Y D Abernathy C R Overberg M E Thaler G T Kim J Ren F Zavada J M

Wilson R G 2004 Thin Solid Films 447 493 5 Novikov S V Edmonds K W Zhao L X Giddings A D Wang K Y Campion R P Staddon C

R Fay M W Han Y Brown P D Savicki M Gallagher B L Foxon C T 2005 J Vac Sci Technol B 23 1294

6 Han Y Fay M W Brown P D Novikov S V Edmonds K W Gallagher B L Campion R P and Foxon C T submitted to J Crystal Growth

Antiphase Boundaries in GaAsGe and GaPSi I Neacutemeth B Kunert1 W Stolz and K Volz Material Sciences Centre Central Technology Lab Philipps University Marburg Hans-Meerwein Strasse D-35032 Marburg Germany 1 NAsP IIIV GmbH Marburg Summary We identified antiphase domains (APD) and antiphase boundaries (APB) in MOVPE grown low lattice mismatched GaAs on Ge and GaP on Si with the help of an improved dark field transmission electron microscopy technique which exploits image pairs of (002)(00-2) and (111)(11-1) reflections Both type 110 and 111 APBs were observed and their occurrence is shown to depend on growth conditions of the IIIV semiconductor as well as on the pre-treatment of the silicon or germanium substrate 1 Introduction Low lattice mismatch heteroepitaxy of IIIV semiconductors on group IV substrates has great application potential The Ge-based GaAs is for example used as the base material of high-efficiency multiple junction solar cells Furthermore IIIVs on silicon always have the potential application of realizing optoelectronic integrated circuits on Si Since the 1980s several attempts have been carried out to nucleate direct bandgap IIIVs like GaAs on Si These attempts suffer from high densities of threading dislocations due to the large lattice mismatch and result in no long-term stable lasing operation of devices To overcome this problem our approach to realize a laser on a silicon substrate is different We grow the small lattice mismatched (0037 at room temperature) GaP on Si Although GaP is not a direct material the dilute nitride mixed compound Ga(NAsP) can be grown epitaxially on this substrate This material system has a direct bandgap and has showed lasing operation even at near room temperature already [1] The deposition of this novel material system on Si could open the way to the monolithic integration of IIIV optoelectronics on Si-based CMOS microelectronics

Growing IIIV materials on group IV substrates one faces several challenges among them the charge neutrality of the interfaces the generation of antiphase domains in the IIIV semiconductors cross-doping and dislocation formation due to slight mismatch and different thermal expansion coefficients Antiphase domains (APD) and antiphase boundaries (APB) originate from monoatomic steps on the group IV substrate surface The diamond lattices of Si and Ge are based on single types of atom in contrast to the zinc-blende lattice of IIIV semiconductors which is built from two types of atom Consequently a monostep on the group IV surface leads to a a4 (a lattice constant) shift of the lattice in the [001] growth direction and hence to the formation of an APB at this step position A crystal model in Fig 1 shows in

Fig 1 Ball and stick models of annihilating 111 and 011 APB in GaP on Si

108 I Neacutemeth et al

[110] projection the possible APB configurations The APBs lie on the 110 or the 111 planes respectively For the latter ones self-annihilation is possible

This transmission electron microscopy (TEM) study covers the identification of the possible config-urations of APDs and APBs in zincblende GaAs and GaP and the application of improved dark field techniques to detect them We will suggest growth conditions to either circumvent APDs or to restrict them to a thin interfacial layer by having APBs lie on 111 lattice planes and annihilate themselves 2 Experimental 21 MOVPE Growth The metal organic vapour phase epitaxy (MOVPE) growth took place in an AIX 200 reactor under H2 carrier gas For the growth of GaAs on Ge we used substrates 6deg off-orientation from (001) and triethyl gallium (TEGa) and tertiary butyl arsine (TBAs) as precursors

In the case of GaP grown on Si exact (001) substrates were used for technological reasons After a pre-treatment of the substrates a 500 nm thick Si buffer layer has been grown using silane (SiH4) The GaP layers were grown using the MO precursors tertiarybutyl phosphine (TBP) as well as triethyl gallium (TEGa) which all ndash including the above mentioned - feature sufficient decomposition characteristics also at temperatures below 500degC The layer thicknesses (50 nm) in both material systems were chosen below the critical thickness of relaxation to keep the layers free of dislocations so that the crystal defect study can be restricted to antiphase domains 22 Transmission Electron Microscopy For the TEM investigations [1-10] and [110] cross sections and [001] plan view samples were prepared by mechanical grinding polishing followed by low angle Ar ion milling using 5 kV and 2 kV beam energies in a Gatan PIPS For TEM imaging we used a JEOL JEM 3010 UHR

For the detection of the APBs we use the dark field (DF) TEM method introduced for zinc-blende structures in [2] This method is based on taking conventional DF images with the g(002) and g(00-2) reflections The contrast on the two sides of an APB changes from bright to dark on one of the images when crossing an APB and from dark to bright on the image taken with the other reflection The crystal structures on both sides of an APB are related to each other by a 180deg rotation around the lt110gt direction so that the g(002) reflection is equivalent to that of the g(00-2) from the other side of APB If one looks at the calculated beam amplitudes (that determine the image intensities) as a function of TEM sample thickness (Fig 2) one can find TEM sample thicknesses where the beam intensities for the reflections (002) and (00-2) show a difference due to the lack of twofold axes along the lt110gt directions for most TEM sample thicknesses

0 20 40 60 80 10010-3

10-2

10-1

100

101

(00-2)

(11-1)=(1-1-1)

(111)=(1-11)

Center of Laue Circle (000)Zone axis [1-10]

(000)

(002)

Ampl

itude

s (I

I00

0)

Thickness (nm) Fig 2 Dependence of the amplitudes of different beams in [1-10] zone axis oriented GaP on the TEM sample thickness

Antiphase Boundaries in GaAsGe and GaPSi 109

Induced by this difference (eg at sample thickness between 20-35 nm) taking an image pair with these reflections the APDs should appear with a contrast change from brighter to darker on one image and exactly retrograde on the other one The simulation was carried out using the Bloch-wave method [3] We find similar dependencies of the beam amplitudes on the crystal polarity also for the (111)(11-1) pair In the crystal thickness region of 30 ndash 40 nm where the (002)(00-2) pair almost shows no intensity inversion this reflection pair should theoretically exhibit a more pronounced contrast difference This will be shown to be helpful as crystal thicknesses below 30 nm which would be required for the usage of the (002)(00-2) image pairs are not so well suited for DF microscopy

3 Results and Discussion In the following antiphase domains (APDs) in heteroepitaxially grown thin layers of GaAs grown on Ge and GaP on Si will be identified in TEM cross sections and their origin will be referenced to monoatomic steps on the original silicon surface by comparing atomic force microscopy (AFM) images of the silicon buffer layer to the AFM and TEM plan-view micrographs of the GaP layer grown on this buffer Generally after optimization of the nucleation conditions we do not find any defects such as twins stacking faults or any threading dislocation in the IIIV semiconductors grown on group IV substrates

Taking dark field images with the different reflections as explained above we are able to detect different types of APBs in both material combinations GaAsGe and GaPSi as shown in Fig 3 In the GaAsGe layers we investigated (Fig 3 aampb) we only very seldomly observe APDs and the ones we detect solely lie on 111 planes and annihilate after 20 ndash 30 nm of IIIV material grown This is presumably due to the large wafer miscut of 6deg of the Ge substrate which was used for this study In GaP layers grown on Si (100) substrates without any miscut we find depending on growth and nucleation conditions APBs laying on 111 as well as on 011 planes Fig 3 campd depicts the g(002)(00-2) DF image pair of a APD in GaPSi which is limited by annihilating 111 APBs APDs bordered by 011 planes can be seen in the DF micrographs Fig 3 eampf ((111)(11-1) pair) For the sample thickness used for these images it is clearly visible that the g(111)(11-1) DF image pair exhibits clear contrast and the expected contrast reversal across the APDs The sample thickness is such that the g(002)(00-2) beams have equal intensity and the APDs can in this case not be unambiguously identified using these reflections (DF images not shown) The domains A C E appear on the g(111) and g(1-11) with bright contrast while on the g(11-1) and g(1-1-1) with darker contrast Domains BDF appear with inverted contrast on the image pairs The image pairs of the (220) and (2-20) reflections (not shown here) do not show contrast change as expected from the simulation results The typical extension of such domains

Fig 3 Dark field images of ab) GaAsGe and c-f) GaPSi using different pairs of reflections as indicated

110 I Neacutemeth et al

also seen on HR images is between 10-20 nm if they are bound by 111 planes and much larger when they are terminated by 011 planes

At the moment one can only speculate what drives the APBs to lie on either 111 or 011 planes Growth temperature and PGa ratios will clearly influence their orientation as the ones on 111 planes have to consist always of P-P or Ga-Ga false bonds in contrast to the ones on 011 planes which consist of alternating P-P and Ga-Ga false bonds (See also Fig 1) From that one can conclude that the 111 APBs should have a larger energy as they represent a much higher charge than the 011 APBs This was also reported for APBs in GaAs [4] At present our results support the assumption that by increasing the growth temperature we can drive the APBs to kink from 011 planes to 111 planes

The origin of the APDs can be clearly correlated with monoatomic steps on the silicon surface An AFM image of a Si-surface which is the underlayer of a GaP layer is shown in Fig 4a Monolayer steps are clearly resolved with every other terrace being smooth and triangular The surface scan of a GaP layer grown on this Si surface (Fig 4b) clearly betrays the characteristics of the former Si surface One detects a dip in the GaP surface where originally monolayer steps in the Si-surface were Detailed comparison with DF TEM plan view micrographs with the sample also tilted out of the [001] pole confirms that the dips in the surface correspond to the locations of the APBs Exemplarily a g(020) DF image is shown in Fig 4c From the contrast change of the bend contours (indicated by arrows) when crossing the APBs one can already conclude their nature

Hence there are two possible ways to achieve finally APD-free GaP layers on Si substrates The first one is to form solely double steps on the Si surface and consequently to prevent APD formation The second one is to find growth conditions where only APBs lying on 111 planes are formed since they are self-annihilating Acknowledgements We acknowledge the support of the Deutsche Forschungsgemeinschaft in the framework of the Topical Research Group on Metastable Compound Semiconductors and Heterostructures as well as the European Graduate College on Electron-Electron Interactions in Solids and NAsP IIIV GmbH Marburg References 1 Kunert B Klehr A Reinhard S Volz K and Stolz W 2006 Electron Lett 42 10 2 Kuan T S and Chang C A 1983 J Appl Phys 54 4408 3 Stadelmann P A 1987 Ultramicroscopy 51 131 4 Vanderbilt D and Lee C 1992 Phys Rev B 45 19

Fig 4 a) AFM micrograph of a Si surface b) AFM micrograph of a GaP layer grown on the Si shown in (a) c) g(020) dark field plane view micrograph of the same sample Contrast changes of bend contours across the APBs are indicated by arrows

Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures by CBED Analysis and FEM Calculations E Ruh1 2 G Mussler3 E Muumlller4 and D Gruumltzmacher3 1Laboratory for Micro- and Nanotechnology Paul Scherrer Institut CH-5232 Villigen Switzerland 2Solid States Physics Laboratory ETH Zurich CH-8093 Zurich Switzerland 3Institute for Bio- and Nanosystems Forschungszentrum Juumllich D-52425 Juumllich Germany 4Electron Microscopy Centre ETH Zurich EMEZ CH-8093 Zurich Switzerland Summary The local Ge concentration in SiSiGe multiquantum well structures was investigated by CBED analysis Series of bright field CBED patterns were taken across the quantum wells using the [340]-zone axis in STEM mode The HOLZ lines in these patterns were fitted with the JEMS program [1] in order to deduce the local lattice parameters With finite-element calculations taking the plastic relaxation of the thin TEM foil into account the Ge concentrations corresponding to these sets of lattice parameters were determined 1 Introduction In heteroepitaxial nanostructured material the interface quality especially its abruptness is of great importance due to its crucial effects on the physical properties of the material A more profound knowledge particularly of the chemical composition near these interfaces is required The aim of the present work is to contribute to these endeavours by performing layer characterisation with high spatial resolution based on convergent beam electron diffraction (CBED) measurements and finite-element method (FEM) calculations using the example of SiSiGe multiquantum well structures 2 Experimental The SiSiGe multilayers were grown by molecular beam epitaxy (MBE) on Si(001) substrates at a growth temperature of T = 460ordmC with deposition rates of 10 Arings and 025 Arings for Si and Ge respectively They consisted nominally of 10 periods of 5 nm thick Si08Ge02 layers separated by 20 nm thick Si interlayers In order to include temperature effects as well some sample material was annealed at 900ordmC for 10 minutes after growth The samples were prepared by mechanical polishing followed by Ar+ ion milling (high tension = 4 keV etching angle = 3ordm)

The samples were analysed in scanning transmission electron microscope (STEM) mode by acquiring zero-loss filtered CBED patterns of the undiffracted beam in the [340]-zone axis orientation across the SiGe layers with a FEI Tecnai F30 FEG transmission electron microscope equipped with a GATAN imaging filter (GIF)

The CBED patterns were evaluated by fitting higher order Laue zone (HOLZ) lines with the JEMS program The FEM calculations were performed with ABAQUS 3 Results A comparison of the HRSTEM images of the as-grown and the annealed sample show the effect of diffusion of Ge into the Si interlayers due to annealing While the thickness of the layers

112 E Ruh et al

corresponds to about 5 nm and 22 nm for the SiGe and Si layers respectively in the as-grown sample about 75 nm and 195 nm were observed in the annealed sample (Fig1)

Fig 1 HRSTEM images of the a) as-grown sample and b) the sample annealed at 700degC The Si layers correspond to the darker areas whereas the brighter contrast is due to the SiGe layers

Due to strong and asymmetric bending of the lattice planes near the upper and the lower end of

the superlattice of the thin TEM sample a strong splitting of the HOLZ lines was observed in these areas [23] The distortions within the superlattice is nicely illustrated by FEM calculations where the strain relaxation of a thin TEM foil consisting of 5 SiGe layers was simulated (Fig 2) [45] Therefore the quantum wells in the centre of the superlattice ie SiGe layers 5 and 6 were used for the measurements Still in the 6th layer a splitting was observed for the as-grown sample due to the inhomogeneous plastic relaxation along the projection direction That is why it was partly not yet possible to determine the lattice parameters in the SiGe layers of the as-grown sample

For the evaluation of the lattice parameters line scans with 61 and 81 CBED patterns were taken across the 6th SiGe layers in the as-grown and the annealed sample respectively A selection of 3 patterns of each sample is presented in Fig 3 The labels in Fig 1 show the positions where the respective CBED patterns were acquired It can easily be recognized that the HOLZ lines shift with the Ge concentration (arrows in Figs 3d-f)

Fig 2 Plastic relaxation of a strained TEM foil of a sample consisting of 5 SiGe layers (dark) separated by Si interlayers (bright) as simulated by FEM calculations

Investigation of the Local Ge Concentration in SiSiGe Multi-QW Structures 113

Fig 3 CBED patterns across a-c) the 6th SiGe layer of the as-grown sample and d-e) the sample annealed at 900ordmC

The HOLZ lines of the CBED patterns (except for a few of the as-grown sample) were fitted

with the JEMS program in order to deduce the local lattice parameters With finite-element calculations the corresponding Ge concentration was assigned to these sets of local lattice parameters (Fig 4) The maximum concentration in the as-grown sample is clearly higher than in the annealed one In this sample the Ge concentration is reduced by at least 20 induced by a Si-Ge-interdiffusion across the SiSiGe interfaces

Fig 4 Ge concentration in the 6th SiGe layer of the as-grown (crosses) and annealed sample (dots) plotted as function of the position in growth direction compared with data of x-ray diffraction measurements of the as-grown (dashed line) and annealed sample (straight line)

114 E Ruh et al

4 Discussion As expected the strongly strained as-grown TEM sample shows much more plastic relaxation in the thinning direction than the annealed one (In fact for the annealed sample the effect was below the detection limit) In the Si interlayers of the as-grown sample the lattice parameters in the thinning direction were increased compared to the bulk material (a(110) = 38443 Aring instead of 38403 Aring) whereas the lattice parameters in the growth direction were decreased (a(001) = 5427 Aring instead of 5431 Aring)

HOLZ lines corresponding to planes almost parallel to the (001)-plane react most sensitively to changes of the Ge concentration because the lattice constants along the growth direction has to compensate for the in-plane lattice parameter compression in the strained superlattice Unfortunately the same planes also suffer most from inhomogeneous plastic strain relaxation in the thinning direction (splitting)

The concentration curve of the as-grown sample appears to be far too wide if compared to the HRSTEM image ie 12 nm instead of 5 - 6 nm Additionally the measured data points at the bottom almost perfectly fit a straight line We interpret this as an artifact due to finite width of the electron probe In the case of a sharp interface a widening by twice the full width at half maximum of the probe would be expected From the width at the bottom interface of the as-grown sample (which is known to be the most abrupt one) we conclude that our probe size is of the order of not more than 15 ndash 2 nm The less abrupt an interface is the smaller the effect of the finite size of the electron probe becomes Therefore the as-grown sample is much more affected than the annealed one To solve this problem a reduced probe size or a deconvolution could be applied This however will be the topic of further work 5 Conclusions We have shown that the local lattice parameters can be determined by CBED measurements provided that no (strong) splitting of the HOLZ lines caused by plastic relaxation is present With FEM calculations the corresponding Ge concentrations were deduced This data will have to be improved in future by solving the problem of the deterioration of the spatial resolution due to the finite electron probe size Acknowledgements The authors thank Karsten Tillman (Ernst Ruska Centre Juumllich Germany) very much for the introduction to FEM calculations with ABAQUS We also thank Hans Sigg a lot (LMN PSI Switzerland) for fruitful discussions The use of the infrastructure of the Electron Microscopy Centre of ETH Zurich (EMEZ) is gratefully acknowledged References 1 Electron Microscopy Software Java version (JEMS) P Stadelmann EFP Lausanne

Switzerland 2 Benedetti A et al 2006 J Microsc 223 249 3 Chuvilin A et al 2005 J Electron Microsc 54 515 4 Balboni R Frabboni S Armigliato A 1998 Philos Mag A 77 67 5 Tillmann K et al 2000 Philos Mag A 80 255

Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er N D Zakharov P Werner V I Vdovin1 D V Denisov2 N A Sobolev2 and U Goumlsele Max-Planck-Institut fuumlr Mikrostrukturphysik 06120 Halle (Saale) Germany 1Institute for Chemical Problems of Microelectronics 119017 Moscow Russia 2Ioffe Physico-Technical Institute RAS 194021 StPetersburg Russia Summary The main types of crystal structure defects in [Er]gt2x1019 doped layers are (i) spherical Er and (ii) ellipsoidal ErSi precipitates as well as (iii) ErSi2 platelets on 111 planes In the sample with [Er]=4x1019 small complexes consisting of tiny Er precipitates and four petals of ErSi2 platelets have been found additionally The layer with [Er]= 8x1018 cm-3 was defect free The formation of silicides from a supersaturated solid solution and Er precipitates is accompanied by the emission of vacancies V resulting in the formation of pores V-V and V-Er complexes 1 Introduction Crystalline Si layers doped by Er are of great importance for the formation of structures emitting light at a wavelength of 154 microm Most studies have been performed on Er3+ implanted layers with a high concentration of different radiation defects resulting in the degradation of their physical properties [12] This problem might be solved by the molecular beam epitaxy (MBE) growth technique However first results showed that the luminescence intensity of optically active centres containing Er ions varies nonlinearly with the Er concentration It seems possible that the observed effects are determined by the structural defects created in the layers The goal of this work is to investigate the nature and structure of defects generated in epitaxial SiEr layers during MBE growth with different Er concentrations 2 Experimental SiEr layers were grown in a SUPRA-32 (RIBER) MBE reactor on n-Cz-Si(001) substrates with a resistivity of 45 Ωmiddotcm Epitaxial growth was performed at 600degС An effusion cell filled with metallic Er was used for layer doping According to the data of Rutherford backscattering the Er concentrations were equal to 8x1018 2x1019 and 4x1019 cm-3 [3] The vacuum in the growth chamber was 8x10-9 Torr The thickness of the grown layers was in the interval of 07-12 microm The structure of the grown layers was investigated by transmission electron microscopy (TEM) using the microscopes Philips CM20 JEM 200CX and JEM 4010 3 Results and Discussion The most developed structural inhomogeneities were observed in the epitaxial layers with [Er] = 2x1019-4x1019 cm-3 grown at 600degC They are presented by three kinds of precipitates (Fig 1) (i) platelets lying on 111 planes (A B C) (ii) small (4-25 nm) ball-shaped and (iii) ellipsoidal (D) precipitates with a total density of (5plusmn2)middot109 cm-2 localized in a narrow band at the layer-substrate interface Platelets do not form in the layers with [Er] le 8х1018 cm-3

116 N D Zakharov et al

A high-resolution image of platelets in a Si matrix is shown in Fig 2 The image was taken at

Scherzer defocus of ∆=-40 nm The dark contrast corresponds to the atomic positions in the structure The analysis of the observed contrast and the measured lattice parameters indicates that the platelets are ErSi2 precipitates a=03799 nm b=03799 nm c=0408 nm α=β=90deg γ=120deg space group P6mmm [4] The orientation relationships are Si[111] ErSi2[0001] Si[110] ErSi2 [11-20] The simulated image (Fig 2b) is in good agreement with the experimental one ErSi2 (0001) atomic planes fit very well to Si (111) planes because of similar symmetry relations and approximately the same in plane interatomic distances It makes it possible to bring these two phases into contact without any misfit dislocations This fact explains the platelet shape of these precipitates The important thing is that ErSi2 platelets lying on different crystallographic planes 111 block each other (see A in Fig 3) Such an interaction results in the decrease of their number in the growth direction (see intervals 1 ndash 2 - 3 in Fig1) Taking into account that platelets serve as sinks for Er one can expect that the supersaturation of Er in Si should increase with a decrease of the platelet concentration (from level 1 to 2) When the Er supersaturation reaches a critical value the nucleation of new platelets occurs (see 2 3 4 in Fig 1) This results in a periodic oscillation of the platelet number and the Er concentration across the grown layer

A high-resolution image of a ball-shaped precipitate is shown in Fig 4 It was taken at Scherzer defocus when atomic positions look dark The analysis of interplanar distances and the angles between atomic planes indicates that they are metallic Er a=0355 nm b=0355 nm c=0558 nm α=β=90deg γ=120deg space group P63mmc [5] The structure of many round shaped precipitates is severely distorted due to silicide formation A detailed high resolution TEM (HRTEM) analysis of ellipsoidal precipitates localized in the near substrate-layer interface area (see Fig 6a) indicates that they are SiEr phase with lattice parameters a = 04195 nm b = 10353 nm c = 03779 nm α = β = γ = 90deg space group CMCM (63) [6] Orientation relations between zone axes and atomic planes are Si[110] ErSi [001] and ErSi (120) Si(111) ErSi (1-20) Si (11-1) respectively

In the sample with a nominal Er concentration of 4x1019 a high density of tiny precipitates in the middle of the grown layer was observed At a higher magnification the structure of these precipitates (Fig 6) looks more complicated than that of the precipitates described above Such a complex defect consists of very tiny spherical precipitate (marked by arrows) and petals of ErSi2 platelets staying in four 111 crystallographic planes (see model in Fig 6) It seems that the tiny

Fig 1 Dark field (g=[004]) cross-sectional (1-10) micrograph of the sample with [Er] = 2x1019 cm-3 Edge-on defects (A B) in planes (111) and (11-1) respectively are imaged as straight lines while the defects of the same type (C) are 90deg rotated (one defect is outlined) D - spherical precipitates

Fig 2 a) Cross-sectional experimental and b) simulated high-resolution images of an ErSi2 platelet precipitate in a Si matrix taken along [110] direction Unit cell is outlined c) Projection of ErSi2 atomic structure along [110]

Crystal Lattice Defects in MBE Grown Si Layers Heavily Doped with Er 117

spherical precipitates are formed first and then serve as nucleation centers for the growth of ErSi2 platelets The HRTEM analysis shows that they are small Er particles

The formation of silicides occurs from Er precipitates and due to the consumption of Er atoms from the supersaturated solid solution Early stages of this process can be seen in Fig 4 where thin lamellae of silicide start to grow in an Er ball-shaped precipitate Such a phase transformation should be accompanied by Si vacancy emission because the total atomic volume of metallic Er (VEr= 003 nm3) and Si (VSi=002 nm3) is larger than the volume of ErSi or ErSi2 molecules (VErSi = 004 nm3 VErSi2 = 0051 nm3) From the balance of atomic volumes we obtain

Erhex + Si = ErSi + 05 VSi or Er + 2Si=ErSi2 +VSi

Fig 3 Cross-sectional [110] HRTEM image of two ErSi2 platelets (A B) staying in (1-11) and (1-1-1) Further growth of A is blocked by B

Fig 4 Structure of spherical Er precipitate in Si matrix Er-silicide lamellae are indicated by arrows

Fig 5 Image of ErSi precip-itate with pore marked by arrows

Thus the concentration of generated Si vacancies in the layer should be in the range 5x1017 - 5x1018 cm-3 It is much higher than the equilibrium concentration being Cvlt1013 cm-3 at the growth temperature 600degC [7-8] Such a high supersaturation of vacancies in the grown layer may result in the formation of V-Si V-Er complexes and even tiny pores (Fig 5) 4 Conclusion The main types of crystal structure defects in grown layers are (i) spherical Er precipitates and (ii) ellipsoidal ErSi precipitates both nucleated in the near substrate-layer interface region and (iii) ErSi2 platelets spread throughout the grown layer Platelets do not nucleate in epitaxial layers grown with doping level of [Er] le 8x1018 cm-3 In the sample with [Er]=4x1019 grown at 600ordmC small complexes consisting of tiny Er precipitates with four of ErSi2 platelets were found additionally They are formed over the layer due to the condensation of Er atoms from supersaturated solid solution

The density of ErSi2 platelets oscillates in the growth direction with a periodicity of approximately 200-250 nm This results in the corresponding oscillation of [Er] in solid solution because platelets serve as sinks for dissolved Er atoms

The formation of silicides from a supersaturated solid solution and Er precipitates is accompanied by the emission of vacancies The concentration of emitted vacancies [V] is comparable with the [Er] concentration This statement can be supported by pore formation due to the condensation of vacancies and by the decrease of the lattice parameter of the grown film measured by X-ray diffraction Such a high concentration of vacancies should also result in the formation of V-V and V-Er complexes The presence of a large concentration of Si vacancies and

118 N D Zakharov et al

V-Er complexes might explain the appearance of deep acceptors with an activation energy of 360 meV observed by HEfeoglu et al [2] which could be responsible for the non-radiative path

Fig 6 a) Plan-view and b) cross-sectional images of a complex structural defect with c) its schematic representation The defect is formed by a tiny Er precipitate (marked by arrows) and four petals of ErSi2 platelets aligned in 111 planes

2 Acknowledgements The authors acknowledge the support of this work by the SANDiE Network of Excellence of the European Commission The work was also partly supported by the Russian Foundation for Basic Research (grants 07-02-01462 05-02-16336) References 1 Xie Y H Fitzgerald E A and Mii Y J 1991 J Appl Phys 70 3223 2 Efeoglu H Evans J H Jackman T E Hamilton B Houghton D C Langer J M Peaker A R

Perovic D Poole I Ravel N Hemment P and Chan C W 1993 Semicond Sci Technol 8 236 3 Sobolev N A Denisov D V Emelrsquoyanov A M Shek1 E I Ber B Ya Kovarskii A P Sakharov

V I Serenkov I T Ustinov V M Cirlin G E and Kotereva T V 2005 Phys Solid State 47 113 4 Gladyshevskii E I 1963 Dopovidi Akademii Nauk Ukrainsrsquokoi RSR Seria A 1963 886 5 Hanak J J and Daane A H 1961 J Less-Common Metals 3 110 6 Thuery P Andre G el Maziani F Clin M and Schobinger-Papamantellos P 1992 J Magn

Magn Mater 109 197 7 Tan T Y and Goumlsele U 1985 Appl Phys A37 1 8 Bracht H Stolwijk N A and Mehrer H 1995 Phys Rev B52 16542 9 Sinno T Brown R A von Ammon W and Dornberger E 1998 J Electrochem Soc 145 302

Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si Ch Dieker J W Seo A Guiller1 M Sousa1 J-P Locquet1 J Fompeyrine1 Y Panayiotatos2 A Sotiropoulos2 K Argyropoulos2 and A Dimoulas2 Institute of Physics of Complex Matter Ecole Polytechnique Feacutedeacuterale de Lausanne CH-1015 Lausanne Switzerland 1 IBM Research GmbH Zurich Research Laboratory CH-8803 Ruumlschlikon Switzerland 2 National Center for Scientific Research Demokritos 153 10 Athens Greece Summary Fully epitaxial germanium-on-insulator structures have been grown for the first time on (001) Si substrates by using a perovskite oxide template Detailed transmission electron microscopy analysis revealed that a two-temperature growth procedure is required in order to avoid three-dimensional island growth and to obtain continuous and flat Ge films The interface between the oxide and Ge is fully crystalline and atomically sharp A high density of stacking faults and twin structures has been found preferentially originating from the surface roughness of the oxide 1 Introduction The integration of high-mobility materials such as Ge into Si-CMOS technology represents an important step towards high-performance MOSFETs With the recent achievements of growth of complex oxides epitaxially on Si Ge can be integrated as a semiconducting channel if it can be grown epitaxially on the oxide template This is an interesting alternative to germanium-on-insulator (GOI) structures obtained by wafer bonding [1] thermal oxidation of a strained SiGe layer grown epitaxially on silicon-on-insulator (SOI) wafers [2] liquid-phase-epitaxial regrowth directly on Si substrates [3] or surfactant mediated growth of Ge on Si [45] Nevertheless Ge generally shows a low adhesion to the oxide and has a higher surface energy which typically favors a three-dimensional Volmer-Weber-type of growth Recently GOI structures on Si wafers have been obtained but in (111) orientation [67] In this contribution we demonstrate fully epitaxial GOI grown for the first time on (001) oriented Si by molecular beam epitaxy (MBE) using perovskite oxides such as SrHfxTix-1O3 (SHTO) and SrHfO3 (SHO) as insulating templates In particular we study the growth and the microstructure of Ge thin films by transmission electron microscopy (TEM) 2 Experimental Procedures For the growth of oxide layers on Si an oxide MBE system with a base pressure of 7middot10-10 Torr was used P-type (001) Si wafers were heated to 750 degC to obtain clean oxide-free 2x1-reconstructed (001) oriented Si surfaces by chemical oxide flashing The Ge overgrowth is performed in a temperature range between 20 and 690 degC Structural characterization was carried out during growth using reflection high-energy electron diffraction (RHEED) and ex situ x-ray diffraction (XRD) using a D8 Discover diffractometer from Bruker AXS equipped with a rotating Cu anode TEM studies were carried out using a Philips CM300-FEG instrument equipped with a scanning unit as well as an energy-dispersive X-ray (EDX) detector and a Gatan imaging filter (GIF 6000) for chemical analysis TEM samples were prepared for plan-view as well as for cross-sectional view by mechanical grinding to electron transparency using the TriPod technique and subsequent Ar+-ion bombardment (PIPS Gatan 2ndash3 kV)

120 Ch Dieker et al

3 Epitaxial Oxides on (001) Si The starting point of the growth of the epitaxial oxide template is the formation of a SrO or (BaSr)O interface layer and the subsequent growth of the SrTiO3 (STO) layer following the four-step MBE deposition process as described previously [89] STO has a small lattice mismatch to (001) Si (3905 Aring compared to d(110) = 3840 Aring for Si) and can epitaxially be grown on Si with an in-plane rotation of 45deg Using STO as a buffer layer thin films of alternative perovskite materials can be grown We deposited SHTO or (BaxSr1-x)TiO3 (BSTO) because both oxide systems provide the possibility to change the lattice parameter by varying the Hf and Ba content respectively Hence by selecting an appropriate composition of the oxide Ge can be grown lattice-matched We have grown two different oxide templates (1) layers with gradually changing composition in order to accommodate the large lattice mismatch of about 46 between Si and Ge and (2) SHO or BTO ndash which have a small lattice mismatch to Ge ndash directly grown on STO without any compositional variation Nevertheless both templates resulted in comparable results with respect to the structural quality of the Ge film

Since the quality of the oxideSi interface is not critical for the Ge overgrowth both atomic oxygen and high temperature are used during the oxide growth in order to improve the crystalline quality of the oxide layer This deposition condition leads to the formation of a thick SiO2 interfacial layer as can be seen in Fig 1 However this process is not detrimental to the structural properties of the grown oxide as well as that of the Ge layer As can be seen in Fig 1 both epitaxial oxide and Ge films with a high crystallinity can be obtained 4 Ge on Epitaxial Oxides on (001) Si 41 Growth 411 Effect of the Growth Temperature The growth of Ge on the epitaxial oxide is of three-dimensional Volmer-Weber-type Already during the growth spotty RHEED patterns have been observed indicating formation of crystalline islands This behaviour was confirmed by plan-view and cross-sectional TEM As can be seen in Fig 2 Ge islands are formed whereas no coverage exists between islands However the crystallinity of the islands strongly depends on the temperature Below 500 degC the Ge islands are polycrystalline Although individual islands are single-crystalline the orientation can be different from island to island Above 500 degC Ge islands preferentially reveal the (001) orientation whereas above 600 degC only the (001) orientation exists The epitaxial relationship between Ge the oxide template and Si was found by TEM and XRD as follows (001)Ge||(001)SHO||(001)Si and [110]Ge||[100]SHO||[110]Si

Fig 1 Cross-sectional TEM image of Ge grown on SHOSTO oxide template grown on (001) Si substrate

Epitaxial (001) Ge on Crystalline Oxide Grown on (001) Si 121

412 Effect of the Oxide Template The characteristics of the islands strongly depend on the oxide system on BTO elongated needles or 111 facetted islands are obtained whereas on SHTO hemispheric islands are formed which are most regular in shape and distribution on SHO However for all oxide template systems the temperature dependence as mentioned above was comparable The (001) orientation was obtained on all types of oxide templates for Ge grown above 600 degC

Fig 2 Plan-view bright-field TEM images of 200 Aring Ge deposited at 610 degC on BTO SHTO and SHO epitaxial oxides grown on (001) Si Islands with variable size and shapes are formed On SHO oxide buffers most regular circular islands grow with a diameter of about 40 nm

413 Two-Step Growth Procedure

Increasing the nominal thickness of the Ge thin film we observed vertical and lateral growth of the islands but no additional nucleation Finally islands coalesced but even for a nominal thickness of 500 nm the film was not continuous In order to grow a continuous film we applied two-temperature steps first crystalline (001) oriented Ge islands are seeded at 610 degC Subsequently the growth is continued at a lower temperature in the range of 300-400 degC The latter step promotes homogeneous coverage of Ge Moreover due to the nucleation seeds created during the first step the (001) orientation is transferred to the Ge film deposited at low temperature As can be seen in Fig 3 applying the two-step growth procedure the Ge films can be

Fig 3 [110]Si cross-sectional bright-field images of Ge grown on SHOSi by using the two-temperature growth procedure Depending on the precise growth condition the surface morphology can be rough

122 Ch Dieker et al

grown continuous However the surface morphology can be very different depending on the precise growth condition We found that the surface roughness is directly correlated with the coalescence of islands during the film growth Thus in order to obtain a flat Ge film the formation of three-dimensional islands has to be suppressed and lateral growth needs to be promoted The most flat film obtained was grown on a SHO template and revealed a roughness of about 16 nm 42 Structural Defects The predominant defects in the Ge film are micro twins and stacking faults with 111 mirror planes The density of the latter was estimated to about 109cm2 from plan-view TEM observations As can be seen in Fig 4 most of these defects nucleate at irregularities on the oxide surface This can be explained by the fact that Ge and the oxide templates with diamond and perovskite structure respectively are only structurally compatible in the ideal two-dimensional case At an oxide surface step the Ge lattice cannot be matched because of their structural incompatibility in the out-of-plane direction However as can be seen in Fig 3 stacking faults frequently merge or annihilate in the upper part of the film leading to a significantly lower defect density in the upper film region

Surprisingly no network of misfit dislocations has been detected We assume that twins and stacking faults partly relax the epitaxial strain and suppress the formation of misfit dislocation networks Although the effect of these defects on electrical properties of Ge films is unclear their density has to be further decreased Acknowledgements This work was supported by the EU project IST-ET4US-2048 ldquoEpitaxial Technologies for Ultimate Scalingrdquo We also thank the CIME at EPFL for access to electron microscopes References 1 Tracy C J Fejes P Theodore N D Maniar P Johnson E Lamm A J Paler A M Malik I J and

Ong P 2004 J Electr Mater 33 886 2 Nakaharai S Tezuka T Sugiyama Mariyama Y and Takagi S 2003 Appl Phys Lett 83 3516 3 Liu Y Deal M D and Plummer J D 2004 Appl Phys Lett 84 2563 4 Hoegen M Copel M Tsang J C Reuter M C and Tromp R M 1994 Phys Rev B 50 10811 5 Eaglesham D J Unterwald F C and Jacobson D C 1993 Phys Rev Lett 70 966 6 Bojarczuk N A Copel M Guha S Narayanan V Preisler E J Ross F M and Shang H 2005

Appl Phys Lett 83 5443 7 Preisler E J Guha S Perkins B R Kazazis D and Zaslavsky A 2005 Appl Phys Lett 86

223504 8 Norga G Marchiori C Guiller A Locquet J P Siegwart H Rossel H Caimi D Fompeyrine J

and Conard T 2005 Appl Phys Lett 87 262905 9 Marchiori Ch Sousa M Guiller A Siegwart H Locquet J -P Fompeyrine J Norga G and

Seo J W 2005 Appl Phys Lett 88 072913

Fig 4 Cross-sectional high resolution TEM image of the GeSHO interface Stacking faults and twin structures with (111) mirror plane originate from the surface roughness of the oxide template

Analysis of GeMn Magnetic Semiconductor Layers by XPS and Auger Electron SpectroscopyMicroscopy Yu A Danilov E S Demidov1 S Yu Zubkov1 V P Lesnikov G A Maximov1 D E Nikolitchev1 and V V Podolskii Physical and Technical Research Institute University of Nizhny Novgorod 23 Gagarin Avenue Nizhny Novgorod 603950 Russia 1 Research and Educational Center for Physics of Solid State Nanostructures University of Nizhny Novgorod 23 Gagarin Avenue Nizhny Novgorod 603950 Russia Summary Thin layers of diluted magnetic semiconductors based on germanium supersaturated with manganese grown on GaAs substrates were studied by XPS and AES XPS measurements reveal the change of line shapes for germanium manganese and oxygen in surface layers in comparison with deeper layers as a transition from the oxidized form for germanium and manganese on and near the surface to the unoxidized state in deeper layers XPS spectra of valence electrons indicate that the density of states in the valence band of the GeMn ferromagnetic structures does not correspond to a mechanical mixture of germanium and manganese 1 Introduction Thin layers of germanium (silicon) supersaturated with 3d-iron group impurities (Mn Fe) during pulsed laser deposition (PLD) show characteristics of ferromagnetic spin ordering [1] that creates opportunities for applications in spintronics Ferromagnetism in such layers at temperatures of 400-500 K is confirmed by ferromagnetic resonance and the magneto-optical Kerr effect In galvanomagnetic measurements typical of ferromagnets the anomalous Hall effect at 77 K is strongly pronounced for GeMn layers containing 13 at of manganese on GaAs substrates [2] The goal of this work is to study the composition of manganese doped germanium layers by X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) 2 Experimental Samples for investigation were grown by the method of pulsed laser deposition of Ge and Mn on substrates of semi-insulating GaAs in a vacuum chamber with a pressure of residual gases of 10-5 ndash 10-6 torr at a temperature of 450degC To sputter a rotating target with Ge and Mn sectors a NdYAG laser with wavelength of 106 microm pulse energy of 02 J duration of 12 ns and repetition frequency of 10 Hz was used [3] The track of the target materials was a circle so the ratio of arc lengths for the sputtered Ge and Mn defines the doping level in the grown layers The thickness of GeMn layers for different samples was 30-60 nm

Analysis of the structures was carried out using an ultrahigh vacuum (pressure 10-11 torr) system (Omicron Multiprobe S) including an apparatus for XPS and AES investigations To excite spectra an X-ray source with Mg and Al anodes was used for XPS and an electron source with energy up to 5 kV was used for AES During local composition analysis Auger electrons were excited with an electron probe of diameter of 20 nm formed in a scanning electron column Energy separation of photo- and Auger electrons was realized by a hemispherical analyzer The collection area on the sample surface was 3times3 mm2 The energy analyzer worked in the fixed analyzer transmission mode with an energy resolution of 03 eV The time constant during signal registration in each spectral point was 25 s The

124 Yu A Danilov et al

spatial resolution in the local analysis mode was about 100 nm because of intense surface charging During layer-by-layer analysis GeMn film was sputtered by Ar+ ions with 1 keV energy The ion beam was 15 mm in diameter on the sample surface The sputtering rate was determined using signal measurement from the basic components (Ge Ga As) in structures with different GeMn layer thicknesses and was 027 nmmin The intermixing depth was estimated for such parameters of ion sputtering and it was about 2 nm The surface morphology of the grown layers was determined before spectroscopic measurements by atomic-force microscopy (AFM) in air using an NT-MDT instrument (Solver Pro) 3 Results and Discussion According to the AFM data islands were observed on the surface of GeMn films These islands were formed after condensation of plasma particles during PLD of films The density of islands was ~ 2sdot106 cm-2 the diameter was 2-7 nm and average height was about 28 nm The roughness of films in the areas between islands was about 03 nm AFM measurement showed that some samples had surface craters with an average lateral size of about 100 nm and a density of 5times107 cm-2

As a result of XPS measurements the chemical states of components in the GeMn layers at different depths were studied To estimate the chemical form of germanium and manganese 2p-lines were used In Fig 1 2p-transitions in Ge and Mn spectra are shown Each line has two Gaussian curves (A and B) corresponding to oxidized (GeO2) and unoxidized (Ge0) states of germanium (Fig 1a) and oxidized (MnO2 and Mn2O3) and unoxidized (Mn0) states of manganese (Fig 1b) Spectral analysis was performed using an XPS database and software obtained from XPS international [4]

Fig 1 Spectra of the 2p-transition in a) germanium b) manganese and c) the 1s-line of oxygen The Ge spectrum corresponds to a depth of 08 nm and Mn and O spectra correspond to depths of 6 nm The depths were chosen to visualize the point when the intensities of the oxidized and unoxidized lines are almost equal

A general observation as could be expected was a transition from the oxidized forms of

the germanium and manganese to unoxidized (Fig 2) But while the depth of transition to the unoxidized state for germanium was 3 nm where the line corresponding to the oxidized form disappeared almost entirely in the case of manganese the intensity of the lines

binding energy

A

B

C

D

counts

160k

140k

120k

100k

1225 1212 1219 binding energy

counts

70k

67k

64k

61k

646 636 641 46 638

A

BC

D

E

3 30

A

BC

DE

binding energy

counts

67k

64k

61k

59k

638 528 633

(a) (b) (c) 2p3 2p3 1s

Ge2+

Ge0

Mn2+

Mn0

O in

MnO

2

O in

Mn 2

O3

Analysis of GeMn Magnetic Semiconductor Layers by XPS amp AESMicroscopy 125

corresponding to the oxidized state and the lines of elemental manganese began to be comparable at a depth of 5-6 nm lines corresponding to the oxidized form completely disappeared at 9-10 nm The form of the oxygen line (Fig 1c) was evidence that oxygen was predominantly combined with the manganese atoms Study of the manganese 2p-line shows

Fig 2 Intensity change for lines corresponding to oxidized and elemental state of a) germanium and b) manganese

the presence in the sample of the three states of manganese oxidation Mn2O3 in the surface layer of the GeMn film and MnO2 and Mn0 in deeper film layers Seemingly the oxygen is an important factor in production of the ferromagnetic properties in structures with Ge layers doped with Mn This was proved by the difference in ferromagnetic properties of GeMn structures grown by the PLD method under different pressures of residual gases in the vacuum chamber

During layer-by-layer analysis it was observed that one of the substrate materials (Ga) was present in the surface layers of GeMn films even in the case of entire films without defects (Fig 3) The presence of a signal from substrate may be connected with intermixing

Fig 3 Depth distribution profiles for a) concentrations of germanium manganese oxygen gallium and arsenic and b) magnified scale for concentration profiles of Mn and Ga XPS lines used for these distributions are 2p for Ge Mn Ga As and 1s for O

of substrate materials as a result of interaction of the erosive laser plasma particles with the substrate This also may explain the smooth increase of Ga and As concentrations during approach to the GeMnGaAs interface The absence of or a weaker signal from the arsenic in the surface layers could be explained by the higher volatility of this element and so that it would have a smaller concentration in comparison with gallium In the case of continuous films the signal from the gallium disappeared at 25 nm depth and rose again as a signal from substrate at a depth of ~20 nm this is evidently connected with the process of Ga segregation on the growing film surface

For the investigated samples a decrease of the signal intensity from Mn in near-surface layers (1-2 nm) was observed (Fig 3b) and could be connected with the process of Mn segregation on the structure surface Such an effect became more apparent for a sample which had a delay between growth and measurement of about 1 year It can be judged that there is an interaction between the basic component Ge and dopant manganese atoms using valence band XPS spectra near the Fermi level EF (Fig 4) The spectra from the pure materials Ge and Mn near the top of valence band (4p and 3d respectively) and a spectrum from investigated GeMn films in the same region were obtained Using the measured concentration

0 2 4 6 8 1000

2000k

4000k

6000k

8000k

10M

Inte

nsity

depth nm

0 5 10 150

15

20

25

C a

t

depth nm

(b)

Ge2+

Ge0

Mn2+

Mn0 (a) (b)

0 10 20 30 40 50 60 70 80 900

10

20

30

40

50

60

C a

t

depth nm

Ge Mn O Ga As

(a)

0 2 4 6 8 1000

200k

400k

600k

800k

Inte

nsity

depth nm

126 Yu A Danilov et al

Fig 4 XPS spectra near the Fermi level 1- spectrum of GeMn film 2- superposition of spectrum from pure Ge and Mn taking into account their concen-tration in GeMn film 3- the difference between line 1 and line 2

as a factor in the intensity for pure elements Ge and Mn the superposition of two binding energy spectra near the EF=0 (0 eV on the binding energy scale) was constructed (Fig 4 line 2) Then subtraction of the superposed spectra for pure Ge and Mn from GeMn film spectra was performed On the spectrum obtained after subtraction (Fig 4 line 3) it is clearly observed that the intensity at an energy of about 45 eV is 4 times greater than noise The presence of this peak on the difference spectrum seemingly shows that grown GeMn films are not simply mechanical mixtures of the two components ndash germanium and manganese 4 Conclusions Layer-by-layer analysis of GeMnGaAs structures by the methods of X-ray photoelectron and Auger spectroscopy showed that film components Ge and Mn changed their state from oxidized to unoxidized as the depth of the analyzed layer increased During this transition the unoxidized state for manganese occurred in layers at greater depths The main film component (Ge) and the impurity element (Mn) are in different chemical states at different film depths Oxygen is the important factor in producing the ferromagnetic properties of Ge layers doped by Mn

The density of states in the valence band of the GeMn ferromagnetic structures does not correspond to a simple mechanical mixture of two components germanium and manganese this is shown by study of XPS spectra obtained near the top of the valence band Acknowledgements The work has been supported by the Joint Russian American Program Basic Research and Higher Education (BRHE grant REC-001) and the Russian Foundation for Basic Research (RFBR) (grant 05-02-17362) The authors express their thanks to PhD student D Saveliev for AFM measurements References 1 Zhou X H Chen X S Guo X G Sun L Z Sun Y L and Lu W 2004 J Magn Magnetic Mater

284 353 2 Demidov E S Danilov Yu A Podolskii V V Lesnikov V P Sapozhnikov M V and Suchkov A I

2006 JETP Lett 83 N12 568 3 Danilov Yu A Demidov E S Drozdov Yu N Lesnikov V P and Podolrsquoski V V 2005

Semiconductors 39 4 4 Digital Database of XPS Spectra XPS International wwwxpsdatacom

0

50

100

150

200

250

300

-303691215

Binding energy eV

Intensity

1 GeMn film

2 pure Ge + pure Mn

3 Difference between 1 and 2

Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) Y K Sun D Cherns P Heard1 R P Doherty2 Y Sun2 and M N R Ashfold2 HHWills Physics Laboratory University of Bristol Bristol BS8 1TL UK 1 Interface Analysis Center (IAC) University of Bristol Bristol BS8 1TH UK 2 School of Chemistry University of Bristol Bristol BS8 1TS UK Summary Transmission electron microscopy was used to investigate epitaxial ZnO films on c-sapphire produced by a two-step method Firstly pulsed laser deposition provided a continuous buffer ZnO with thickness about 80 nm and a predominant alignment of (0001)ZnO(0001)sapphire and [11-20]ZnO[10-10]sapphire On the top of buffer layer there was a high density of c-aligned nanorods which revealed few if any threading dislocations (TDs) in contrast with the buffer layer where TD density was about 1011cm2 Subsequent treatments by either chemical vapour deposition or hydrothermal growth caused the nanorods to grow laterally and form continuous films Subgrain boundary dislocations were generated as nanorods coalesced but these new TDs were also annihilated in dislocation reactions giving to a substantial reduction in the total TD density 1 Introduction Wurtzite ZnO and related alloys have attracted great attention recently because of their application in short wavelength light-emitting diodes and diode lasers A high quality single crystalline ZnO is essential because the defects such as threading dislocations (TDs) can act as carrier traps and recombination centres reducing the device performance [1] However the lattice mismatch between ZnO and various substrates makes it difficult to get a continuous film with a low density of defects on normal substrates such as c-sapphire

There have been several attempts to reduce the defect density of epitaxial ZnO by either introducing interlayers [2-4] or by epitaxial lateral overgrowth (ELO) [5 6] In this paper ZnOc-sapphire deposited by pulsed laser deposition (PLD) provided an array of defect-free crystalline nanorods which was well aligned and used for a template for subsequent lateral over-growth by either chemical vapour deposition (CVD) or hydrothermal (HT) deposition Continuous films with TD density around 109cm2 were formed on the top of the template Cross-sectional transmission electron microscopy (TEM) demonstrated that several models can be applied to describe the generation and reduction mechanism of TDs in different growth stages

2 Experimental An epitaxial ZnO layer was deposited on c-sapphire by PLD of a ZnO target (Cerac 99999) using the focused output of an ArF laser with a low background pressure of oxygen ~10-2 Torr The substrate temperature was about 600oC Further ZnO was deposited by either CVD or HT methods In the CVD process mixed ZnO and graphite powders were heated to 1100oC in the centre of a horizontal tube furnace with PLD coated sapphire positioned downstream of the tube centre in an Ar carrier gas flow (~50 sccm) Following the growth the substrate was kept at the temperature of about 600oC for 1 hour before being cooled to room temperature The HT method is similar to that of Vayssieres et al [7] In the HT method a mixed solution of Zn(NO3)2 and hexamethylenetetramine (HMT) was heated to 90oC and sealed in a Schott bottle with PLD coated sapphire for 6 hours

The morphology of the as-grown films was characterized using field emission scanning

128 Y K Sun et al

electron microscopy (FE-SEM) in a JEOL-6330F Cross-sectional TEM samples made by either mechanical polishing plus ion milling or focused ion beam (FIB) milling in a FEI 201 thinner were examined in a Philips EM430 TEM operating at 200 kV 3 Results The PLD deposited film provided a template with a high density of nanorods of approximate diameter 40 nm and length around 300 nm In our previous work [8] both x-ray diffraction and selected area electron diffraction demonstrated that there is a buffer layer of 80 nm thickness below the nanorod array and that the epitaxial relationship established and predominant in both buffer and nanorods is (0001)ZnO(0001)sapphire and [10-10]ZnO[11-20]sapphire

Fig 1 Morphology of PLD coated sapphire after a) 1 hour CVD and b) HT treatments

The 1 hour CVD treatment (Fig 1a) was found to slightly increase the diameters of the

nanorods to 50-60 nm while their length was almost constant ie 300nm Such lateral growth is magnified in the HT case (Fig 1b) where a wide range of nanorod diameters is present and neighbouring nanorods often coalesce HT samples also showed continued growth along the c-axis making the final thickness of films over 800 nm Such overgrowth was also achieved in a CVD environment when we extended the whole growth process to 25 hours

The lattice match between ZnO and c-sapphire gave an in-plane mismatch of ~18 which gave rise to a high density of defects This is demonstrated by TEM of the cross-section sample treated by CVD for 1 hour (Fig 2) where TDs generated at the interface between c-sapphire and ZnO buffer layer penetrated into the whole continuous layer and finally terminated at the top surface of the buffer layer However most of these TDs did not penetrate into the nanorods Close inspection showed that they tended to turn over in the bottom of the nanorods then terminated at the surface around their base giving nanorods that were almost defect-free in contrast with the high TD density (~1011cm2) in the buffer layer

Fig 2 Two-beam bright field (BF) cross-sectional image of CVD treated PLD coated c-sapphire near the [11-20]ZnO zone axis with g=(0002)

Reduction of Threading Dislocations in Epitaxial ZnO Films Grown on Sapphire (0001) 129

Such an array of defect-free crystalline nanorods provided a wonderful template for

subsequent ELO Indeed images taken from samples grown by HT (Fig 3) showed that when continuous ZnO layers formed most of the TDs in the buffer layer have been confined below the lateral growth regions

Fig 3 a) Two-beam BF image of HT treated PLDc-sapphire with g = (0002) b) The schematic explanation shows how the screw dislocation array (1) forms in a twist boundary

During the lateral growth new dislocations can be generated when neighbouring nanorods

grow together Subgrain boundaries are formed where two grains are slightly rotated with respect to one another resulting in only occasional corrections by means of a dislocation network [9] A particular example is an array of dislocations formed when the dislocation lines the Burgers vector b and the boundary plane are all perpendicular to the rotation axis ie a lsquopure twist boundaryrsquo The groups of dislocations 1 and 2 in Fig 3a are examples of such arrays They are screw type with Burgers vector b along [0001]ZnO confirmed by the fact that they become invisible in the condition of g=(10-10) The mechanism for formation of such an array is illustrated in Fig 3b When grains A and B grow together the regions of good fit extend by relaxation and those of bad fit contract forming the array of screw dislocations Another particular case is when two joined crystals are exactly parallel along the c-axis but with a slight in-plane rotation a small angle boundary with an edge dislocation network is expected Besides this lsquotilt boundaryrsquo and lsquopure twist boundaryrsquo a general lsquopartial twist boundaryrsquo can also be present if the rotation axis is along arbitrary orientations That is possibly the mechanism to generate TD 3 in Fig 3a which is mixed type It is also worth pointing out that incomplete lateral growth can leave a gap where boundary dislocations terminate (TD 4 in Fig 3a)

These new boundary dislocations have an important feature regardless of the type a pair of dislocations generated from the two opposite sides of a single subgrain has Burgers vectors with the same magnitude and the opposite sign An example is the pair of dislocations 1 and 2 in Fig 4a and b where alternately inside-outside contrast in g and ndashg confirms that the dislocations are of opposite sign The pair of dislocations 3 and 4 shows another such example This interaction mechanism is dominant in the overgrowth and decreases the TD density of the overlayer down to about 109cm2

130 Y K Sun et al

Fig 4 Two-beam BF image of CVD over-growth with opposite g vectors The scale bar is 200 nm

4 Conclusions The reduction mechanism of TDs in the epitaxial ZnO grown on c-sapphire by two-step deposition was investigated The c-axis aligned ZnO buffer layer deposited on c-sapphire by PLD with nearly defect-free nanorods on the top is shown to provide an ideal template to carry a subsequent ELO growth The TDs generated from the ZnOsapphire interface are shown to be mostly blocked by terminating at the surface or turning over and terminating around the base of individual nanorods

The additional CVD or HT treatments proved that the extremely low density of defects in individual rod can be retained in the subsequent lateral growth However new subgrain boundaries and corresponding dislocations could be generated when neighbouring grains coalesce The type and local density of these new TDs is mainly determined by the rotation vector between neighbouring grains in our case In the overgrowth stage pairs of dislocations which originated from two opposite sides of one misoriented grain could eliminate each other in an interaction with their opposite Burgers vectors Finally continuous films were formed in the top of templates with TD density about 109cm2 which is comparable with that of ZnO films grown by normal ELO [6] or interlayer assistant MBE on c-sapphire [10] References 1 Lim S H Washburn J Liliental-Weber Z and Shindo D 2001 J Vac Sci Technol A 19 2601 2 Hong S Hanada T Ko H J Chen Y et al 2002 Phys Rev B 65 115331 3 Chen Y Hong S Ko H Kirshner V et al 2001 Appl Phys Lett 78 3352 4 Du X L Murakami M Iwaki H Ishitani Y et al 2002 Jpn J Appl Phys 41 L1043 5 Kim J H Andeen D and Lange F F 2006 Adv Mater 18 2453 6 Andeen D Kim J H Lange F F Goh G K L et al 2006 Adv Funct Mater 16 799 7 Vayssieres L Keis K Hagfeldt A and Lindquist S E 2001 Chem Mater 13 4395 8 Doherty R P Sun Y K Sun Y Warren J et al 2007 Appl Phys A accepted 9 Bollmann W 1970 Crystal defects and crystalline interfaces (Berlin Sorubger-Verlag) Chap 11 10 Wang Y Du X L Mei Z X Zeng Z Q et al 2004 J Crystal Growth 273 100

Progress in Aberration-Corrected High-Resolution Transmission Electron Microscopy of Crystalline Solids K Tillmann J Barthel L Houben C L Jia M Lentzen A Thust and K Urban Institute of Solid State Research and Ernst Ruska-Centre for Microscopy and Spectroscopy with Electrons Research Centre Juumllich D-52425 Juumllich Germany Summary With impressive improvements in instrumental resolution and a simultaneous minimisation of image delocalisation high-resolution transmission electron microscopy is presently enjoying increased popularity in the atomic-scale imaging of lattice imperfections in a variety of solids In the present overview recent progress in spherical aberration corrected imaging performed in troika with the ultra-precise measurement of residual wave aberrations and the numerical retrieval of the exit plane wavefunction from focal series of micrographs is illustrated by highlighting their combined use for the atomic-scale measurement of common lattice imperfections observed in compound semiconductors and high-temperature superconductors 1 Introduction High-resolution transmission electron microscopy (HRTEM) is now firmly established as a unique analysis technique for the study of lattice imperfections in crystalline solids Nearly half a century since the first images of dislocations were recorded [1 2 3] the technique has enabled the characterisation of lattice imperfections not only in the bulk of single crystals but also at heterointerfaces between common solids of technological relevance

In the past decade the performance of intermediate voltage electron microscopes has undergone major improvements through novel electron optical components of particular sophistication eg spherical aberration corrector elements [4 5] and monochromators of various designs [6 7 8] The use of these hardware components means a tremendous facilitation of solid-state analysis on the atomic scale Consequently with the instruments now approaching the half Aringngstroumlm frontier HRTEM becomes at long last a versatile tool for truly atomic-scale measurements of heterointerfaces and lattice imperfections in a variety of solids

The sole availability of structural information beyond the Aringngstroumlm barrier however only represents a sine qua non for the imaging of object details of the very same length scale Additionally an unaltered transfer of information through the lens system constitutes a mandatory requirement in the direct interpretability of recorded micrographs Thence any recorded sub-Aringngstroumlm information needs to be impartially regarded as of equivocal reliability without an optimised tuning of instrumental parameters [9 10] and in particular a precise control of residual wave aberrations The latter can be minimised to a large extent by hardware prior to experimental analyses [11] and entirely eliminated a posteriori by applying phase retrieval methods making use of focal series [12 13] or illumination tilt direction series [14] of micrographs as well as by off-axis holography [15 16]

Against the background that any adequate elimination of wave aberrations entails extremely high demands on hardware correction also coming along with the indispensable necessity to measure associated residual aberrations a sound strategy is required to overcome the problem of still non-direct image interpretability at ultimate resolution This is especially true in view of a

134 K Tillmann et al

Fig 1 Process diagram illustrating the course of successive procedures to minimise residual wave aberrations wij during setup of a spherical aberration corrected microscope using the ATLAS package (left) followed by the numerical retrieval of the exit-plane wavefunction Ψ(r) from a through-focus series of micrographs (right) also involving a posteriori correction of residual aberrations wij by the utilisation of measured phase-plates (bottom)

transient behaviour of the corrector as-is state during operation of the electron microscope necessitating a follow-up of the actual instrumental transfer properties

Hence in the present overview three co-acting techniques are exemplified by highlighting their combined use for the atomic-scale analysis of lattice imperfections and internal boundaries in crystalline solids This regards (i) imaging under optimised conditions employing negative spherical aberration (ii) the ultra-precise measurement of higher-order wave aberrations wij from amorphous specimen areas under tilted illumination as well as (iii) the restoration of the exit-plane wavefunction from a focal series of micrographs The fine-tuning and subsequent numerical elimination of residual aberrations still present in hardware-corrected microscopy is demonstrated not only as an end in itself but in particular to exhaust the instrumental information limit at interpretable contrast features For illustration purposes recent progress is reviewed in the atomic-scale measurement of the core structure of partial dislocations and of lattice distortions across stacking faults in compound semiconductors as well as atomic bond reconstructions at tilt boundaries in high-temperature superconductors 2 Experimental Methodology A three-step course of action as illustrated by the process chart displayed in Fig 1 is suggested to fully make use of the improved information limit offered by field-emission gun instruments equipped with a spherical aberration corrector unit and especially to overcome uncertainties in image contrast interpretation due to image delocalisation and residual lens aberrations

Progress in Aberration-Corrected HRTEM of Crystalline Solids 135

U [kV] 200 300 300 300 3001 gmax [pm] 125 100 80 50 30

∆ [nm] 56 45 29 11 04w40opt [microm] ndash 367 ndash 311 ndash 127 ndash 19 ndash 03w20opt [nm] 111 90 58 23 09

R [pm] 740 593 474 296 178 Table 1 Optimised values of spherical aberration w40opt and defocus w20opt as well as the resulting image delocalisation R according to Eqs (1) to (3) based on different acceleration voltages U and information limits 1gmax together with the associated defocus spread ∆ respectively Grey shaded columns apply to parameters of the CM-200-C and Titan 80-300 instruments used during this study

21 Tuning of the Instrument towards Negative Spherical Aberration Corrected Imaging Conditions

With the integration of double hexapole correctors the spherical aberration w40 (vulgo C3) of the electron microscope becomes a tunable imaging parameter w40 can therefore be adjusted to balance phase contrast and residual delocalisation while keeping the point resolution close to the information limit not within reach during operation of ldquotraditionalrdquo uncorrected medium voltage instruments equipped with a field emission gun Given a sufficiently thin sample with a thickness just smaller than half the extinction distance favourable conditions for negative phase contrast i e for bright-atom contrast are attained by combining an optimised negative spherical aberration [9] given by

w40opt = minus 64 27 λminus3gmaxminus4 (1)

with an overfocus setting w20 (vulgo Z) of the objective lens

w20opt = 16 9 λminus1gmaxminus2 (2)

minus half the specimen thickness [10] with λ and 1gmax denoting the electron wavelength and the information limit of the instrument respectively When initially neglecting the impact of partially compensated higher-order wave aberrations a corresponding instrumental set-up yields directly interpretable micrographs accompanied by a residual image delocalisation

R = 16 27 gmaxminus1 (3)

With this tuning w20opt replaces the Scherzer defocus of ldquotraditionalrdquo HRTEM and the partially coherent phase contrast transfer function of the instrument is positive up to the information limit and characterised by a broad pass-band The according negative phase contrast condition not only ensures a substantial contrast improvement but low-nuclear charge elements can also be imaged at bright-atom contrast features in the vicinity of high-nuclear charge atoms which is in particular viable for the investigation of oxide and nitride materials [17]

Numerical values of the aforementioned optimised imaging parameters are summarised in Table 1 using instrumental parameters of 200 and 300 kV microscopes characterised by information limits in the range between 30 pm and 125 pm respectively

136 K Tillmann et al

Fig 2 a) Wave aberration coefficients wij and their sum S displayed in phase-plate representation measured during set-up of a Titan 80-300 microscope Corresponding numerical data on wij are summarised in Table 2 Bright (dark) areas indicate positive (negative) phase deviation with grey level jumps occurring in steps of p2 The white circles denote an information limit of 1gmax = 1125 nmndash1 = 80 pm b) Time-resolved monitoring of the twofold astigmatism w22 measured over a period of ∆tser = 144 s during operation of the instrument at nominally fixed conditions Astigmatism fluctuations w22x and w22y along orthogonal directions x and y are due to instrumental instabilities The statistical error of a single w22 measurement is approximately 100 pm with the circle at |w22| = 081 nm indicating the p4 limit not be exceeded to fully exploit the information limit of the microscope The regression line yields an average variation in the two-fold astigmatism of 6 pms

22 High-Precision Measurement of Residual Wave Aberrations for Sub-Aringngstroumlm HRTEM

Quantitative high-resolution electron microscopy requires the measurement ndash and ideally the full elimination ndash of objective lens induced parasitic wave aberrations to high accuracy This indispensable requirement is because of a decrease of minimum tolerable quantities of higher-order wave aberrations wij which have not been considered in ldquotraditionalrdquo high-resolution microscopy so far with an increase of the instrumental information limit

In this respect the wave aberration function χ(g) describing deviations between ideal and real wavefronts is the relevant instrumental ldquotuningrdquo parameter to be considered In the isoplanatic approximation [11] χ(g) can be expanded in terms of axial aberration coefficients wij and their respective azimuths ϕijWhen transformed to cylindrical coordinates g = (g ϕ) the aberration function is given by

χ(gϕ) =

2πλ

w ij

iige2 ige jge0i+ j isin 2 N

sum λg( )i cos j ϕ minusϕ ij( )[ ] (4)

making the radial (wij) and azimuthal (ϕij) dependence of the aberration terms e g defocus w20 twofold astigmatism w22 axial coma w31 and threefold astigmatism w33 apparent The other

Progress in Aberration-Corrected HRTEM of Crystalline Solids 137

aberration wij Xk modulus azimuth defocus w20 C1 (Z) ndash 27789 plusmn 016 nm

twofold astigmatism w22 A1 292 plusmn 012 nm 1167 plusmn 12deg axial coma w31 3 B2 1972 plusmn 1310 nm 1477 plusmn 381deg

threefold astigmatism w33 A2 1425 plusmn 557 nm 364 plusmn 75deg spherical aberration w40 C3 (CS) ndash 1524 plusmn 060 microm

star aberration w42 4 S3 655 plusmn 025 microm 1534 plusmn 11deg fourfold astigmatism w44 A3 205 plusmn 005 microm 206 plusmn 03deg

fifth-order axial coma w51 5 B4 7091 plusmn 1694 microm 00 plusmn 137deg three-lobe aberration w53 5 D4 3206 plusmn 1130 microm 740 plusmn 67deg fivefold astigmatism w55 A4 3260 plusmn 180 microm 605 plusmn 06deg

sixth-order spherical aberration w60 C5 696 plusmn 070 mm sixfold astigmatism w66 A5 327 plusmn 005 mm 391 plusmn 01deg

Table 2 Numerical values of higher order wave aberration coefficients wij belonging to the phase-plate representations displayed in Fig 2 Indices i and j specify the spatial frequency order of individual aberrations of the wave aberration function in Taylor expansion and the azimuthal symmetry respectively Aberration coefficient denotations Xk according to the traditional convention by Hawkes and Kasper [21] are given in the middle column for comparison During evaluation sixth-order star aberration w62 (6 R5) and four-lobe aberration w64 (6 D5) have been set to zero as their magnitudes are typically found to be negligible and any consideration would only deteriorate the accuracy in the determination of the remaining aberration coefficients

higher-order coefficients wij are denoted in Table 2 for an expansion of the aberration function to the fifth order

While the introduction of a w40 imaging corrector raises the instrumental resolution also coming along with virtually directly interpretable micrographs when the electron microscope is operated under optimised conditions experience with both Juumllich based instruments equipped with CEOS double-hexapole w40 imaging correctors [12] i e a CM-200-C prototype as well as a commercial Titan 80-300 electron microscope shows that a number of coefficients wij are not sufficiently stable over a period of a typical TEM session Some of them e g w42 hold steady for several weeks while others run out of the tolerance limits ensuring proper exploitation of the information limit within a few hours e g w31 and w33 or even minutes e g w22 This observation suggests the integration of software solutions diagnosing and rectifying the actual state of the optical transfer system during operation of the electron microscope which is basically due to two reasons

Firstly commercially distributed aberration measurement routines [18] are by far not sufficient in precision for a corrector alignment aiming at a target resolution in the sub-Aringngstroumlm regime Moreover error limits that are traditionally given only for individual aberrations are no longer a realistic approach in case of a comprehensive ensemble of higher-order aberrations Secondly the observation that various aberrations which may certainly be minimised by hardware will vary with time and the marginal constraint that some of them cannot be corrected by hardware at all already suggest combining any incomplete correction during experiments with an a posteriori software correction via phase retrieval methods

We have hence developed new numerical analysis procedures in the framework of the advanced treatment of lens aberrations and stability (ATLAS) software project [19] which base upon the well-known analysis of diffractogram tableaus where the defocus and the two-fold

138 K Tillmann et al

astigmatism induced by intentional beam tilts are observed as a variation of Thon rings in the diffractograms of amorphous specimen areas under investigation [20] Special emphasis was put on the error analysis exceeding the so far considered magnitude limits for single aberrations [11] by means of the combination of all actually measured aberrations

With a newly implemented pattern recognition module we succeeded in obtaining an accuracy of nearly 100 pm in the determination of defocus and two-fold astigmatism belonging to single diffractograms This level is by more than one order of magnitude superior to that achieved by the manufacturer-supplied measurement software The same holds true regarding processing speed and robustness against perturbations originating from residual crystalline signals By this dramatic gain in accuracy aberration control is now sufficiently precise for reliable imaging even at an information limit of about 50 picometres As a further consequence highly precise time-resolved measurements of defocus and two-fold astigmatism which indicate the stability of the complete optical set-up are now possible

As an example of use Fig 2a displays wave aberrations wij measured during operation of a Titan 80-300 electron microscope as obtained from the analysis of 18 diffractograms taken under tilted illumination with maximum angles of 20 mrad from an amorphous specimen area of a crystalline GaAs sample prepared by argon ion milling employing a final 05 keV milling step When also monitoring individual aberrations cf Fig 2b it is observed that the twofold astigmatism w22 may run out of its allowed magnitude after less than 3 minutes without any user interaction with the instrument[21] 23 Numerical Retrieval of the Exit-Plane Wavefunction Beyond imaging at optimised conditions the numerical retrieval of the exit-plane wavefunction Ψ(r) from a through-focus series of micrographs [13] offers not less than five further improvements

First Ψ(r) is free from nonlinear imaging artefacts and by the combination of many images taken at different foci the low-frequency gap in the phase contrast transfer function i e the insufficient contrast transfer of low spatial frequencies caused by employing a rather small w20 value is reduced considerably [22]

Second by extracting information from about N = 10 hellip 30 images the signal-to-noise ratio can be improved by a factor of N2 compared to that of a single micrograph taken under w20opt conditions Indeed experimental analyses demonstrate a triplication [23] and even quadruplication [24] of the signal-to-noise ratio dependent on the number of images used during retrieval of the exit-plane wavefunction

Third even the application of small w20 and w40 values which is a prerequisite to obtain phase contrast induces a parasitic delocalisation R whereas the numerically retrieved exit-plane wavefunction is ideally free from any delocalisation effects

Fourth the availability of the complex-valued quantity Ψ(r) allows for the numerical a posterior correction of residual wave aberrations This aspect is of special practical importance as experience shows that not all aberrations of the microscope are sufficiently constant over the period of operation but can now be determined with sufficient accuracy before recording individual focal series via the ATLAS package cf above

Fifth since Ψ(r) is complex-valued we may calculate local diffraction patterns from specimen areas as small as desired When evaluated during operation of the microscope the judgement of the symmetry properties of these local diffraction patterns is a most convenient tool for the proper orientation of specimen areas under investigation Corresponding tuning procedures ensure a proper semiconductor zone axis alignment with accuracy well below 3 mrad [22]

Progress in Aberration-Corrected HRTEM of Crystalline Solids 139

3 Experimental Details Experimental analyses were performed using two different FEI instruments equipped with imaging correctors namely a CM-200 prototype operated at 200 kV and a commercial Titan 80-300 instrument operated at 300 kV Both systems were equipped with CEOS double hexapole w40 correctors which allow for correcting axial aberrations up to the third order and for partially compensating for fourth and fifth order aberrations Instrumental parameters of these microscopes are listed in the grey shaded columns of Table 1

High-resolution micrographs discussed below were recorded at optimised conditions with a slightly negative value for w40 Focal series of N = 10 hellip 30 images were recorded at a sampling rates well below the Nyquist frequency with regard to half of the instrumental information limit 1 (2 gmax) for sampling the full instrument potential knowing that the modulation transfer function of many CCD cameras exhibit poor transfer at higher spatial frequencies The focal range of each series included the focus setting with w20opt for optimised phase contrast From these series the exit-plane wavefunction Ψ(r) was retrieved for the frequency band between 1 nm-1 and respective gmax values applying a doughnut-shaped restoration filter 4 Simulation Study Impact of Image Delocalisation and Residual Wave

Aberrations on Dumb-bell Lengths Measured from HRTEM Micrographs of ZnO (1120)

In recent years the measurement of in-plane distances between adjacent contrast dots associated with atomic column positions has become a widespread technique for mapping lattice distortions in the vicinity of defects and across heterointerfaces Underlying numerical analysis algorithms meet uncertainties in the measurement of contrast dot positions given by 2 σ confidence intervals as far as to 4 pm [23] Against the background of the transition to sub-Aringngstoumlm microscopy we are currently witnessing a point of principle emerges regarding whether micrographs recorded with aberration corrected instruments substantially allow such small tolerance limits to be measured facing system-inherent residual image delocalisation and parasitic higher-order wave aberrations

For exemplification purposes Fig 3 displays a series of calculated w20opt images I(r) and the phase Φ(r) of the exit-plane wavefunction of ZnO viewed along the [112 0] zone axis together with associated intensity line profiles taken along the direction of the zinc oxygen dumb-bell assuming different instrumental information limits in the range between 100 and 30 picometres Line profiles show a decreased peak-to-background ratio and an increased asymmetry of individual peaks with decreasing information limit This behaviour which is more pronounced for the lighter oxygen columns is basically due to an increase of image delocalisation with decreasing 1 gmax values as expressed by Eq (3)

From these line profiles dumb-bell lengths d have been measured by an algorithm basically employing dedicated image intensity thresholding operations and a centre-of-mass analysis inside individual bright contrast dots supported by adequate refining procedures as specified in [25] Systematic deviations Dd with regard to the actual dumb-bell length of dZnO = 1123 pm have been added to Fig 3 As can be seen from these numerical data Dd values measured from w20opt images I(r) clearly exceed 4 pm as long as the information limit is below 80 picometres

To come to a more general description Fig 3g displays calculated peak distances d between two columns of equal atomic species in dependence on the instrumental information limit gmax Both parameters d and gmax are plotted normalised to the actual column distance dreal As can be seen from the figure d dreal values trick to believe in an superficial resolution characterised by d dreal lt 1 at gmax sdot dreal values in the range between 07 and 085 Contrastingly ddreal values are well above 103 at gmax sdot dreal in the range between 085 and 130

140 K Tillmann et al

Fig 3 Calculated optimum focus I(r) and Φ(r) images of ZnO [112 0] and intensity line profiles extracted along the dumb-bell direction based on a specimen thickness t = 3 nm and a 300 kV instrument characterised by an information limit of a) 100 pm b-d) 80 pm e) 50 pm and f) 30 pm Images displayed in (c) consider residual lens aberrations amounting to w22 = 2 nm (148deg) w33 = 50 nm (343deg) and w31 = 20 nm (339deg) with the magnitudes representing allowed aberration coefficients to fulfill the p4 limit according to [11] and the values in parantheses indicating randomly generated azimuths with the [0001] direction respectively Dd values specify the measurement error of the dumb-bell length d with regard to the actual distance of 1123 pm g) Normalised peak distance d dreal between two columns of equal atomic species in dependence on the normalised instrumental information limit gmax sdot dreal

Progress in Aberration-Corrected HRTEM of Crystalline Solids 141

This behaviour demonstrates that an excess resolution of about 30 per-cent will be necessary to measure column distances correctly from w20opt images with a precision in the order of three per-cent A further increase in excess resolution will be necessary when focusing on column distances between comparatively heavy and light atomic species where the weak signal associated with the latter accounts for additional systematic errors in the determination of column distances

Systematic errors in d values measured from the optimum focus images I(r) further increase significantly when also considering the impact of non-fully compensated higher-order wave aberrations which when assuming reasonable quantities of two- and three-fold astigmatism and axial coma result in an approximate reduplication of Dd values as can be seen from comparison of Figs 3 (b) and (c) As illustrated before a highly efficient strategy to overcome the problems coming along with residual image delocalisation and wave aberrations will be not to focus on single image I(r) but rather on the retrieved phase Φ(r) of the exit-plane wavefunction displayed in Fig 3 (d) which already ensures Dd = 03 pm at information limit of 1 gmax = 80 pm 5 Experimental Results Materials Science Applications of Use The analysis and optimisation techniques described in section 2 have been applied to a fairly wide range of defect structure problems arising in solid-state research In the following we highlight their combined use by discussing three materials science applications related to specific cases 51 Frank Partial Dislocation Cores in Chromium Implanted GaN (1120) As an introductory example of use we report on the structure of partial dislocation cores introduced by chromium implantation into GaN layers [26] which were grown by metal organic chemical vapour deposition on Al2O3 (0001) substrates under silicon doping to a concentration of 2 times 1017 cm-3 Chromium ions were implanted with an energy of 200 kV at a dose of 5 times 1016 cm-2 and a temperature of 350 degC to avoid amorphisation A high density of basal plane stacking faults was observed besides spherical chromium rich precipitates in the surface near regions after implantation and rapid thermal annealing at 700 degC for 5 min in N2 atmosphere [27] A by-product of the implantation and annealing process is the creation of extrinsic and intrinsic basal plane stacking faults which are formed as a result of the precipitation of excess interstitials

The majority of the basal plane stacking faults are extrinsically bound by Frank partial dislocations with Burgers vectors of type b = c2 [0001] Figs 4a and b display an optimum focus micrograph I(r) together with the associated phase image Φ(r) numerically retrieved from a through-focus series of micrographs respectively of a Frank partial dislocation core at the terminating zone of a dislocation loop of several 10 nm in size Gallium and nitrogen columns at a dumb-bell length of 114 pm considerably smaller than the information limit 1 gmax = 125 pm of the employed CM-200-C instrument are not fully resolved Nonetheless the N polarity of the sample is clearly visible from the directly interpretable bright contrast in the phase image The tetrahedral coordination across the faulted layer stacking is however confirmed in the left parts of both images indicating that no foreign chromium-gallium alloy phase is connected with the planar defects

Although the optimum focus micrograph Ι(r) and the phase image Φ(r) appear quite similar at first glance the improved signal-to-noise ratio in the phase image enhances the visibility of the nitrogen positions and the dumb-bell orientation down to the core of the dislocation The phase image Φ(r) strengthens the presence of further nitrogen atoms within the core surrounded by the cage of the five marked gallium columns The faint phase shift in Φ(r) in which non-linear image components and image delocalisation are eliminated indicates a nitrogen-filled core in favour of a chromium- or gallium-rich core

Based on these observations a tentative 57 ring configuration for the core is presented in Fig 4d The nitrogen atoms shared by the 5 and 7 membered rings respectively are coordinated

_

142 K Tillmann et al

Fig 4 Frank partial dislocation with a projected Burgers vector b = c2 [0001] viewed along the [112 0] direction a) Optimum focus micrograph Ι(r) slightly distorted by parasitic wave aberrations amounting to w22 = 27 nm (110deg) w31 = 240 nm (320deg) and w33 = 50 nm (80deg) with the values in parantheses indicating respective azimuth angles inclined with the [112 0] direction b) Phase image Φ(r) retrieved from the focal series of images together with c) the associated numerical phase-plate used for the correction of residual wave aberrations d) Stick-and-ball model of the 57 ring configuration for the core of the Frank partial dislocation [26]

threefold with gallium and nitrogen Due to the wrong bond and the presence of unpaired electrons this structure is not expected to be the most stable configuration Since the phase image Φ(r) is retrieved from a through-focus series taken over a period of a few ten seconds the image conceivably reflects a transient state of the core that gathers further interstitials during observation The w20opt image may therefore contain complementary but not necessarily identical information when compared to Φ(r) Indeed in the present case the w20opt micrograph characterised by an inferior noise level compared to Φ(r) also supplies an alternative structure which contains two wrong Ga-Ga bonds connecting threefold-coordinated gallium atoms at positions 1-5 and 2-3 respectively 52 Lattice Distortions in the Vicinity of Extrinsic Stacking Fault Ribbons in GaAs (110) As a further materials science application we report on locally inhomogeneous distortions of atomic dumb-bells across extrinsic stacking faults in a GaAs capping layer grown by molecular

Progress in Aberration-Corrected HRTEM of Crystalline Solids 143

Fig 5 Inhomogeneous distortion of atomic dumbbells across an extrinsic stacking fault in GaAs (110) a) Retrieved phase image Φ(r) with atomic column positions superimposed and dumb-bell distortions indicated exemplarily in dependence onspecific positions along the [111] direction b) Average projected bond length lsaquodrsaquo and c) misorient-ation angle lsaquodrsaquo of the dumbbells along the [111] direction The lattice planes belonging to the double stacking fault ribbon are indicated in lighter grey colour d) Numerical phase-plate used for the correction of residual wave aberrations during evaluation of the phase image [24]

epitaxy on top of a plastically relaxed In03Ga07As layer Measurements on actual dumb-bell lengths amounting to 141 pm in case of unstrained material when viewed along the [110] direction have been performed on the phase image Φ(r) displayed in Fig 5a which was retrieved from a focal series of N = 30 micrographs taken with a CM-200-C instrument The centre of the phase image is 52 nm left of a 90deg partial dislocation core terminating the faulted double ribbon [24] In order to avoid systematic errors due to dumb-bell distances close to the information limit of the instrument actual lengths and orientations of individual dumb-bells have been measured and normalised to mean values associated with the lower (planes no 0 - 3) and upper (planes no 11 - 13) areas of the Φ(r) image For the reduction of the measurement error 15 data points have been averaged along the [1 1 2] direction and median values are plotted in Figs 5b and c in dependence on specific (111) lattice plane positions

As can be seen from both plots the dumb-bells on either side of the double ribbon rearrange roughly antisymmetric with regard to the faulted (111) planes The dumb-bells of the bottommost lattice plane of the upper domain (plane no 8) are compressed to a length of lsaquodrsaquo = 133 pm plusmn 4 pm and turn towards the double ribbon with a misorientation angle lsaquodrsaquo asymp 26deg plusmn 13deg compared to the reference lattice planes In contrast the uppermost dumb-bells of the lower crystal area (plane

144 K Tillmann et al

no 5) are stretched to a length of lsaquodrsaquo = 150 pm plusmn 4 pm and bend away from the double ribbon at a misorientation angle of lsaquodrsaquo asymp 49deg plusmn 15deg

In order to gauge whether the observed antisymmetric distortions represent a genuine structural property or not potential implications of scattering and imaging artefacts need to be ruled out Since a hypothetical global misalignment of the sample cannot give rise to any local torsion and dilatation of projected atomic dumb-bells we may disenfranchise from this explanation An argument of the same kind holds true of the potential impact of not fully compensated aberrations as they would take effect on the entire image and hence would distort all atomic dumb-bells of the same orientation equally Strictly speaking any potential impact of both on-axial and off-axial aberrations would need to be evaluated separately Beyond electron-optical reasoning a supposed strictly antisymmetric lattice distortion caused by a dedicated combination of higher-order aberrations with the faulted ribbon incidentally acting as the symmetry plane may be ruled out from a probability point of view because of an almost immense number of possible combinations which will not give raise to the observed distortion behaviour

The observed alteration of atomic dumb-bell lengths in the vicinity of stacking fault ribbons of about 10 pm is in fair agreement with recent measurements focusing on intrinsic stacking faults ribbons in heavily beryllium doped GaAs [28] This analysis revealed an average expansion of dumb-bell lengths up to 158 pm in the faulted lattice plane which was explained by the segregation of beryllium dopant atoms and the subsequent formation of antisite defects inside of the plane of the faulted ribbon Additionally lattice displacements around the central stacking fault of Z-shaped dipoles connecting two stair rod dislocations in indium doped GaAs revealed the very same antisymmetric distortion of dumb-bell related contrast dots as were observed in the present analysis Lateral displacements of gallium and arsenic atom pair related contrast dots along the

2]11[ direction were found to be as much as 20 to 50 of the dumb-bell length [29] As the specimen investigated in the present study was undoped we may in the first instance

rule out the aforementioned explanations but only speculate about indium diffusion from the underlying In03Ga07As layer to the GaAs layer along the faulted ribbon at most As long as an inhomogeneous incorporation of indium atoms is not considered this approach thus cannot explain the observed lattice distortions Admittedly the antisymmetric distortions become perspicuous when considering the elastic distortions associated with the 90deg partial dislocations which basically follow the measured characteristics of the dumb-bells measured expansion and torsion but alas yield only alterations of dumb-bell lengths smaller than plusmn 3 pm and misorientation angles smaller than plusmn 04deg for the image area under investigation [30] Hence a more likely explanation would be that the terminating partial dislocation biases the rearrangement of atomic columns in the vicinity of the faulted ribbons and that next but one neighbour interactions between different atomic species will indeed play an important role during this process 53 Structural Reconstruction at 90deg Tilt Boundaries in YBa2Cu3O7-d (100) The quantitative analysis of atomic column positions of light and heavy elements is in particular valuable for the examination of oxide materials since changes in bond lengths between cations and oxygen can have a considerable effect on the electronic properties especially in the vicinity of defects A practical example is the meticulous analysis of the structural reconstruction of a 90deg lang100rang tilt grain boundary in YBa2Cu3O7-δ which gave evidence for local doping and disorder affecting the superconductive property in a few atomic layers around the grain boundary

The prerequisites for the above mentioned displacement analysis with picometre accuracy are the accurate correction of aberrations a good signal-to-noise ratio the extraction and exploitation of the full signal besides a quantification of the residual noise as a means to quantify the statistical measurement error and a refinement by comparison with image simulation in order to avoid systematic errors related eg to the finite frequency transfer of the microscope already discussed in

Progress in Aberration-Corrected HRTEM of Crystalline Solids 145

Fig 6 a) Optimum focus micrograph I(r) and b) phase image Φ(r) retrieved from a focal series of 20 micrographs of a 90deg [100] tilt grain boundary in YBa2Cu3O7-δ viewed along the [100] zone axis Arrows indicate the grain boundary plane The framed area in (b) highlights a single repeat cell in the periodic arrangement of the grain boundary c) Numerical phase-plate used for the a posteriori correction of residual wave aberrations in addition to the defocus and a small negative spherical aberration w22 = 9 nm (165deg) and w31 = 140 nm (10deg) with the azimuth information referring to the image x-axes respectively Phase angles are displayed in modulo π2 representation section 4 The three co-acting strategies of employing a negative spherical aberration set-up a precise measurement and control of wave aberrations and the restoration of the exit plane wavefunction taken together with the simulation of wavefunction data most charmingly meets these prerequisites Details of the procedure are given in [23]

Figures 6a and b display images of the grain boundary viewed along the [100] direction close to optimum defocus w20opt and the corresponding phase Φ(r) of the exit plane wave function retrieved from 20 images in the focal series The symmetry relation between the two domains was exploited for the numerical correction of the parasitic coma w31 in addition to the considerable twofold astigmatism The resulting numerical phase-plate for the tuning of the relevant parasitic aberrations up to w40 is shown in Fig 6c The notable number of π2 phase wraps within the information limit gmax = 8 nm-1 of the microscope emphasises that direct interpretability in the optimum focus micrograph I(r) is not given and that a posteriori correction of aberrations is required in order to exploit the full information provided by the experimental data

Atomic column positions the scattering intensity and their statistical uncertainties were calculated by peak regression in the Φ(r) image owing to the linear relationship between the projected potential and the phase of the exit plane wavefunction for a weak phase object

146 K Tillmann et al

Fig 7 Atom column displace-ments in the retrieved structure of the 90deg [100] tilt grain boundary in YBa2Cu3O7-δ The structure model displays columns in the repeat cell marked in Fig 6b Displace-ments with respect to the position in the bulk structure are indicated by arrows and given in picometres together with the 2 σ confidence intervals for the statistical measurement error Significant displacements are present in the grain boundary plane and the two neighbouring planes Evident is the shift of the O1 atom towards the Cu1 atom in the grain boundary plane

Picometre accurate quantitative data for single atom column displacements could be derived The accordingly measured column displacements with respect to the periodic structure in the two domains are displayed in Fig 7 for a single repeat cell of the periodic grain boundary structure 2 σ confidence intervals of 4 pm were achieved for columns of the cation sub-lattice The weaker scattering signal on the oxygen positions is responsible for the larger 2 σ confidence intervals up to more than 10 pm In order to avoid systematic errors a refinement by comparison with simulated exit plane wavefunction data was conducted when the measured column distances approached the information limit of the microscope

Despite the nearly vanishing over-all lattice mismatch between the domains column displacements occur due to the mismatch between the size of the smaller central perovskite-like block and the b-axis in the unit cell structure of YBa2Cu3O7-δ Displacements are restricted to the grain boundary plane and directly neighbouring planes Elsewhere the bond lengths are in excellent agreement with neutron scattering data for orthorhombic YBa2Cu3O7-δ In particular changes in the bond lengths between the Cu1 atom in the basal plane the O1 atom in the BaO plane and the Cu2 atom in the superconducting CuO2 plane (see Fig 7) are important since the shift of the oxygen atom O1 towards the Cu1 atom signifies a local doping effect and goes along with largely increased static or dynamic disorder on the Cu1 site in the boundary [Error Bookmark not defined] Both factors will change the superconductivity locally in the grain boundary as well as in the neighbouring planes 6 Present Achievements and Challenges for the Future The examples discussed in this overview demonstrate that the resolution power of neoteric high-resolution instruments equipped with an imaging w40 corrector may very well be exploited for the atomic-scale analysis of lattice imperfections and internal boundaries in a variety of crystalline solids Very significant advantages regarding the direct interpretation of retrieved phase images Φ(r) and the enhancement of the signal-to-noise ratio arise from the combination of (i) negative spherical aberration corrected imaging (ii) the ultra-precise measurement of residual

Progress in Aberration-Corrected HRTEM of Crystalline Solids 147

higher-order wave aberrations wij together with (iii) the numerical retrieval of the exit-plane wavefunction When performed in troika all three techniques allow for the elimination of artificial contrast features caused by non-fully compensated wave aberrations wij in a most straightforward manner

Seen from a different perspective there has been recently considerable debate concerning the benefit of aberration correction in HRTEM beyond a beneficial minimisation of image delocalisation as well as an increase of the information limit Truly it would make sense from an ergonomic viewpoint to record directly interpretable high-resolution micrographs to outflank the present imperative to apply numerical post-processing operations e g the retrieval of the exit-plane wavefunction Corresponding technical solutions however realised in detail will at least need to ensure (i) an improved stability of stages allowing an increase of the exposure time to several seconds to measure the signal-to-noise ratio with retrieved phase images (ii) sufficient contrast transfer at low spatial frequencies or as the case may be an additionally impressed phase shift of about p2 between diffracted and transmitted beams thus enhancing contrast (iii) an opportunity to sufficiently minimise residual wave aberrations and especially to maintain long-time stability during operation of the instrument against outside influences and goniometer tilt and shift operations on a sustained basis as well as (iv) a sufficient minimisation of off-axial aberrations at increased resolution in order to prevent contrast variations associated with identical objects residing at different positions with regard to the principal axis of the microscope Acknowledgements

The authors are most grateful to Y Divin A Foumlrster V Guzenko and U Poppe for providing the samples investigated in this study Also the technical assistance of D Meertens and W Sybertz at certain stages of TEM specimen preparation is most appreciated References [1] Hirsch P B Horne W H and Whelan M J 1956 Phil Mag 1 677 [2] Menter J W 1956 Proc Roy Soc A 236 119 [3] Bollmann W 1956 Phys Rev 103 1588 [4] Rose H 1990 Optik 85 19 [5] Haider M Rose H Uhlemann S Schwan E Kabius B and Urban K 1998 Ultramicroscopy 75

53 [6] Tiemeijer P C 1999 Ultramicroscopy 78 53 [7] Kahl F and Rose H 2000 Proc EUREM-2000 Vol 3 eds P Schauer I Muumlllerovaacute and L

Frank (Brno Czek Microscopy Society) pp [8] Su D S Zandbergen H W Tiemeijer P C 2003 Micron 34 235 [9] Lentzen M Jahnen B Jia C L Thust A Tillmann K and Urban K 2002 Ultramicroscopy 92

233 [10] Lentzen M 2006 Microsc Microanal 12 191 [11] Uhlemann S and Haider M 1998 Ultramicroscopy 72 109 [12] Coene W M J Janssen G Op de Beeck M and van Dyck D 1992 Phys Rev Lett 69 3743 [13] Thust A Coene W M J Op de Beeck M and van Dyck D 1996 Ultramicroscopy 64 211 [14] Kirkland A I Saxton O W Chau K L Tsuno K and Kawasaki M 1995 Ultramicroscopy 57

355 [15] Lichte H 1986 Ultramicroscopy 20 293 [16] Lehmann M and Lichte H 2002 Microsc Microanal 8 447 [17] Jia C L Lentzen M and Urban K 2003 Science 299 870

148 K Tillmann et al

[18] Hartel P Muumlller H Uhlemann S and Haider M 2004 Proc EMC-2004 eds N Schryvers and J P Timmermanns (Antwerp Belgian Society for Microscopy) pp IM01P02

[19] Barthel J 2007 PhD Thesis RWTH Aachen University [20] Zemlin F Weiss K Schiske P Kunath W and Herrmann K H 1978 Ultramicroscopy 3 49 [21] Hawkes P and Kapser E 1989 Principles of Electron Optics (London Academic Press) [22] Tillmann K Thust A and Urban K 2004 Microsc Microanal 10 185 [23] Houben L Thust A and Urban K 2006 Ultramicroscopy 106 200 [24] Tillmann K Houben L and Thust A 2006 Phil Mag 86 4589 [25] Kilaas R Paciornik S Schwartz A J and Tanner L E 1994 Journal of Computer-

Assisted Microscopy 6 129 [26] Tillmann K Houben L Thust A and Urban K 2006 J Mater Sci 41 4420 [27] Guzenko V AThillosen N Dahmen A Calarco R Schaumlpers T Houben L Schineller B

Heuken M and Kaluza A 2004 J Appl Phys 96 5663 [28] Kisielowski C Freitag B Xu X Beckmann S P and Chrzan D C 2006 Phil Mag 86 4575 [29] Lim S H Shindo D Yonenaga I Brown P D and Humphreys C J 1998 Phys Rev Lett 81

5350 [30] Hirth J P and Lothe J 1968 Theory of Dislocations (New York McGraw-Hill)

Strain Measurements in SiGe Devices by Aberration-Corrected High Resolution Electron Microscopy F Huumle M J Hyumltch J-M Hartmann1 Y Bogumilowicz2 and A Claverie CEMES-CNRS 29 rue J Marvig 31055 Toulouse France 1 CEA-LETI 17 rue des Martyrs 38054 Grenoble France 2 STMicroelectronics 850 rue Jean Monnet 38921 Crolles Cedex France Summary We measure elastic strains in Si grown on Si1-xGex substrates by aberration-corrected high-resolution transmission electron microscopy (HRTEM) Images are analysed using geometric phase analysis (GPA) We carry out finite element modeling (FEM) of these systems coupled with full atomistic multislice simulations of the deformed structures Comparison of the results from experiment and simulation reveals the high accuracy and reliability which can be obtained Strain mapping with HRTEM benefits from the high signal-to-noise ratio of aberration-corrected images In addition images can be obtained for thicker crystals which limits thin film relaxation effects and facilitates specimen preparation 1 Introduction For the rapid miniaturisation of electronics imposed by Moorersquos law to continue one of the important aims for the international roadmap for semiconductors is to develop strained silicon for metal oxide semiconductor field-effect transistors (MOSFETs) [1] One route for introducing strain is the epitaxial growth of silicon on Si1-xGex virtual substrates [2] Straining silicon improves the mobility of carriers electrons (nMOS) or holes (pMOS) in the channel between source and drain in MOSFET transistors and performance can be significantly enhanced for similar power consumption Measuring strain accurately at the nanoscale is therefore an important objective

High-resolution transmission electron microscopy combined with geometric phase analysis (GPA) appears highly suitable for mapping strain in SiSiGe heterostructures [34] Objective lens distortions [5] artefacts introduced by the bending of atomic columns [6] and optical distortions due to the projector [7] have all been previously investigated Experimental results obtained by GPA will be compared with finite element modelling coupled with full atomistic image simulations to see the impact of thin foil relaxation on strain measurements 2 Experimental Details Specimens are Si layers grown at 700degC by RPCVD on polished (001) virtual substrates The Si layers have thicknesses from 10 to 40 nm and virtual substrates (VS) relaxed Si1-xGex deposited at 900degC upon Si wafer with compositions of x = 20 30 40 and 50 Cross-sectional TEM samples were prepared by tripod polishing followed by ion milling (PIPS) at 3keV to specimen thicknesses of about 30 nm Specimens were also prepared by tripod polishing to thicknesses of about 10-20 nm and by focussed ion beam (FIB) to thicknesses of about 80 nm Here we only present results for the PIPS prepared samples Observations were performed on the SACTEM-Toulouse a Tecnai F20 ST (FEI) fitted with an objective-lens aberration corrector (CEOS) Images were acquired at [110] orientation on a 2k CCD cameras (Gatan) and analysed using GPA Phase software [8] Deformation of the silicon layer was measured with respect to the virtual substrate lattice Finite element

150 F Huumle et al

modelling was carried out using COMSOL Multiphysics and multislice image simulations with JEMS software [9] 3 Analysis Modelling and Simulation Figure 1 shows the procedure followed to measure εzz strain in the [001] growth direction first we acquire the HRTEM image then we calculate the Fourier transform and finally by placing a mask around (111) and (1-11) periodicities in Fourier space we extract the strain in the chosen direction Strain in this paper refers to the deformation as calculated relative to the VS lattice parameter and not the Si bulk Mechanical strain can be obtained by subtracting the relative misfit

To answer the question concerning thin-foil relaxation we have carried out modeling with the finite element method (FEM) Figure 2 shows the deformation of the elastically relaxed foil These FEM simulations which are more commonly used for macroscopic problems may be applied to our case since elastic theory has shown to be valid at the nanoscale [10] Our 3D simulations were simplified to a 2D study by considering the x-direction parallel to the layers to be infinite

Fig 2 Modelling of thin-foil relaxation with 3D FEM simulation of a TEM specimen 10 nm Si layer on Si60Ge40 JEMS simulation calculated from a supercell with displaced atoms

Fig 1 (a) HREM image of a Si layer deposited by RPCVD on (001) Si60Ge40 (b) Fourier transform used for calculating phase images by placing a mask around the periodicity of interest here (111) and (1-11) (c) εzz On the right different examples of GPA treatment on three different specimens

z

y x

(c)

(b)

10 nm

(a)

z

x

Strain Measurements in SiGe Devices by Aberration-Corrected HREM 151

To study the effect of the microscope we have simulated images corresponding to our strained layers Starting with a 2D FEM simulation of our specimen we extract values of the displacement of each atom and create a supercell with accurate atoms positions and finally HRTEM images are evaluated applying multislice calculations with JEMS (Fig 2) 4 Results and Discussion As the Si lattice parameter is always smaller than that of the virtual substrate in the absence of misfit dislocations the layer remains in biaxial tensile stress and as a result is in compression in the growth direction Figure 3 shows the results (square) measured by GPA for different VS compositions simulated results from FEM modelling (triangle) and theoretical results assuming plane strain conditions (ie an infinitely thick foil) and plane stress conditions (infinitely thin)

The measured values differ from the theoretical plane strain value because of thin foil relaxation This relaxation is different with PIPS tripod or FIB preparations because of differing specimen thicknesses and amorphous layers Assuming a specimen thickness of 30 nm for the PIPS prepared specimen we performed 3-dimensional FEM simulations of a Si layer on an SiGe VS Modeling was done for different VS composition and different Si layer thickness (not shown) The average projected deformation across the thickness of the simulation is our estimate for the deformation measured by HRTEM The simulated relaxation can be seen to agree well with the measured values Further modeling where the specimen thickness was varied showed that the thin film relaxation modifies the results only by 01 in the range of 20-40 nm specimen thickness

For completeness we have also simulated HRTEM images for one particular VS composition Figure 4 compares experimental and simulated GPA The perpendicular strain measured in both cases are the same -23 By taking a cross section (Fig 4c) in the 3D FEM simulation from which JEMS was performed (Fig 4b) we can see the average value along the Si layer is the same -227 It can be concluded that for these conditions JEMS coupled with GPA is not a necessary step to simulate thin foil relaxation Only FEM simulation is necessary to know precisely the strain in the Si layer However compositional variations close to the interface require image simulations due to the presence of artifacts even for aberration-corrected HRTEM

Fig 3 Variation of εzz as a function of the VS composition

Ge

-4

-35

-3

-25

-2

-15

-1

-05

010 20 30 40 50

perp

endi

cula

r st

rain

( V

S)

plane stressexperimentsFEMplane strain

152 F Huumle et al

Fig 4 Strain analysis in growth direction measured deformation maps and profiles from a) experiment b) simulation c) cross-section taken from FEM simulations

5 Conclusions We have shown that strain can be measured reliably to 02 in strained Si layers Measurement relies on careful sample preparation and finite element modeling Image simulations show that the the average strain measured in layers by HRTEM agrees closely with the average projected strain in the sample HRTEM of relatively thick samples in our case 30 nm significantly improves the accuracy of results as the thin film relaxation becomes relatively insensitive to the exact thickness There are therefore two advantages in using an aberration-corrected microscope thicker specimens can be studied and the higher signal-to-noise ratio improves precision [11] References 1 Deleonibus S 2006 Eur Phys J Appl Phys 36 197 2 Hartmann J M Bogumilowicz Y Holliger P et al 2004 Semiconductor Sci and Tech 19 311 3 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 4 Cherkashin N Hyumltch M J Snoeck E Huumle F Hartmann J-M Bogumilowicz Y and Claverie A

2006 Nuclear Instruments and Methods in Physics Research B 253 145 5 Hyumltch M J and Palmann T 2001 Ultramicroscopy 87 199 6 Tillmann K Lentzen M and Rosenfeld R 2000 Ultramicroscopy 83 111 7 Huumle F Johnson C L Lartigue-Korinek S Wang G Buseck P R and Hyumltch M J 2005 J Elect

Microsc 54 181 8 GPA Phase a plug-in for DigitalMicrograph (Gatan) available from HREMResearch Inc

httpwwwhremresearchcom 9 JEMS P Stadelmann httpcimewwwepflchpeopleStadelmannjemsWebSitejemshtml 10 Hyumltch M J Putaux J L and Peacutenisson J M 2003 Nature 423 270 11 Hyumltch M J and Houdellier F 2007 Microelect Eng 84 460

(S)TEM Characterisation of InAsMgOCo Multilayers D A Eustace D W McComb L Buckle1 P Buckle1 T Ashley1 L J Singh2 Z H Barber2 A M Gilbertson3 W R Branford3 S K Clowes3 and L F Cohen3

Dept of Materials Imperial College London London SW7 2AZ 1 Quantum Electronics and Sensor Technology Qinetiq PLC Malvern WR14 3PS 2 Dept of Materials Science and Metallurgy University of Cambridge Cambridge CB2 3QZ 3 Dept of Physics Blackett Laboratory Imperial College London London SW7 2AZ Summary The structural integrity composition and interface quality of tunnel barriers in hybrid ferromagnet-semiconductor structures will have a significant effect on the properties of spintronic devices We present the results of a study of an amorphous MgO tunnel barrier in a CoMgOInAs multilayer structure Optimum growth parameters for MgO and surface treatment of InAs have been identified by a systematic electron microscopy investigation Electron energy loss spectroscopy has been used to obtain information on composition and interface quality in the multilayer structures 1 Introduction The emerging research field of semiconductor spintronics seeks to utilise electron spin in a new generation of electronic devices [1] The efficient injection and detection of a spin polarised current into semiconducting materials could lead to the fabrication of spintronic devices such as the spin field effect transistor [2] and could have important implications for future magnetic storage media and quantum computing

Narrow gap semiconductors (NGS) are of interest as future spintronic devices due to their high electron mobility and strong spin-orbit coupling [3] The injection of a spin polarised current from a ferromagnet (FM) into a NGS is a challenging issue due to the conductivity mismatch between the two materials [4] Spin injection may be achieved in such systems through the use of a tunnel or Schottky barrier which provides a spin preserving interfacial resistance [5]

However the presence of space-charge or inversion layers in some NGS materials such as InAs and InSb has the effect of lowering the spin independent resistivity of the NGS at the NGSFM interface This raises the possibility of spin injection without the need for a Schottky or tunnel barrier and indeed calculations have shown that spin injection may be achieved in an InAsFe system [6] However sufficient spin injection efficiency could only be achieved with a high quality epitaxial NGSFM interface with a high degree of symmetry The difficulty of preparing such interfaces means that the use of a tunnel or Schottky barrier will be beneficial in hybrid NGSFM structures regardless of electronic requirements

Efficient spin injection has recently been demonstrated from CoFe into GaAs using an MgO(100) tunnel barrier [7] Spin injection has also been demonstrated from Fe into GaAs using a tunnel barrier created by selectively doping the GaAs surface layers [8] and from NiFe into an InAs quantum well structure using a barrier created by growing an oxide on the InAs surface during growth [9] The structural integrity of such barriers will be critical to future device performance In addition abrupt interfaces between the component layers are necessary to minimize spin flip scattering Despite this the use of transmission electron microscopy (TEM) as a tool to aid the development and optimisation of such barriers is not widespread In this paper we report the optimisation of an amorphous MgO tunnel barrier in a CoMgOInAs multilayer structure The optimal growth parameters and InAs surface treatment have been identified through a systematic high resolution (HR) TEM study In addition an analysis of the abruptness and

154 D A Eustace et al

composition of the interfaces within the structure has been carried out using electron energy loss spectroscopy (EELS) in a scanning transmission electron microscope (STEM) 2 Experiment and Instrumentation 1microm doped InAs epilayers have been grown on semi-insulating GaAs(001) substrate by molecular beam epitaxy The carrier concentration and mobility were 116times1017 cm-3 and 14 m2Vs at 300K respectively All samples were degreased in acetone and isopropanol for 4 minutes each then nitrogen dried

Full details of the InAs surface treatments investigated and their characterisation by atomic force microscopy (AFM) will be published elsewhere [10] Briefly all samples were degreased as described above then surface etched with 185 HCl for 30 seconds The surface was then treated using ammonium sulphide (NH4)2S in what was termed a single etch procedure A double etch treatment which repeated the HCl etch and ammonium sulphide stages was also investigated

The MgO barrier was grown by reactive sputter deposition from an Mg target in an Ar-30O2 mixture aiming at a barrier width of between 1 nm and 2 nm The effect of depositing the MgO at two different substrate temperatures (200degC and 100degC) was investigated A 20nm FM Co layer was then sputter deposited at room temperature

The quality of the as-grown MgO barriers has been investigated by bright field HRTEM using a JEOL2010 TEM fitted with a LaB6 filament and operating at 200kV Further HRTEM and STEM imaging and EELS analysis has been carried out using an FEI-Titan FEG-(S)TEM operating at 300kV using an extraction voltage of 4 kV The full width half maximum of the EELS zero loss peak (ZLP) was 08eV and the probe diameter used was ~1nm 3 Results 31 HRTEM Samples which had received only a degreasing treatment showed an amorphous region ~5nm wide at the InAsMgO interface This amorphous region was attributed to a native oxide layer on the InAs and was never observed on samples which had received a surface etch treatment Figure 1 illustrates

5 nm5 nm

CoMgO InAs

31nm5nm5 nm5 nm

CoMgO InAs

31nm5nm5 nm5 nm

17nm5nm

InAs

CoMgO

5 nm5 nm

17nm5nm

InAs

CoMgO

Fig 1 Bright field HRTEM images of cross sections of InAsMgOCo showing a) an unoptimised barrier grown after a single etch InAs surface treatment at an MgO deposition temperature of 200degC and b) an optimised barrier grown after a double etch InAs treatment using a deposition temperature of 100degC

(b)(a)

(S)TEM Characterisation of InAsMgOCo Multilayers 155

the improvement obtained by optimisation of the original growth process In Fig 1a the InAs surface received only a single etch treatment and the MgO tunnel barrier was deposited at 200degC AFM analysis showed that the single etch treatment resulted in the appearance of relatively large features (~13nm) on the InAs surface These features and the relatively high MgO deposition temperature results in an uneven barrier width and a rough InAsMgO interface The double etch treatment removed the large features observed on the InAs surface by AFM and resulted in a root mean square (rms) InAs surface roughness of 054nm In addition reduction of the MgO growth temperature to 100degC significantly improved the abruptness of the InAsMgO interface as shown in Fig 1b The width of the MgO barrier was found to be extremely uniform over large (~5 microm) distances

Further HRTEM imaging reveals more information on the nature of the tunnel barrier The MgO is predominantly amorphous although small (~3-4nm) areas of crystallinity have been observed (Fig 2a) Although the barrier has been observed as continuous over long distances raised features on the InAs surface result in small breakages (~1nm) in the barrier layer in some regions as shown in Fig 2b AFM confirms that such raised features are infrequent with an average spacing of approximately 100-150nm 32 STEM-EELS The sample used in the STEM-EELS study is the same as that shown in Fig 1b Figure 3(a) shows a high angle angular dark field (HAADF) image of the InAsMgOCo multilayer structure collected using STEM Figure 3b shows a bright field image recorded simultaneously using an on-axis detector Figure 3c shows a low loss EELS profile over an energy range from 5eV to 45eV The bulk plasmon resonances from all three materials in the multilayer structure are observed in this energy range Spectra have been recorded at 05nm intervals along the 10nm line trace shown in Fig 3a All spectra have been calibrated with respect to the ZLP and deconvoluted to remove multiple scattering effects

The first 8 spectra from the line profile from 0nm to 4nm clearly show the InAs plasmon peak at 138eV This peak decreases in intensity at the onset of the MgO tunnel barrier The MgO plasmon at 224eV and the InAs plasmon appear to overlap in the next two spectra over a distance of 1nm The MgO plasmon is then observed over the next 4 spectra for a distance of 2nm A relatively sharp decrease in MgO plasmon intensity coincides with a peak shift over a 1nm distance to the cobalt plasmon energy at 26eV This preliminary data indicates that the MgO tunnel barrier has been grown with clean abrupt InAsMgO and MgOCo interfaces with no evidence for a reaction layer or native oxide between the InAs and MgO

5 nm5 nm 5 nm5 nm

(a) (b)

InAs MgO

Co Co

MgO InAs

Fig 2 HRTEM images of InAsMgOCo structures showing a) partial crystallinity in the MgO layer and b) a non-continuous MgO layer with an InAs surface roughness of 2-3 lattice planes

156 D A Eustace et al

4 Conclusions An optimum InAs surface treatment and MgO deposition temperature for the growth of an InAsMgOCo multilayer structure with a uniform MgO tunnel barrier and clean abrupt InAsMgO and MgOCo interfaces has been identified through a systematic TEM study Further characterization using STEM-EELS confirms the abruptness of the interfaces and shows no evidence for a reaction layer or native oxide between the InAs and MgO

Future work will involve further STEM-EELS analysis of the above structure using an excited monochromator system in the FEI-Titan (S)TEM which will improve the EELS energy resolution to lt02eV This will allow a more detailed analysis of the structure and composition of the InAsMgO and MgOCo interfaces References 1 Ziese M and Thornton M J 2001 Spin Electronics Springer-Verlag New York 2 Datta S and Das B 1990 Appl Phys Lett 56 665 3 Ashley T Dean A B Elliott C T Pryce G J Johnson A D and Willis H 1995 Appl Phys Lett

66 481 4 Schmidt G et al 2000 Phys Rev B 62 R4790 5 Rashba E I 2000 Phys Rev B 62 R16267 6 Zwierzycki M et al 2003 Phys Rev B 67 092401 7 Wang R et al 2005 Appl Phys Lett 86 052901 8 Lou X H Adelmann C Crooker S A Garlid E S Zhang J Reddy K S M Flexner S D

Palmstrom C J and Crowell P A 2007 Nature Phys 3 197 9 Koo H C Yi H Ko J B Chang J Han S H Jung D Huh S G and Eom J 2007 Appl Phys Lett

90 022101 10 Singh L J et al 2007 J Phys D Appl Phys 40 3190

Fig 3 a) STEM HAADF image of InAsMgOCo showing 10nm line trace b) STEM bright field image recorded simultaneously and c) Low loss EELS spectra recorded every 05nm along line trace running from right to left (from InAs to Co) with the zero loss peak

010

2030

4050

6070

8090

510

1520

2530

3540

45

Distance along line profile (nm)

Energy loss (eV) 010

2030

4050

6070

8090

510

1520

2530

3540

450

1020

3040

5060

7080

90

510

1520

2530

3540

45

Distance along line profile (nm)

Energy loss (eV)

2 nm 2 nm2 nm 2 nm

(a) (b)

(c)

Co MgO Co InAs InAs MgO

line trace

InAs

Co

Core Composition of Partial Dislocations in N-Doped 4H-SiC Determined by TEM Techniques Dislocation Core Reconstruction and Image Contrast Analysis Michaeumll Texier Maryse Lancin Gabrielle Regula and Bernard Pichaud TECSEN UMR 6122 CNRS Universiteacute Paul Ceacutezanne Aix-Marseille III 13397 Marseille cedex 20 France Summary Defects were created in N-doped 4H-SiC by cantilever bending from a scratch on the ( )0211 surface under compression They consist of two stacking faults (double stacking faults) expanding from the scratch in ]0011[ or ]1001[ directions The character and core composition of the leading Shockley partial dislocations were determined by coupling WB LACBED contrast analysis of ( )0211 HRTEM images and dislocation core reconstructions Each double stacking fault is due to the glide of a pair of identical Si-core partial dislocations in two adjacent glide planes in which the Si-C dumbbells exhibit the same orientation Such a feature as well as the asymmetrical expansion of the defects is related to lack of mobility of C-core partial dislocations in that range of temperatures (550 degC-700 degC) 1 Introduction Silicon carbide which is both a ceramic and a wide band gap semiconductor holds promise as a material for power electronics high frequency and high temperature applications It thus receives considerable attention both from the point of view of applied and fundamental science One major point of concern remains the velocity versus the core composition of the Shockley partial dislocations (PDs) Indeed most of the experiments demonstrate the highest velocity of Si-core PDs [1-6] whereas a few others show similar mobility for Si-core and C-core PDs [78] Moreover first principles calculations give lowest activation energies of migration for the C-core PDs [910] To contribute to the debate we studied the dislocation dynamics in SiC We applied a deformation procedure which allows the introduction of dislocations under controlled stresses We selected N-doped 4H-SiC because being the most promising for applications it is available in crystals of high crystalline perfection and controlled impurity content This paper deals with the characterization of the created defects by combining various transmission electron microscopy (TEM) techniques 2 Experimental Details The 20 x 5 x 02 mm3 samples were cut in N-doped (2 x 1018 cm-3) 4H-SiC purchased from Cree research The sample surface was parallel to the ( )0211 plane and the ( )0001 glide planes made a 45deg angle with the tension compression axis (X) (Fig 1) The samples were scratched on their ( )0211 face in a direction parallel to X bent at room temperature around the Y axis and annealed in the brittle regime either at 550 degC or 700 degC for 30 or 1 minute respectively The emergence on the surface of planar defects parallel to the ( )0001 plane was revealed by etching with molten KOH ( )0211 Thinned foils were prepared by mechanical grinding and ion thinning of the back side of the samples Thinned (0001) films were realized at the tip of the etched defects by focus ion beam (FIB) milling Weak-beam (WB) dark-field imaging was performed at 200 kV with a FEI Tecnai G20 microscope large angle convergent beam electron diffraction (LACBED) analyses were carried out with a JEOL

158 Michaeumll Texier et al

2010F microscope operating at 198 kV and HRTEM imaging with a 400 kV JEOL 4000EX microscope

Fig 1 Schematic ( )0001 thinned foils prepared by FIB at the tip of planar defects

Fig 2 WB dark-field image obtained on a ( )0001 FIB foil at planar defect tip revealing a partial dislocation pair

3 Results Planar defects were created in the sample annealed in the brittle regime under compressive stress Based on their length and expansion direction three families of defects were distinguished and labeled A B and C [11] In the P1 = ]1001[ direction A expanded versus the stress and might reach millimeters while B never exceeded 200 microm whatever the stress In the P2 = ]0011[ direction the C length decreased as a function of the stress from about 400 microm to almost zero

At the tip of all defects WB imaging always revealed not one but two PDs (Fig 2) Both PDs in one pair had almost parallel lines (L) close to one Peierls Valley the orientation of which depended on A B or C Moreover LACBED demonstrated that in each pair both PDs had the same Burgers vectors line direction and modulus (Fig 3) The dislocation pairs were characterized (Fig 4) using the gb=m relation [12] derived from the Cherns amp Prestonrsquos rules [13] m is the non-integer value deduced from the expression m = plusmn (p + β2π) where β is the positive phase shift produced by the trailed staking fault (β = α if α gt 0 or β = α + 2π if α lt 0) Finally the three families of planar defects were dragged by PD pairs which exhibited the following characteristics for A defects L = ]1021[ b = a3 ]0011[ for B defects L = ]2011[ b = a3 ]0110[ and for C defects L = ]0112[ b = a3 ]1001[ Note that all the PDs had a 30deg character near the sample surface

Fig 3 Experimental LACBED pattern showing the Bragg line splitting due to the PD and the DSF

Fig 4 Splitting rules used to determine the Burgers vector of two PDs for which the phase shift produced by the DSF in the basal plane is α = 0 2π3 or -2π3

Core Composition of PDs in N-Doped 4H-SiC 159

The stacking faults created by the PD glide were investigated by HRTEM on ( )0211 thinned-films All of them consisted of six cubic Si-C bi-layers showing one or the other of the two possible stackings that we named a-3C and b-3C (Fig 5) These stackings are defined in the ( )0211 plane due to the P63mc structure of 4H-SiC Such stackings could only be obtained if the two PDs glide in two consecutive glide planes labelled G1G2 and G3G4 in which the Si-C dumbbells have the same orientation In the literature such six cubic bi-layers are called double stacking faults (DSF) thus we hereafter label the defects DSFA DSFB and DSFC It is noteworthy that the a-3C stacking was observed in DSFAs and the b-3C one in DSFBs and DSFCS

Fig 5 Cubic stackings labeled a-3C and b-3C which are due to the glide of a PD pair in G1G2 or G3G4 glide planes respectively In the [ ]0211 image the white dots correspond to the projections of Si and C atomic columns which are 0109 nm apart and cannot be distinguished The locations of Si and C (large and small circles respectively) are deduced from the respective positions of the white dots when the dumbbell orientation changes [14]

The core composition of the PDs was obtained by core reconstruction We first localized the

projections of Si and C atomic columns by analysing of the image contrast [14] We then reconstructed the PD core using the structural unit characteristic of a 30deg PD first proposed in Si [15] and observed in SiC [16]

Fig 6 Core reconstruction of a 30deg PD pair dragging a DSFB in G3G4 The Burgers circuit is drawn according the FSRH convention It gives the projection of b measured by LACBED

Fig 7 Core composition of a faulted half loop with b = a3 [ ]0110

160 Michaeumll Texier et al

Figure 6 displays the reconstruction for the 30deg PD pair dragging a DSFB in the P1 direction A column of Si trivalent atoms linking the three faulted circles the PD has a Si-core Using the same method we found that the three types of PD pairs dragging the three populations of DSFS had a silicon core

To understand the asymmetric propagation of the DSFs either in P1 or P2 we draw the possible faulted half loops based on the b determination by LACBED and the core reconstructions Figure 7 shows such a loop corresponding to a DSFB Note that the loop exhibits a C-core segment in the P2 direction 4 Discussion

The deformation procedure of 4H-SiC has created DSFS dragged by Si-core Shockley PDs Leading C-core partials were never identified The lack of expansion of the DSFB in the P2 direction implies that the C-core segment is immobile during the deformation The same conclusion is reached with DSFA and DSFB Moreover the immobility of C-core partials at such temperatures is responsible for the systematic formation of pairs of identical PDs despite the repulsive forces between them as detailed elsewhere [17] Hence our results contradict the calculations which found a highest velocity for the C-core PDs [1011] They confirm those obtained by Pirouz and co-workers [2] in the brittle regime as long as PD mobility is concerned They differ from those of Mussi et al who found both Si-core and C-core leading PDs at 550degC [8] The discrepancy between experimental results may be related to the different nucleation process and deformation conditions We are carrying out studies to answer this question 5 Conclusion The determination of the core composition of the partial dislocations needs to match complementary TEM techniques with dislocation core reconstructions The results confirm that C-core partial dislocations are immobile at such temperatures in agreement with most of the experimental work References 1 Ning X J and Pirouz P 1996 J Mat Res 11 884 2 Ning X J Huvey N and Pirouz P 1997 J Am Ceram Soc 801645 3 Samant A V Zhou W L and Pirouz P 1998 Phys Stat Sol(a) 166 155 4 Demenet J-L Hong M H and Pirouz P 2000 Mat Sci Forum 338-342 517 5 Samant A V Hong M H and Pirouz P 2000 Phys Stat Sol (b) 22275 6 Pirouz P Demenet J-L and Hong M H 2001 Phil Mag A 81 1207 7 Chung H J Liu J Q and Skowronski M 2002 App Phys Lett 81 3759 8 Mussi A Rabier J Thilly L and Demenet J-L Phys Stat Sol (c) to be published 2007 9 Blumeneau AT Fall C J Jones R Oumlberg S Frauenheim T and Bridon P R 2003 Phys Rev B

68 174108 10 Savini G Heggie M I and Oumlberg S 2006 Faraday Discuss 11 Regula G Lancin M Idrissi H Pichaud B and Douin J 2005 Phil Mag Lett 85 259-267 12 Texier M Regula G Lancin M and Pichaud B 2006 Phil Mag Lett 86(9) 529 13 Cherns D and Preston A R 1986 proc 11th ICEM Kyoto Jpn Soc Elect Micr 1 721 14 Ragaru C Lancin M and Godon G 1999 Eur Phys J AP 5 135 15 Marklung S 1979 Phys Stat Sol(b) 92 83 16 Lancin M Ragaru C and Godon C 2001 Phil Mag B 81 1633 17 Lancin M Regula G Texier M Idrissi H Douin J and Pichaud B 2007 Phil Mag submitted

Three-Dimensional Atom Probe Characterisation of III-Nitride Quantum Well Structures Mark J Galtrey Rachel A Oliver Menno J Kappers Colin J Humphreys Debbie J Stokes1 Peter H Clifton2 and Alfred Cerezo3 Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK 1 Department of Physics University of Cambridge Madingley Road Cambridge CB3 0HE UK 2 Oxford nanoScience 4-6 Carters Lane Kiln Farm Milton Keynes MK11 3ER UK 3 Department of Materials University of Oxford Parks Road Oxford OX1 3PH UK Summary An InxGa1-xNGaN multiple quantum well (MQW) structure that exhibited bright photoluminescence was examined with the three dimensional atom probe The quantum wells were clearly imaged and the indium fraction x measured to be 019 plusmn 001 was in good agreement with X-ray diffraction measurements The distribution of indium in the MQWs was analysed no evidence for either high indium concentration regions or indium clustering was found in contrast with transmission electron microscopy studies in the literature We conclude that indium clustering is not necessary for bright luminescence in InGaN 1 Introduction Optoelectronic devices based on InxGa1-xN quantum well (QW) structures can emit bright light over a range of visible wavelengths The GaN pseudo-substrates on which the InxGa1-xN is usually deposited have high threading dislocation (TD) densities (up to 109 cm-2) but bright luminescence still occurs This is despite the fact that these TDs are believed to act as non-radiative recombination centres for carriers There is strong evidence [1] that excitons are localised at specific positions in the quantum wells where there are local potential minima and so are very likely to recombine radiatively before they would be able to diffuse to a dislocation Theoretical work [2] has predicted that unstrained InxGa1-xN could be unstable with respect to spinodal decomposition under typical growth conditions If nanometre-scale variations in the indium content of the QW were present they would result in local potential fluctuations and so such variations have often been proposed as a cause of exciton localization

Examination of InxGa1-xN QWs by high resolution transmission electron microscopy (HRTEM) has shown local deviations of the lattice fringes and strong contrast variations This has been interpreted as strain contrast arising from local variations in indium content Using Vegardrsquos Law it is possible to quantify the compositions associated with this contrast and the results have led authors [3] to propose regions in the QWs with x gt 075 or even pure InN clusters [4]

Smeeton et al [5] demonstrated that this contrast increases markedly with increasing exposure time to a 200kV electron beam in the TEM and is present only at very low levels for short (~20 second) electron beam exposure times This suggests that the strain contrast observed in many HRTEM images is at least to a large extent a consequence of exposure to the electron beam and is not due to the presence of high indium content regions in the original specimen

As a result of this problem with electron beam damage in the TEM it would be highly desirable to be able to examine InxGa1-xN QWs on a nanometre scale without exposure to high energy electrons It would also be highly beneficial to obtain direct three-dimensional

162 Mark J Galtrey et al

information about the atomic positions to avoid the projection problem from which conventional TEM imaging suffers In addition an ideal technique would chemically identify each atom detected to give direct three-dimensional compositional information on a nanometre scale

The three-dimensional atom probe (3DAP) has been shown to provide three-dimensional nanometre-scale information about composition variations in ternary III-V semiconductor multilayer structures [6] and so is an ideal technique to identify whether indium rich clusters are present in InxGa1-xN QWs The 3DAP applies a high DC electric field to the tip of a pre-sharpened sample combined with repeated high-frequency pulses to generate field evaporation of individual atoms from this tip Voltage pulses are used for analysing metal samples but for less conductive materials as in this work laser pulses are used By combining time-of-flight mass spectrometry the x-y position at which ions strike a detector and their sequence of arrival it is possible to reconstruct a three-dimensional atom map of the sample including the chemical identity of each detected atom Collection rates of greater than one million atoms per minute are possible for GaN-based materials 2 Experimental An InxGa1-xNGaN multiple quantum well (MQW) sample similar to those studied in HRTEM by Smeeton et al was grown by metal-organic vapour phase epitaxy in a Thomas Swan close-coupled showerhead reactor on a GaN pseudo-substrate consisting of ca 6 microm of GaN grown on c-plane (0001) sapphire at 1020 degC following deposition of a 30 nm GaN buffer at 540 degC Trimethylindium (TMI) trimethygallium (TMG) and ammonia were used as precursors For the growth of the quantum well stack N2 was used as a carrier gas The QWs and barriers were grown at a single temperature of 740 degC and during QW growth the molar flows of TMI and TMG were 8 micromolminute and 55 micromolminute respectively The VIII ratio during InxGa1-xN growth was ~33000 No GaN cap was grown above the final GaN barrier layer

The luminescence of the wafer was measured using room-temperature photoluminescence (PL) and the composition and thickness of both the InxGa1-xN QW layers and the GaN layers were determined by high resolution x-ray diffraction (HRXRD) following the method of Vickers et al [7] The QWs were also examined using TEM (Phillips CM30 dark field g = 0002) and the evolution of contrast with increasing exposure to the electron beam was observed

Needle-shaped 3DAP specimens (with tip radius lt 100 nm and aspect ratio gt 101) were prepared from the wafer using an FEI DualBeam Quanta 3D combined focused ion beam miller (FIB) and scanning electron microscope (SEM) fitted with an in-situ Kleindiek micromanipulator

The atom probe used for this study was a commercial Oxford nanoScience Ltd 3DAP instrument fitted with a prototype laser module (pulse duration ca 450 fs and λ = 515nm) Field-ion microscopy (FIM) was performed in a Ne atmosphere at 3 times 10-5 Torr 3DAP analysis was performed in a vacuum of le 5 times 10-11 Torr The base specimen temperature was approximately 65 K for both field-ion microscopy and atom probe analysis

Fig 1 QWs (left) after very little exposure to the electron beam and (right) the same region after approx 60 seconds exposure to the electron beam

Three-Dimensional Atom Probe Characterisation of III-Nitride QW Structures 163

3 Results and Discussion 31 Basic Sample Characterization The XRD data was analyzed by comparing data collected in both a high resolution and open detector configuration with simulated data from a model of the multilayer structure The layer thicknesses and compositions in the model were optimized to fit the experimental data In this way the sample was determined to consist of GaN layers of thickness 695 plusmn 010 nm and InxGa1-xN layers of thickness 238 plusmn 010 nm and indium fraction x = 0183 plusmn 0005

In the PL experiments the wafer was seen to emit bright blue light There was some inhomogeneity in emission wavelength between different regions of the wafer but in the area from which the atom probe sample was subsequently made the peak emission wavelength was 454 nm

On examination of a cross-sectional TEM sample made from the wafer the 10 QWs were clearly visible After 60 seconds of exposure to the electron beam a greatly increased amount of strain contrast developed giving the QWs the appearance of containing indium-rich clusters (Fig 1) 32 FIB-Based Sample Preparation for Atom Probe Samples When preparing the atom probe samples in the FIBSEM precautions must be taken to protect the samples It was essential to avoid exposure of the QW region to high energy electrons Consequently all SEM imaging was performed at 5 kV and exposure times and currents were minimized In addition it was necessary to avoid Ga implantation especially as it would not be possible to distinguish between Ga originally present in the GaN and that implanted from the ion beam Therefore the top surface of the wafer was protected by a ~30 nm sputter-deposited gold layer and additionally in the region of interest by ~500 nm of platinum deposited with the ion beam in the FIB

The sampling depth of the 3DAP can be as little as 100 nm due to progressive blunting of the sample during field evaporation so it was necessary to ensure that the QW region was within 100 nm of the apex of the sharpened needle To ensure this the back-scattered electron detector was used in the SEM to give clear contrast between the Pt and Au deposited layers and the GaN so that the annular milling could be stopped just as the last of the Pt and Au was removed leaving the QWs at the sample apex The final tip radius of the sample was ~50 nm with a shank length of ~2 microm Final annular milling was performed at 10 pA to minimize Ga implantation The method is summarised in Fig 2 33 Atom Probe Data From the atom probe data it was possible to reconstruct a small volume of the sample approximately 20 x 20 x 40 nm (Fig 3) Four of the QWs were clearly visible with the indium

Fig 2 Clockwise from top left lifting out a thin membrane from the wafer using a micromanipulator transferring a portion of the membrane on to a mounting wire a sample ready for sharpening by annular ion beam milling a finished sample

164 Mark J Galtrey et al

levels in the barrier layers being around the noise level of the instrument The indium content of the QWs was measured to be x = 019 plusmn 001 showing good agreement with the HRXRD value

The distribution of indium within the QWs was analysed and compared to that expected in a random ternary alloy No part of any QW was found to have an indium content of x gt 033 A χ2 test gave a value of 122 for 11 degrees of freedom which shows no significant deviation from the random case There is no evidence for indium-rich clusters in this sample which also emits bright light and so it appears that indium-rich clusters are not essential for bright luminescence 4 Conclusions The 3DAP provides a reliable and accurate method for examining GaN-based QW structures on a nanometre scale It has significant advantages over conventional TEM imaging in that it provides direct three-dimensional information about both the position and the chemical identity of the atoms in the sample It also avoids exposure of the sample to high energy electrons which in the case of InxGa1-xN QWs has been shown to cause significant damage to the sample As a result of these advantages we have been able to show that indium-rich clusters are not required for bright luminescence in these structures References 1 Graham D M Soltani-Vala A Dawson P Godfrey M J Smeeton T M Barnard J S Kappers M J

Humphreys C J and Thrush E J 2005 J Appl Phys 97 103508 2 Ho I H and Stringfellow G B 1996 Appl Phys Lett 69 2701 3 Gerthsen D Hahn E Neubauer B Rosenauer A Schon O Heuken M and Rizzi A 2000 phys stat sol

(a) 177 145 4 Ruterana P Kret S Vivet A Maciejewski G and Dluzewski P 2002 J Appl Phys 91 8979 5 Smeeton T M Humphreys C J Barnard J S and Kappers M J 2003 phys stat sol (b) 240 297 6 Liddle J A Mackenzie R A D Grovenor C R M and Cerezo A 1989 Inst Phys Conf Ser 100 81 7 Vickers M E Kappers M J Smeeton T M Thrush E J Barnard J S and Humphreys C J 2003 J Appl

Phys 94 1565

Fig 3 Atom map of a reconstructed volume with only the indium atoms shown Four of the QWs are clearly visible The growth direction is from right to left in the figure

Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging V Grillo and E Carlino Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 Km 1635 34012 Trieste Italy Summary The accurate measurement of chemistry of epitaxial layers by high angle annular dark field imaging requires knowledge of the scanning transmission electron microscopy specimen thickness Here it is shown how the study of the intensity of the Fourier coefficients of high angle annular dark field images as a function of the objective lens defocus can be used to measure the specimen thickness with the highest accuracy in the location of the area of interest 1 Introduction The sensitivity of high angle annular dark field (HAADF) scanning transmission electron microscopy (STEM) imaging to the specimen chemistry [1][2] makes it a powerful tool for analytical application at the highest spatial resolution One of the fields of particular interest in which this approach can be applied is in the study of the chemical profile of a species buried in a host matrix or in the study of the composition of a film grown on a substrate or in the study of the chemistry of an interface between two materials [3] For example a method recently proposed uses the HAADF intensity measured in the region of interest and on the relevant substrate coupled with computer simulation of the HAADF image contrast as a function of the composition to derive the chemistry in the unknown region with atomic resolution [4] Unfortunately the HAADF intensity in the region of interest and in the reference region does not change in the same way as a function of the specimen thickness and can produce large errors in the chemistry determination There are several methods to measure the TEM specimen thickness based for example on the measurement of the spacing between the parallel fringes in the diffracted disc of a two beam-condition convergent beam electron diffraction (CBED) pattern [5] or by using the electron energy loss spectrum (EELS) as acquired in the region of interest [6] or by the projection method In general the method chosen depends on the thickness of the specimen to be measured and it is of course necessary to check with accuracy that the region where the thickness is measured is precisely coincident with the zone where the chemistry has to be studied Hence it would be highly desirable to have a method able to quantify the sample thickness from the HAADF image itself In a recent publication a new method to measure the objective lens defocus during a STEM HAADF experiment has been reported [7] The method is based on the study of the behaviour of the Fourier coefficients of an atomic resolution HAADF through focal series of images Here it will be shown by HAADF image simulations and experiments how the behaviour of the Fourier coefficients of HAADF image series as a function of the objective lens defocus can be also used to measure the relevant specimen thickness The proposed method has been studied versus important experimental parameters like illumination system astigmatism local sample tilt and degree of centring of the illumination aperture In an accurate HAADF experiment with illumination astigmatism better than 2nm the method provides an accuracy of 2nm in specimen thickness measurement

166 V Grillo and E Carlino

2 Experimental STEM experiments were performed in a JEOL 2010F equipped with field emission gun and STEM attachment with HAADF detector The use of an objective pole piece with a measured spherical aberration coefficient Cs = (047plusmn001) mm and an accelerating voltage of 200kV gives a resolution of 0126 nm in HAADF imaging The experiments were performed on a specimen of self organized InAs quantum dots grown on GaAs (001) by molecular beam epitaxy [8] thinned to electron transparency by mechanical grinding and final ion beam milling following a well established procedure The presence of the quantum dots was very useful to identify with high accuracy the region where the specimen thickness was measured by the projection method and by the new method here proposed based experimentally on the acquisition of a series of HAADF images as a function of the objective lens defocus All the HAADF images were obtained with an illumination convergence angle of 14mrad and detector collection angle of 84 le 2ϑ le 224mrad The experimental defocus was evaluated by the analysis of the ronchigram and a series of images were acquired starting from the estimated gaussian defocus to an under-focus of 120nm The intensity I in a HAADF image can be written as I = O otimes P 2 where O is the object function and P2 is the electron probe Hence each Fourier coefficient C(k) of the image can be written as )()(~)( kHkOkC sdot=

being )(~ kO and )(kH the relevant Fourier transform coefficients of O and P 2 While )(~ kO is in principle independent of defocus the dependence of )(kH on defocus can be numerically calculated for a suitable image periodicity This property has been used to evaluate the defocus of the objective lens from the HAADF experimental images by fitting the experimental value of )(kC measured on every image with the simulated value of )(kH and finding the experimental defocus value with an accuracy within 2nm The ratio of the maxima of )(kC is a function of the specimen thickness (Fig 1) The dependence of the ratio of the maxima of )(kC can hence be used to measure the specimen thickness The computer simulations for )(kH were performed according to the experimental conditions by using STEM_CELL code [9] 3 Results and Discussion Figure 1a shows the Clt111gt Fourier coefficients simulations for GaAs in lt110gt zone axis as a function of the objective lens defocus for four STEM specimen thicknesses It should be noted how the ratio ldquoRrdquo between second maximum and first maximum depends on the thickness t R has been calculated for a larger interval of specimen thickness and the results are reported in Fig 1b The monotonic dependence of R vs thickness has been also observed for other materials systems Hence the knowledge of R(t) could be used to derive the specimen thickness from the experiments by measuring the Clt111gt as a function of the objective lens defocus

Fig 1 a) Plot of the Clt111gt Fourier coefficients simulations for GaAs in lt110gt zone axis as a function of the objective lens defocus for four specimen thicknesses b) Dependence of R vs thickness according to simulations

Novel Method for the Measurement of STEM Specimen Thickness by HAADF Imaging 167

Unfortunately R(t) is also influenced by the experimental conditions and in particular by the objective lens astigmatism The role of the probe astigmatism is particularly subtle as it depends on the size of the astigmatism and also on the angle φ formed by the astigmatism axis and the considered frequency in the experimental image in the case studied here the lt111gt direction let us define this angle as the lsquoastigmatism anglersquo It is worth nothing that in the atomic resolution HAADF image of GaAs in lt110gt zone axis there are two equivalent lt111gt directions as this aspect can be used as an internal check of the accuracy of the experimental measurements as described below Figure 2a is a map of the Clt111gt for GaAs as a function of the lens defocus and astigmatism angle for electron probe astigmatism of 10nm The higher the intensity is in Fig 2a the higher will be the value of the Clt111gt in the image The line profile parallel to the x-axis represents the Clt111gt as a function of defocus for a particular direction in the image and hence for a given astigmatism angle As an example in the Fig 2a two profiles are shown for φ = 45oand φ = 90o At angles of 0deg and 90deg the illumination astigmatism determines a rigid shift of the curve 2a to higher or lower defoci (for comparison the abscissa of the maximum value for stigmatic focus is indicated by a dash line) Using these curves for the thickness determination would not affect the final result However for an astigmatism angle of 45deg (bottom curve) the curve of Fourier coefficients vs defocus appears considerably deformed an additional peak appears at 38nm from the main maximum where a minimum would be expected In this case the thickness determination procedure would fail dramatically For reliable measurements the astigmatism value should be of the order of 2nm In this case the error in the thickness determination would be ~1nm or 2nm depending on specimen thickness

Fig 2 a) Map of the Clt111gt for GaAs as a function of the lens defocus and astigmatism angle φ for

electron probe astigmatism of 10nm Two representative profiles are shown for φ = 45o and φ = 90o b) Plot of the influence of the size of the illumination aperture on R

Figure 2b shows the influence of the size of the illumination aperture on R The value of

α =14mrad as used in the experiments is a good choice for thickness evaluation since it increases the visibility of the secondary peak It should be pointed out that the experimental illumination angle has to be measured with high accuracy as an uncertainty of plusmn 04 mrad in α produces a thickness measurement between 96 and 123 nm for a nominally 10nm thick sample Another experimental parameter to be accurately measured is the spread of focus which influences the probe simulation The effect of spread of focus is not only to broaden Fourier coefficient vs defocus curve but also to decrease the secondary peak intensity with respect to the main maximum A spread of focus of ∆ = 3nm introduces a systematic decrease of the secondary maximum intensity Additional parameters like objective aperture misalignment and sample tilt have been also considered In particular it is important to align the illumination aperture correctly a shift δα =12mrad can produce a decreases of the secondary maximum of 50 in the direction of shift However in the absence of other misalignment effects this aperture shift can be revealed by comparing the value of R between the two lt111gt directions in the experimental image The effect of the correctness of the sample tilt can have significant influence for errors in the alignment of the zone axis with respect to the direction of the electron beam larger than 2mrad and hence the appropriate accuracy has to be used during the experiments

168 V Grillo and E Carlino

Fig 3 Results of the thickness measurements by HAADF imaging (y-axis) compared with the projection method (x-axis) The dotted line corresponds to the case of exact coincidence of the two measurements The inset shows the through focal measurement and the fitting

Figure 3 shows the results of the thickness measurements by HAADF imaging (y-axis) in

comparison with the projection method (x-axis) Figure 3a points also to the influence of the illumination astigmatism on the accuracy of the present method in the STEM thickness measurements In fact in three cases indicated by thick squares 10nm of astigmatism in the HAADF experimental images produce measurements with errors comparable with the projection methods If the experimental conditions are accurately tuned as in the case shown in the inset with an illumination astigmatism better than 2nm the relevant datum marked by the ring in Fig 3a indicates how the present method allow a small uncertainty of about 2 nm in the specimen thickness measurement 4 Conclusions The analysis of the Fourier coefficients of a HAADF through focal image series can be used to evaluate the specimen thickness with good accuracy The sources of errors have been analysed indicating as most critical the presence of probe astigmatism the uncertainty in the illumination angle and the illumination aperture centring Nevertheless if the experiment is performed with accuracy the method has the advantage of giving accurate thickness measurement with the highest accuracy in the location of the zone of interest The specimen thickness measurement by this method allows an increase of accuracy in the chemistry assessment based on HAADF image contrast measurement References [1] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New

York [2] Voyles P M Grazul J L and Muller D A 2003 Ultramicroscopy 96 251 [3] Colli A Carlino E Pelucchi E Grillo V and Franciosi A 2004 J Appl Phys 96 2592 [4] Carlino E Grillo V 2005 Phys Rev B 71 235303 [5] Kelly P M Jostsons A Blake R G and Napier J G 1975 Phys Stat Sol A31 771 [6] Williams D B and Carter C B 1996 ldquoTransmission Electron Microscopyrdquo Plenum Press NY 678 [7] Grillo V and Carlino E 2006 Ultramicroscopy 106 603 [8] Bais G Crisofoli A Jabeen F Piccin M Carlino E Rubini S Martelli F and Franciosi A 2005

Appl Phys Lett 86 233107 and note 10 therein [9] Grillo V Verecchia P Rosato V and Carlino E 2005 Proceedings MCEM VII Portoroze

(Slovenia) 163

STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging A Rosenauer and M Schowalter Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee 1 28359 Bremen Germany Summary Z-contrast high-angle annular dark field image intensities were computed for ZnS and InSb We compare results obtained by the frozen phonon approximation with a thermal diffuse scattering (TDS) absorptive potential method We find that the TDS absorptive potential approach in the local approximation deviates by less than 4 from the frozen phonon approximation Finally we suggest a method to use absorptive potentials in the local approximation for computing the contribution from TDS to the image intensity in conventional parallel illumination mode

1 Introduction Scanning transmission electron microscopy (STEM) using a high-angle annular dark field (HAADF) detector allows chemically sensitive imaging Although the integrated intensity on the detector depends on the mean atomic number Z of atomic columns illuminated by a focussed probe this intensity shows a non-trivial dependence on specimen thickness and orientation due to dynamical electron diffraction Therefore quantitative interpretation of Z-contrast images requires comparison with simulations To this end we programmed a MATLAB software tool for simulation of Z-contrast images using Weickenmeier-Kohl absorptive scattering amplitudes [1] We implemented the frozen phonon (FP) approximation which has the highest accuracy as it approaches the exact solution if a large number of atomic thermal displacement configurations are used [2] Additionally we included the TDS absorptive potential method suggested by Allen et al [3] and Ishizuka [4] The TDS-absorptive potential is defined by [34]

( ) ( ) ( ) ( ) ( )[ ]( )intsum minus+minusminuslowastminusminus

minussdotπ minusΩσ

=detector

2MM-M-M-i2 deeee~ 2

n2

n2

n2

n ShSgShghSgS

hgrh-ggh

nn

nffV n

(1)

where n counts the atoms with positions rn within the crystal unit cell σ is the interaction constant Ω is the volume of the crystal unit cell g and h are reciprocal lattice vectors 222 nn uM π= is the Debye-

Waller factor which is connected with the atomic displacement un and nfg is the scattering factor of

atom n In the local approximation it is assumed that LVVV ghghgh minusminus =asymp ~~~0 [3] The local approximation

is especially useful in connection with the multislice (MS) method Using the TDS absorptive potential in real space given by [ ]LL VFTV hRhR ~)( 1minus

rarr= where R is a coordinate vector in the exit plane of slice m

with thickness ∆z the TDS intensity stemming from slice m is given as [34] RRR 2

m slice of plane centre

L d)()(Vint∆σ= BmTDS IzI

(2)

where IB(R) is the Bragg-scattered intensity on the centre plane of slice m The total intensity on the detector is obtained by summing up the contributions from all the slices The fastest computation can be carried out with the Bloch-wave (BW) approach because the integration along the electron beam direction z can be calculated analytically In this case one obtains [3]

[ ]( )

αγminusγπ

minusγminusγπασ= sumsumsumsum lowastlowast

lowast

lowast

g hgghh l

Tmm

ml

ml

l mlTDS CVC

itiI

~)(2

12exp (3)

170 A Rosenauer and M Schowalter

Fig 1 a) TDS absorptive potential for ZnS for a specimen thickness of 1224 nm given in units of V The dashed rectangle marks the STEM-unit cell with its upper left corner centered on a Zn atomic row b)Amplitude of the object exit wave function for a tunnel position as marked by the white arrow in a) The total intensity of the STEM-probe is one

In equation (3) t is the specimen thickness lα is the excitation amplitude of the l-th Bloch wave lγ is the corresponding anpassung and the lC g are the Bloch-wave coefficients The Bloch wave approach has the advantage that both local and non-local approximations for the TDS absorptive potential can be used 2 Z-Contrast HAADF Computations for ZnS and InSb To compare results obtained with different approximations we performed calculations for ZnS and InSb viewed along the [1-10] direction In both cases the acceleration voltage was 200 kV the spherical aberration constant of the lens was CS = 005 mm the aperture radius was 9 nm-1 and the defocus was -1293 nm ZnS was simulated with a lattice parameter a0=05409 nm and square root mean thermal displacements of 001166 nm for Zn and 001082 nm for S For InSb we used a0=064794 nm and mean thermal displacements obtained from density functional theory computations of 001253 nm (In) and 001164 nm (Sb) In the MS simulations the slice thickness was 20a Supercells consisting of 9x7 (ZnS) and 7x5 (InSb) STEM unit cells were used For the Bloch-wave computations reflections up to a spatial frequency of 33 nm-1 (ZnS) and 30 nm-1 (InSb) were taken into account For the FP method 20 configurations were averaged Figure 1a shows VL(R) for ZnS and Fig 1b the STEM-probe in the exit plane of the ZnS crystal with a thickness of 1224 nm Figures 2a and b compare intensities on the detector obtained for a probe put on the centre of the STEM unit cell The curves show that the local approximations using MS and BW yield almost identical results To obtain good convergence a large number of pixels are required for FP Figure 2a shows that a resolution of 54x74 pixels per STEM unit cell yields significantly larger intensities than 114x146 pixels The converged FP intensities are in very good agreement with MS or BW in the local approximation the intensities obtained by the non-local approximation (BW) are slightly larger For the MS approach the results are independent of the pixel resolution as long as the requirements given by the size of the detector are fulfilled Figures 2cd show the angle distribution of the intensity on the detector For MS contributions from Bragg scattering and TDS scattering can be separated revealing that the contribution from Bragg scattering becomes negligible for angles larger than 40 mrad Comparison of the total intensity with the results from FP shows a small deviation In the FP approximation multiple scattering of TDS electrons is fully taken into account leading to a redistribution of the intensity However this has only a small influence on the integrated intensity on the detector as becomes obvious from Figs 2ab Figures 2ef give line scans performed along the left vertical border of the STEM unit cell Due to long computation times only the FP result for the smaller resolution is given in Fig 2e

a) b)

STEMSIMndasha New Software Tool for Simulation of STEM HAADF Z-Contrast Imaging 171

Fig 2 a) Intensity on the detector vs specimen thickness for ZnS for the probe position in the center of the STEM-unit cell shown in Fig 1a The detector covered an area of 40-80 mrad The different curves correspond to the frozen phonon approximation (FP) the Bloch wave method in local (BW-AP local) and non-local (BW-AP non-local) approximations and the multislice (MS-AP local) method using TDS absorptive potentials The FP computations were performed with two different sizes of the STEM unit cell 54x74 pixels (FP 54x74) and 114x146 pixels (FP 114x146) b) shows the corresponding result for InSb For c) and d) the detector covering 40-150 mrad was subdivided into 20 rings and the intensity of each of the rings is plotted vs its inner angle for ZnS c) and InSb d) The curves show the total intensity obtained with frozen phonon (FP) or multislice using TDS-absorptive potentials (MS-AP total) together with the contributions from only Bragg scattering (MS-AP Bragg) or only TDS-scattering (MS-AP TDS) e) and f) depict line scans along the left vertical border of the STEM-unit cell shown in Fig 1a

172 A Rosenauer and M Schowalter

3 An Approximation to Compute TDS-Intensities for Parallel Illumination As the local approximation shows very good agreement with the FP approach we tried to use it to compute the TDS contribution to the image intensity in the parallel illumination mode of conventional transmission electron microscopy An exact solution which is computationally much more demanding has been given by Wang [2] Figure 3a shows the TDS intensity in the object exit plane at a specimen thickness of 20 nm obtained for InSb by the FP method by computing 500 wave functions corresponding to different thermal displacement configurations excluding Bragg reflections from the Fourier-transformed wave functions inverse Fourier transform and finally summing the resulting TDS intensities Fourier coefficient amplitudes of the total intensity are shown as dark curves in Fig 3d These Fourier coefficients significantly deviate from coefficients computed by a conventional MS approach where the TDS intensity is lost and cannot contribute to the total intensity shown in Fig 3c In our approach we apply the MS method and use the TDS scattered intensity generated at position R in the exit surface of slice m as given by the integrand in equation (2) A point source with intensity one is put at position R which is assumed incoherent with respect to all other point sources generating TDS intensity The image intensity )( RRG at position Rrsquo in the image plane generated by the point source in R is computed with the MS method The TDS intensity in the object exit plane at position Rrsquo then is given by

sum int=

∆=M

mBTDS GIzI

1

2

m slice of plane centre

L d)()()(V)( RRRRRR σ (4)

The resulting TDS-intensity for InSb is shown in Fig 3b which is in very good agreement with the TDS-intensity obtained by the FP method Fourier components of the total image intensity obtained by adding Bragg-scattered and TDS intensities are depicted as grey colored curves in Fig 3d The curves are in good agreement with the FP approximation and thus yield a significant improvement of the conventional MS approach References 1 Weickenmeier A and Kohl H 1991 Acta Cryst A47 590 2 Wang Z L 2003 Micron 34 141 3 Allen L J Findlay S D Oxley M P and Rossouw C J 2003 Ultramicroscopy 96 47 4 Ishizuka K 2002 Ultramicroscopy 90 71

Fig 3 a) TDS intensity in the object exit plane computed with the FP method as explained in the text b) TDS intensity computed by our local TDS-absorptive potential approach c) Fourier components of the image intensity obtained with the MS approach without taking into account TDS intensity d) Fourier components of the image intensity obtained by the FP method in comparison with our local TDS-absorptive potential approach

On the Role of Specimen Thickness in Chemistry Quantification by HAADF V Grillo E Carlino G Ciasca1 M De Seta1 and C Ferrari2 Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 KM1635 34012 Trieste Italy 1 Dipartimento di Fisica Universitagrave Roma Trersquorsquo via della Vasca Navale 84 00146 Roma Italy 2 IMEM CNR Parco area delle scienze 37A 43010 LocFontanini Parma Italy Summary We present a study of the influence of specimen thickness on the accurate quantification of specimen chemistry by scanning transmission electron microscopy and high angle annular dark field imaging It has been observed that the influence of uncertainty in specimen thickness produces errors in the chemical quantification which depend on the material system under study The work was performed by comparing experiments and simulations for different materials systems 1 Introduction Scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) imaging allows us to derive detailed structural information in a direct and intuitive manner [1] Furthermore the high sensitivity of HAADF image contrast to the average Z-number of the specimen atomic columns has allowed the use of this methodology to study the presence of single atoms of dopants in a crystal structure [2] or to derive the chemical profile of buried layers in a host matrix [3] The sensitivity of HAADF to the specimen chemistry makes it a powerful tool to measure the chemistry of materials at the highest spatial resolution by quantifying the contrast of atomic resolution images of the specimen oriented along a low index zone axis This feature is of particular interest when applied to the study of the composition of a film grown on a substrate by epitaxial techniques It should be pointed out that to achieve this result it is necessary to understand in detail the subtle role of the STEM specimen thickness on the HAADF image contrast as a function of the sample chemistry and for a given specimen orientation For example it has been recently demonstrated that in the case of the GaAsSi system with [110] zone axis the image contrast has a relatively weak and linear dependence on specimen thickness and the uncertainty on the specimen thickness can produce a maximum relative error of 6 in the evaluation of alloy composition Here it will be shown by HAADF experiments and simulations how the lack of knowledge on the specimen thickness can produce for some alloys severe errors in the chemistry measurement It will be also discussed why the specimen structure and chemistry influence the shape of the law of variation of the HAADF contrast as a function of the sample thickness For these aims SiGe multilayers grown on SiGe on insulator (SGoI) (001) substrate and InGaAs alloy grown on GaAs (001) have been studied in detail 2 Experimental Ten multiple quantum well (MQW) samples of Si080Ge020Si were grown by means of the ultra high vacuum chemical vapour deposition technique onto [001]-oriented Si080Ge020-on-insulator substrates provided by SOITEC [4] Si and Ge concentration were measured by X-ray photoemission spectroscopy (XPS) and X-ray diffraction (XRD) with an accuracy of 1 [5]

174 V Grillo et al

Three QW samples of InGaAs with In concentrations is of (5plusmn1) (12plusmn1) and (24plusmn1) respectively were grown by molecular beam epitaxy (MBE) on GaAs (001) [6] InGaAs compositions were measured by a combination of photoluminescence (PL) and XRD

The specimens for TEM and STEM experiments have been prepared in lt110gt cross section geometry by mechanical grinding and ion milling following a well established procedure The relevant experiments have been performed by using a JEOL 2010F equipped with field emission gun and objective lens with a measured spherical aberration coefficient Cs = (047plusmn001) mm capable of a resolution in HAADF of 0126 nm All the HAADF images were acquired by using an illumination convergence angle of 14mrad and a detector collection angle of 84 le 2ϑ le 224mrad HAADF images in lt110gt zone axis were acquired for different STEM specimen thicknesses Sample thicknesses were measured both by projections methods [7] and by comparing the simulated dependence of the HAADF image intensity on buffer thickness with the intensity measured on the experimental images

HAADF images were simulated by the multi-slice method in the frozen phonons framework [8] according to the experimental conditions for both structures in a range of thicknesses from 04 to 40 nm for SiGe and from 04 to 100nm for the InGaAs The role of the tetragonal distortion in the films was calculated and considered in the simulations For an accurate sampling in both real and reciprocal space 1024x1024 pixel potentials and an artificial 5x4 unit cell were used To tackle the large amount of calculations we used a parallel code named STEM_CELL specially designed for the HAADF image simulations [9] capable of reducing the computing time by orders of magnitude with respect to standard serial calculation Bloch Waves calculations were performed by JEMS [10] 3 Results Figure 1 shows two experimental images of the series acquired as a function of the STEM sample thickness along with the relevant intensity profile 1a) refers to SiGeSi MQW whereas 1b) refers to InGaAs The image intensity measured on SiGe ( Ialloy ) has been divided by the intensity measured on the relevant Si layer considered as reference layer ( Iref ) In a similar way the intensity measured on the InGaAs ( Ialloy ) layers has been divided by the intensity of the relevant GaAs ( Iref ) layer

Fig 1 Experimental HAADF images a) SiGe b) InGaAs along with the relevant intensity profile

Let C = Ialloy Iref The values of C have been calculated for all the experimental and simulated images and are reported in the curves in Fig 2 Dotted curves for SiGe in Fig 2a are the C values as

On the Role of Specimen Thickness in Chemistry Quantification by HAADF 175

Fig 2 Experimental (solid lines) and simulated (dotted lines) C values as a function of the sample thickness for a) SiGe and b) InGaAs

derived from the calculations whereas the solid squares represent the intensity measured on the experimental HAADF images The same criteria were used for InGaAs in Fig 2b 4 Discussion It should be noted that the simulated and the experimental values have a very good agreement as concerns the functional shape but are rigidly shifted along the y-axes This effect is more evident for the SiGe and for the InGaAs with 25 of In content Some recent results [11] obtained in our laboratory indicate how most of the disagreement observed in the absolute concentration measured by HAADF with respect to XRD and PL could be due to the static displacement in the alloy The curves in Fig 2a vary with monotonic behaviour in the considered thickness range whereas oscillations with periodicity of about 55 nm are observed for InGaAs with In = 25 and are due to dynamical interactions of the Bloch waves excited in the materials by the primary beam [12] In particular the JEMS calculations show that dynamical interactions occurred among the most excited block waves that in all the cases here considered are two 1s and one 5p type [13] It should be pointed out that the behaviour reported in Fig 2 is due to the ratio C = Ialloy Iref as the intensities simulated for GaAs and InGaAs separately do not show comparable features as demonstrated in Fig 3

Fig 3 Simulated HAADF intensities calculated by multi-slice method for InGaAs alloy (In = 25) and GaAs in the lt110gt zone axis

It is also worthwhile remarking that the presence of the oscillation in Fig 2 can produce a large error in InGaAs (∆x x = 25) and SiGe ( ∆x x = 22) whereas the uncertainty in the case of GaAsSi (∆x x = 6) is relatively small as the

oscillations are so smooth that they can be considered with a good approximation to be constant Other authors also reported the differences in the contrast variation as a function of the specimen thickness In particular a quasi-linear behaviour was observed in GaAsAl [14] whereas an oscillatory behaviour was observed in InAsP [15] In the latter case the oscillations of the HAADF image intensity as a function of the thickness were simulated by considering the interaction of two 1s and one 5p Block states the same mostly excited in our case study It is evident as a result of the

176 V Grillo et al

reported work that a reliable chemistry measurement by HAADF imaging does require a reliable knowledge of the STEM specimen thickness 5 Conclusions STEM HAADF imaging coupled to the relevant computer simulations is a powerful tool to measure at high spatial resolution the chemistry of heterostructures buried layers interfaces etc in a wide range of thicknesses of the STEM specimen The thickness itself is an important parameter to be considered for reliable and accurate chemistry measurements In fact the dynamical interactions not negligible for relatively thick specimens could introduce strong oscillations in the intensity ratios measured in two close regions of the sample as a function of the specimen thickness The presence of these intensity oscillations without a reliable knowledge of the STEM specimen thickness can produce a large error in the relevant chemistry measurements The comparisons of simulations and experiments performed here indicate a very good agreement between the behaviour of calculated and measured data even if a systematic discrepancy of the chemistry measurements by HAADF with respect to the chemistry evaluation by XRD and PL have been observed for SiGe and InGaAs with an In content of 25 Some preliminary calculations indicate that such a discrepancy could be due to the presence of static displacements in the considered alloy Acknowledgements The authors wish to thank Fausto Martelli Silvia Rubini Giorgio Bais Laura Lazzarini Giovanni Capellini and Francesca Rossi for providing the specimens References [1] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New

York [2] Kaiser U Muller D A Grazul J L Chuvilin A and Kowasaki M 2002 Nature Materials 1 102 [3] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [4] Notargiacomo A Di Gaspare L Scappucci G Mariottini G Evangelisti F Giovine E and

Leoni R 2003 Appl Phys Lett 83 302 [5] Bocchi C Franchi S Germini F Baraldi A Magnanini R De Salvador D Berti M and Drigo

A V 1999 J Appl Phys 86 1298 [6] Rubini S Bais G Cristofoli A Piccin M Duca R Nacci C Modesti S Carlino E Martelli F

Franciosi A Bisognin G De Salvaddor D Sciavuta P Berti M and Drigo A V 2006 Appl Phys Lett 88 141923

[7] Williams D B and Carter C B 1996 Transmission Electron Microscopy Plenum Press New York 630

[8] Kirkland E J 1998 Advanced Computing in Electron Microscopy Plenum Press New York [9] Grillo V and Carlino E 2005 Proceedings of MCM VII Portoroz 163 [10] Stadelmann P A 1987 Ultramicroscopy 21 131 [11] Grillo V and Carlino E to be submitted to Phys Rev Lett [12] Metherell A J F 1976 Diffraction of electrons by perfect crystals in Electron Microscopy in

Materials Science Ed U Valdregrave and E Ruedl ndash EUR 5515e Brussels and Luxemburg [13] Buxton B F Loveluck J E and Steeds J W 1978 Phil Mag A 38 259 [14] Anderson S C Birkland C R Anstis G R and Cockayne D J H 1997 Ultramicroscopy 60 83 [15] Liu C P Preston A R Boothroyd C B and Humphreys C J 1999 J Microsc 194 171

Accurate and Fast Multislice Simulations of HAADF Image Contrast by Parallel Computing E Carlino V Grillo and P Palazzari1 TASC-INFM-CNR National Lab Area Science Park SS 14 Km 1635 34012 Trieste Italy 1 ENEA and Ylichron Srl Casaccia Research Centre Computing and Modelling Unit Via

Anguillarese 301 00123 S Maria di Galeria (Roma) Italy Summary A new method for fast and accurate multislice calculation in the frozen-phonon approximation for high angle annular dark field scanning transmission electron microscopy images is presented The improvement with respect to the existing approaches is in a strong reduction of the time necessary for image contrast simulation without losing accuracy The method is based on the development of optimized parallel computer codes for the multislice calculations in the frozen-phonon approximation 1 Introduction Transmission electron microscopy (TEM) has been widely used in the study of solids at the highest spatial resolution Computer simulations of TEM experimental results have been often used to understand and to quantify the information contained in images and spectra In particular phase contrast high resolution TEM (HRTEM) benefits from the development of fast and accurate simulation routines allowing one to understand the complex structure of the relevant interference fringes due to the dynamical interactions of several Bloch states excited in the specimen by the primary electrons A further direct approach to the imaging of the structure of a specimen at atomic resolution is given by scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) imaging [1] STEM HAADF imaging gives a true structural image of the specimen and the positions of the atomic columns in the specimen projected on the observation plane can be easily and intuitively interpreted by looking at the typical bright spots of the atomic resolution HAADF image Furthermore contrary to HRTEM the main features of the HAADF image are maintained in a large range of variation of the thickness of the STEM specimen ranging from a few nm to hundreds of nm One of the substantial differences between HRTEM and HAADF imaging is due to the mainly incoherent nature of the image formation process of the latter The lack of coherent effects in the image allows one to establish the position of an interface in a material with the highest spatial resolution by a proper set up of the HAADF experiment [2] Furthermore the intensity in the HAADF image is strongly related to the atomic number of the species contained in the specimen allowing one to distinguish between two different atomic columns with different average atomic number For this reason HAADF imaging is also known as Z-contrast imaging The sensitivity to the chemistry allows one to derive at atomic resolution the distribution of secondary species in a host matrix by properly setting the experimental HAADF conditions [3]

Despite the capability of HAADF to give important information in a direct and intuitive way quantitative important details of the specimen like for example the bond configuration at an interface [4 5] or the quantitative chemical profiling at atomic resolution [6] can also be obtained but requires accurate simulation of both Bragg reflections and incoherent thermal diffuse scattering (TDS) in the crystal The importance of the TDS is recognized also in HRTEM image formation [7 8] but has a definitive influence in the HAADF image formation as most of the contribution to the image intensity is due to the further interaction of the Bragg-scattered electrons

178 E Carlino V Grillo and P Palazzari

with the phonons of the specimen In fact the electrons scattered at high angles in the crystal have a larger cross section for scattering by phonons [9] Atomic resolution HAADF image contrast can be simulated by Bloch-wave [10] methods or multislice approaches [11] The Bloch-wave based methods are relatively fast and represent a good tool to understand basic and subtle details of the physics of the interactions involved in the HAADF image formation process TDS is not naturally included in the calculation based on Bloch-wave approaches even though several authors have developed Bloch-wave methods which try to take into account the effect of TDS [12 13 14] Furthermore Bloch-wave methods are not convenient whenever a non-periodic object is to be considered as in the case of the description of a defect or an interface in the material In this case and in the case of HRTEM image simulation the use of multislice methods has been recognized as more effective TDS effects have been introduced into multislice calculations by several authors [15 16 17] and recently a new method for calculating HAADF image contrast by real-space multislice theory has been presented Nevertheless STEM HAADF image contrast simulation by multislice with the ldquofrozen-phononrdquo model proved to be the most accurate simulation method [18] Unfortunately the latter approach is extremely time-consuming and image simulation for models with several atoms as in the case of large supercells or realistic STEM specimen thicknesses becomes practically not feasible as it could require hundreds of hours of computing time Indeed calculation of the image contrast by the multislice method in the frozen-phonon approximation has a structure which is naturally parallel and here it will be shown how the multislice codes of Kirkland [19] can be modified and written for parallel computing reducing the time necessary for accurate and reliable HAADF image simulation by orders of magnitude 2 Experimental The multislice approach in the ldquofrozen-phononrdquo model is based on the assumption that the high-energy electrons are so fast that each electron sees a snapshot of the atomic thermal movement The calculated intensity is therefore the incoherent superposition of the images formed for each atomic configuration in the range of atomic positions given by the Debye-Waller factors According to test simulations and in agreement with data in the literature [20] 20 configurations are necessary to converge to a precision better than 2 in simulating HAADF image contrast As a consequence multislice simulations have to be repeated for each atomic configuration and for each point of the image Hence as the sample thickness reaches realistic values for a comparison with the experiments or the sampling requirements become more stringent to obtain good accuracy in the simulations the computing time tends to become very large of the order of hundreds of hours This problem can be overcome by noting that the structure of HAADF image simulation using the multislice method in the ldquofrozen-phononrsquo approach is naturally suited to be parallelized Dividing the calculation in an appropriate way among a cluster of CPUs achieves the highest accuracy in a reasonable time

Parallel calculation can be used for two steps of the HAADF image simulation The first is related to the use of the frozen phonon model In fact as mentioned above the intensity has to be calculated for about 20 configurations and summed incoherently Each atomic configuration is independent on the others and hence each CPU can calculate the effect of each configuration This ldquoembarrassing parallelrdquo scheme [21] allows the adoption of a form of parallelism based on the MPI message passing library and its free implementation MPICH [22] The MPICH message passing protocol exchanges arrays of data among different CPUs running the same program The simulations for each configuration are performed separately on the different processors When all simulations are completed the final results are collected As a result the computing time scales linearly with the number of CPUs with a maximum advantage when all desired runs are assigned to a CPU

A second parallelization can be applied to the simulations for each pixel in the HAADF image The image is divided into different sets of non-consecutive pixels and each pixel is assigned to a

Accurate amp Fast Multislice Simulations of HAADF Image Contrast by P Computing 179

CPU The CPUs fill the relevant part of the matrix with the simulation results Hence the data are transferred by means of the MPI protocols to a single processor that reconstructs as a puzzle the final image This scheme has the advantage of being scalable on the number of pixels of the image and a large number of CPUs allows a strong reduction in the computing time especially if the size of the image is chosen to have a number of points equal to the number of CPUs available In the next section the results of simulations performed by using a computer with a cluster of 16 Intel(R) Xeon(TM) 64 bit CPUs running at 306GHz with 1 Gbyte RAM for each processor will be compared with the corresponding simulation performed by serial calculation The graphical interface for the computer simulation named STEM_CELL [23] has also been realized in our laboratory 3 Results Due to the scheme of the parallel computing the reduction of the calculation time is in principle as large as determined by the number of CPUs available It is worth noting however that even a small cluster of CPUs makes the computer simulation of HAADF images of complex structures of practical use whenever extensive calculations are necessary to quantify the structural and chemical information contained in the HAADF experiments Figure 1 shows the HAADF image simulation of a nano-particle of ZnSe by using the cluster of 16 CPUs The size of the multislice calculation is 1024x1024 pixels for 10 different atomic configurations The simulation by parallel code takes 450 min whereas a standard calculation requires 6500 min Further examples of comparison of parallel and serial calculation are reported in the Table

Fig 1 Simulation of ZnSe particle by parallel code On the left the cluster model is shown slightly off zone axis whereas on the right the simulation in lt110gt zone axis is displayed

Structure ZnSe nanoparticle

(diameter=26 nm) Stacking fault in GaAs lt110gt z a

GaAs lt110gt z a

Stacking fault in GaAs lt110gt z a

Number of pixels in the final image

76x88 pixels 80x56 pixels 24x32 pixels 80x56 pixels

Number of slices 13 slices 4 slices 52 slices 52 slices

Optimized serial computing

6500 min 155 min 590 min 2030 min

16 CPUs parallel computing

450 min 10 min 40 min 175 min

Table1 Comparison between parallel and serial computing for different structures

180 E Carlino V Grillo and P Palazzari

4 Conclusions Simulation of HAADF images by the multislice method in the frozen phonon framework is recognized as the most accurate approach to quantify the contrast but it requires long computing time Here we present a parallel code for the multislice calculation which allows the computer time to scale linearly with the size of the computer cluster available Moreover the examples shown here demonstrate how complex structures can be successfully calculated with a strong reduction of the computing time even by using a small cluster of 16 CPUs This approach makes possible extensive simulations required to deduce and quantify the structural and chemical information obtainable by HAADF imaging References [1] Pennycook S J 2002 Advances in Imaging and Electr Physics 123 Academic New York 140 [2] Diebold A C Foran B Kisielowsky C Muller D A Pennycook S J Principe E and Stemmer S

2003 Micros Microanal 9 493 [3] Carlino E Modesti S Furlanetto D Piccin M Rubini S and Franciosi A 2003 Appl Phys

Lett 83 662 [4] Yan Y F Al-Jassim M M Chisholm M F Boatner L A Pennycook S J and Oxley M 2005

Phys Rev B 71 041309 [5] Carbone L Kudera S Carlino E Parak W J Cingolani R and Manna L 2006 J Am Chem

Soc 128 748 [6] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [7] Croitoru M D Van Dyck D Van Aert S Bals S and Verbeeck J 2006 Ultramicroscopy 106

933 [8] Rossouw C J Allen L J Findlay S D and Oxley M P 2003 Ultramicroscopy 96 299 [9] Kittel C 1996 lsquoIntroduction to Solid State physicsrsquo 7th ed Wiley New York 81 [10] Metherell A J F 1976 lsquoDiffraction of Electrons by Perfect Crystalsrsquo in Electron Microscopy

in Materials Science Eds U Valdrersquo and E Ruedl ndash EUR 5515e Brussels and Luxemburg [11] Goodman P and Moodie A F 1974 Acta Cryst A30 280 [12] Pennycook S J and Jesson D E 1991 Ultramicroscopy 37 14 [13] Allen L J and Rossouw C J 1993 Phys Rev B 47 2446 [14] Watanabe K Yamazaki T Hashimoto I and Shiojiri M 2001 Phys Rev B 64 115432 [15] Wang Z L 1999 Phil Mag B 79 37 [16] Ishizuka K Ultramicroscopy 2002 90 71 [17] Dinges C Berger A and Rose H 1995 Ultramicroscopy 60 49 [18] Xu P Loane R F and Silcox J 1991 Ultramicroscopy 38 127 [19] Kirkland E J 1998 in lsquoAdvanced Computing in Electron Microscopyrsquo Plenum Press New

York [20] Loane R F Xu P and Silcox J ActaCryst 1991 A 47 267 [21] httpwwwcsmuozau498notesnode40html [22] httpwww-unixmcsanlgovmpimpich and references therein [23] Grillo V Verecchia P Rosato V and Carlino E 2005 Proc VII MCM Josef Stefan Inst 163

Z-contrast STEM 3D Information by Abel transform in Systems with Rotational Symmetry V Grillo E Carlino L Felisari L Manna1 and L Carbone1 Laboratorio Nazionale TASC INFM-CNR Area Science Park SS 14 Km 1635 34012 Trieste Italy 1 National Nanotechnology Laboratory of CNR-INFM 73100 Lecce Italy Summary Image tomography of clusters in transmission electron microscopy is a recent and highly interesting field of study for its capability to explore the 3-dimensional shape and the structure of nanoparticles Z-contrast imaging is an ideal technique for nanometre scale tomography and could give also 3-dimensional information on the variation in the chemical composition within the particles Unfortunately 3-dimensional reconstruction with high-resolution information requires time-consuming series of high-resolution images and long data analysis times Here it is shown how 3D reconstructions can be obtained from a single high resolution Z-contrast image if the particle under study has a rotational symmetry In this case the reconstruction can be performed by using a procedure based on the Abelrsquos integral Here the method is explained and applied to simulated and experimental images of core-shell nanocrystals showing the capability of detecting compositional variation as distinct from particle thickness variation 1 Introduction Scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) based tomography is at the state of the art the ultimate tool for the chemical characterisation of complex nanostructures [1] As an effect of the instrumental and methodology improvements tomography is reaching nanometre size accuracy allowing the study of structure and chemistry of the particles in three-dimensional space

STEM experiments for the tomography of a particle require the acquisition of images of the same object seen in different projections and hence special high tilt specimen holders are necessary requiring also instruments with wide gap objective lens pole pieces Furthermore the number of images increases as the resolution requirement of the 3-dimensional reconstruction increases [2] and also the reconstruction of the final 3-dimensional model is very time consuming Despite these inconveniences the chemical and structural information contained in the final model allows an understanding of the particles properties not easily reachable in other ways

However if some a priori information on the structures is known it is possible to avoid a large part of the experimental and calculation burden In particular if the particle has rotational symmetry a 3-dimensional reconstruction can be performed from a single high resolution HAADF image by using an approach based on the Abel inversion formula [3] This equation has been also successfully applied to solve inversion problems in different fields like for example electrodynamics and plasma physics [4]

In this preliminary work the reconstruction technique has been applied to experimental and simulated HAADF-STEM images of core-shell particles showing the capability to deconvolve the thickness and chemistry information contained in the Z-contrast images

182 V Grillo et al

2 Method Using a set of reference axes with y coordinates along the beam direction and z-axis parallel to the particle rotation-symmetry axis the image intensity can be written as [5][6]

I = Z αδ(p minus p ky minus yk )sum[ ]int otimes J( p proy) 1)

where p = x sdot ˆ x + z sdot ˆ z and the subscripts lsquokrsquo and lsquoprorsquo indicate the atomic position of the kth atom and the probe position The parameter α ranges between 16 and 21 depending on the atomic species [7] If the minimum detail to be observed is larger then the probe size and the probe intensity is normalized to 1 equ1 for thin samples and a perfectly incoherent HAADF imaging process

becomes intminus

=t

t

dyrzxI )()( φ where dxdzrrr

cellunit celli

iint sum

minus

Ω=

isin

αδφ Z)(1)( Ω is the volume of

the unit cell and i the index for the atoms in the crystal cell As a consequence of the symmetry the continuum approximation of )(rφ is rotationally symmetric and can be written as )( zρφ

where 22 yx +=ρ Hence )( zxI is the projection along z of the density )( zρφ The aim

of the reconstruction problem is to find )( zρφ starting from )( zxI Abel in 1826 gave the solution to this kind of problem For each value of y the radial distribution is given by

int minuspartpart

minus=1

22max

)(1)(P RX

dXX

zXIzPπρ

φ where X=xρmax max ρρ=R and ρmax is a cut-off

distance beyond which the density goes to zero It should be noted that in this method only half of the image is used to create a three dimensional model A generalisation of this method to include a small asymmetry in the rotational axis will be discussed in a further coming article [8] A routine for the numerical calculation [9] of )( zρφ has been implemented in the STEM_CELL program [10] To evaluate properly even an abrupt density change in the experimental profile it was first approximated with an appropriate fitting function and hence inverted 3 Experimental The specimens for STEM HAADF experiments were prepared by depositing onto a Cu grid covered with a thin C film a drop of toluene in which core-shell CdSeCdS nanocrystals were dispersed [11] The relevant experiments have been performed using a JEOL JEM2010F equipped with a field emission gun and an objective lens with a measured spherical aberration coefficient Cs = (047plusmn001) mm capable of a resolution in HAADF of 0126 nm All the HAADF images were acquired by using an illumination convergence angle of 14mrad and detector collection angle of 84 le 2ϑ le 224mrad The HAADF image simulations were performed by using a parallel code developed in our laboratory for multislice calculation with the frozen phonon model running on a computer with a cluster of 16 CPUs Intel(R) Xeon(TM) 64 bits CPU 306GHz with 1 Gbit RAM for each processor The routine is embedded in the graphical interface of STEM_CELL 4 Results and Discussion The approach has been tested on a simulated structure of a core-shell CdSeCdS nanocrystal and hence applied to experimental images The test on the simulated image is shown in Fig 1 Fig 1a is the geometry of the STEM experiment with the CdSe in [01-10] zone axis In the inset of

Z-contrast STEM 3D Information by Abel transform in Systems with RS 183

Fig 1b the model of the core-shell structure is shown with the particle of CdSe buried towards one of the ends of the CdS nanorod

Fig 1 a) Geometry of the HAADF experiment b) Simulated HAADF image The inset shows the model of the core-shell nanocrystal c) Radial profile obtained by fitting and reconstruction

As a first step the atomic resolution HAADF image in Fig 1b was filtered to remove the

atomic fringes as they violate the rotational symmetry The image intensity profile was then fitted with a function and Abel transformed in order to obtain the reconstructed density profile in Fig 1c It should be noted that the procedure gives a distribution of density as expected from the considered particle 3 has a higher density as it corresponds to the CdSe particle embedded in the CdS matrix and hence with higher density with respect to the region 2 where only the CdS is present and finally the region of the vacuum

Fig 2 a) Experimental HAADF image of one CdSeCdS core shell particle b) 3D model obtained by a complete series of radial functions c) Normalized radial density function obtained by Abel transform with fitting (solid line) and without fitting (dotted line) in the 3 regions marked in (a) The radial function as derived from the simulated image in Fig 1c is also plotted for comparison

Figure 2a is the experimental HAADF image in the [01-10] zone axis of a CdSeCdS core

shell particle The presence of the CdSe core with average Z number higher with respect to the CdS particle produces the brighter area in the left-part of the nanorod The HAADF image was filtered to remove the atomic fringes and the intensity background was subtracted Hence intensity

184 V Grillo et al

profiles along the x-axis averaged along z-axis were obtained in the three windows marked in Fig 2a The density profiles were obtained in the three regions marked by direct application of the Abel transform and by applying the transform after the fitting procedures The results are shown in Fig 2c together with the profile measured on the simulated structure In the experimental profiles 1 and 2 the presence of the core CdSe particles is well detected but its size is different It is worthwhile to remark that the profile in the CdSe region is in all cases relatively constant in spite of the variation of the particle thickness Hence the approach clearly allows the deconvolution of the roles of thickness and chemistry in the HAADF image The size differences between the profiles 1 and 2 are due to the shape of the particle The results have been used to reconstruct the 3-dimensional particle shown in Fig 2b yielding a bullet shape for the CdSe particle The irregularities in the shape of the particle could be due to spurious effects related to noise in the experimental image 5 Conclusions Here we have shown the preliminary results of a method of 3-D reconstruction of a nanoparticle based on the use of a single HAADF image and deriving the object density from the image intensity by applying Abelrsquos integral The method requires application to the HAADF image of a particle with rotational symmetry and allows the evaluation of the density of the particle whilst deconvolving the role of the thickness in the HAADF image intensity from the role of the chemistry of the object However spurious effects due to experimental noise can affect the quality of the reconstruction The approach has been tested on simulated images and applied to experimental HAADF images Further improvement of the fitting procedures could allow a refinement of the procedure which is at present also limited by the need for rotational symmetry in each analysed particle Some efforts are now being dedicated to partly attenuate the symmetry requirement of the approach References [1] Arslan I Yates T J V Browning N D and Midgley P A 2005 Science 309 2195 [2] Arslan I Tong J R and Midgley P A 2006 Ultramicroscopy 106 994 [3] Abel N H 1826 Journal fuumlr die Reine und Angewandte Mathematik 1 153 [4] Tomassini P and Giulietti A 2001 Optics Communications 199 143 [5] Voyles P M Grazul J L and Muller D A 2003 Ultramicroscopy 96 251 [6] Carlino E and Grillo V 2005 Phys Rev B 71 235303 [7] Pennycook S J 2002 Advances in Imaging and Electron Physics 123 Academic Press New

York [8] GrilloV and Carlino E to be submitted [9] Deutsch M and Beniaminy I 1992 Appl Phys Lett 41 27 [10] Grillo V Verecchia P Rosato V and Carlino E 2005 Proceedings MCEM VII Portoroze (Sl)

163 [11] Talapin D V Koeppe R Goetzinger S Kornowski A Lupton J M Rogach A L Benson O

and Feldmann J and Weller H 2003 Nano Letters 3 1677

Quantifying the Top-Bottom Effect in Energy-Dispersive X-Ray Spectroscopy of Nanostructures Embedded in Thin Films T Walther Dept Electronic amp Electrical Eng University of Sheffield Mappin Street Sheffield S1 3JD UK Summary Energy-dispersive X-ray spectroscopy is a standard tool for microanalysis in transmission electron microscopy If the structure to be analysed is much smaller than the sample thickness it becomes important for quantification whether it is located in the top of the foil near to the X-ray detector or at the bottom of the foil where absorption and fluorescence effects will be stronger This so-called top-bottom effect is investigated in detail for InGaAsN quantum wells embedded at different depths within a GaAs thin foil specimen by modelling electron scattering and X-ray generation using Monte Carlo simulations A new procedure is presented to determine the distance of an embedded feature from the top surface of the foil which is a prerequisite for more accurate quantification of its chemistry 1 Introduction Energy-dispersive X-ray spectroscopy (EDXS) is a standard tool for chemical microanalysis in a transmission electron microscope (TEM) Its accuracy depends on the knowledge of the relative X-ray yields of the corresponding elements (Z-effect) absorption (A) and fluorescence (F) which are commonly modelled using the so-called ZAF-correction for a given specimen thickness If however the structure to be analysed is much smaller than the sample thickness two other factors become relevant but are often neglected because they are usually unknown parameters firstly the position of the feature in the foil plays a rocircle as X-rays going through a thicker part of the sample before they reach the detector will be more strongly absorbed This means that without or with improper absorption correction a detector placed above the specimen plane (which is the common set-up) will measure the composition more accurately if the feature is located closer to the top surface while a detector placed below the specimen would receive a correspondingly lower signal from the feature Usually only one detector above the specimen plane is available and the further the feature of interest is located within the foil towards the bottom surface the fainter the X-ray signal from it will appear This top-bottom effect is investigated in the following for compound semiconductors based on gallium arsenide (GaAs)

Secondly if the structure to be analysed is confined also laterally to an extent smaller than the amount of probe broadening within the foil then the peak composition near its centre will apparently be the smaller the deeper the structure is located within the foil independent of where the detector is placed The effect of electron beam broadening for such embedded nanostructures has been modelled extensively [1] eg for compound semiconductors in [2] 2 Monte Carlo Simulations Monte Carlo simulations of the electron scattering and X-ray generation as a function of foil thickness have been carried out using the freely available software code CASINO (version 242) introduced in [3] with default settings as described in the user manual using tabulated values of the Mott scattering cross-section [4] and new calculations of the stopping power at low energies with a cut-off at 50eV [5]

The set-up of a model specimen for simulations consisted of successive layers of from top to bottom 1nm carbon then GaAs of thickness d InxGa1ndashxAs1ndash-yNy of thickness z GaAs of thickness

186 T Walther

200nm ndash d and finally another 1nm of carbon at the bottom surface The total sample thickness was thus 202nm+z The X-ray detector was assumed to form a take-off angle with the top specimen plane of either 40deg or 90deg (vertical take-off) Another adjustable parameter considered was the high voltage U of the primary electron beam The geometry is sketched in Fig 1 and a sketch of the angles and distances considered in the following mathematical treatment is provided in Fig 2

Fig 1 Basic sketch of set-up geometry Fig 2 Sketch of angles and distances

In025Ga025As0475N0025 bulk

0

10

20

30

40

50

60

70

80

0 50 100 150 200 250 300specimen thickness t [nm]

inte

nsity

[cou

nts]

As_KAs_LGa_KGa_LIn_KIn_LN_K (x10)

20nm InN thin film in 200 nm GaAs

0

10

20

30

40

50

60

70

80

0 50 100 150 200depth d [nm]

inte

nsity

[cou

nts]

As_KAs_LGa_KGa_LIn_K (x10)In_L (x10)N_K (x10)

Fig 3 Generation of characteristic X-ray counts Fig 4 Simulation of characteristic X-ray yield in a bulk In025Ga025As0475N0025 sample simulated from a sample 222nm thick (1nm C d nm GaAs for different specimen thicknesses 20nm InN 200nmndashd GaAs 1nm C cf Fig 1)

Figures 3 and 4 both show the results from Monte Carlo simulations for 200kV acceleration voltage and 40deg take-off angle The curvatures of the signals from the low energetic X-rays (As and Ga L-lines and N K-line) in Fig 3 can be explained by self-absorption and are usually automatically taken into account in many commercial quantification programs Usually one has to provide an estimate of density and thickness which is then iterated to provide an optimum fit to relative line intensities stored in libraries The decrease in Fig 4 of the intensities of the In L-line (ndash13 from left to right) and the N K-line (ndash92 from left to right ie a drop to 113) is also due to absorption but cannot be corrected for by such a procedure because the top-bottom effect depends on the depth of the thin layer within the sample for fixed sample thickness Note the weak decrease in In L-line intensity is matched by corresponding increases of As and Ga L-lines due to fluorescence excitation if the GaAs layer between the InN and the detector is sufficiently thick Also note the strong exponential decay of the N K-line intensity This shows quantification of the In L-line signal will be inaccurate Quantification of the N signal will fail completely

Quantifying the Top-Bottom Effect in EDXS of Nanostructures in Thin Films 187

3 Determination of Depth Within a Specimen Foil Figure 5 depicts plots of the variation of the X-ray intensity of lines of elements for the hypothetical case of a 2nm thin pure layer of the corresponding element at different depths d within 200nm of GaAs All elements that can occupy substitutional lattice sites in the IIIV semiconductor GaAs and are technologically relevant have been included The decay due to absorption of the GaAs overlayer has been modelled for U=200kV and θ=90deg but the form is universal For other acceleration voltages the vertical scaling would change but not the decay length for other angles than vertical take-off the effective thickness would be enlarged by 1sinθ and the apparent decay length thus shortened by a factor of sinθ I have then performed linear regression analysis to the plots of lnI(d) and determined the attenuation wavelength λ in the formula I = A exp(ndashDλ) (1) The wavelengths and linear correlation coefficients obtained are listed in Table 1 The two hard X-ray lines In K and Sb K are not attenuated at all but stay at constant intensity over the whole range studied here

2nm thin film in 200 nm GaAs (x10)

0123456789

101112

0 50 100 150 200depth d [nm]

inte

nsity

[cou

nts]

Al_KP_KSb_KSb_LIn_KIn_LN_K

Fig 5 Plot of signal attenuation for a 2nm thin Table 1 List of attenuation wave- layer of a pure element such as N Al P In or lengths λ obtained from performing Sb if there is GaAs of thickness d between it linear regression analysis to the log and the X-ray detector Take-off angle θ=90deg naturalis (ln) of the plots of Fig 5 From Fig 2 it can be seen that the distance the X-rays from the thin film have to travel through the sample to reach the detector is given by D=dsin θ so for two different take-off angles θ1 and θ2 D1 sin θ1 = d = D2 sinθ2 (2) From this one obtains for the path length difference D2ndashD1 = d (1sinθ2ndash1sinθ1) (3) Fig 5 and Table 1 prove that the X-ray intensity I for a given element decays exponentially with the effective path length D with some attenuation wavelength λ ie for two measurements at different take-off angles In = A exp(ndashDnλ) (n=12) (4)

Element Line λ [nm] R2

N K 122plusmn1 ndash099996Al K 319 ndash099998P K 618 ndash099989In K infin In L 2323 ndash099897Sb K infin Sb L 3009 ndash099865

188 T Walther

The intensity ratio then is given by I1I2 = exp[(D2ndashD1)λ] (5) This can be easily solved for D2ndashD1 = λ ln(I1I2) (6) Comparing equations (3) and (6) can finally be solved for the depth in the foil d d = λ ln(I1I2) (1sinθ2ndash1sinθ1) (7) A little bit of trigonometry then yields d = 4λ ln(I1I2) sin[(θ1ndashθ2)2] cos[(θ1+θ2)2] [cos[(θ1ndashθ2)]ndashcos[(θ1+θ2)] (8)

This last equation is particularly useful as it allows us to calculate the depth of a feature in a foil from the above attenuation wavelength and the X-ray intensity ratio at two different take-off angles whose difference (θ1ndashθ2) can be directly read off from the goniometer and whose average value (θ1+θ2)2 can be obtained from the manufacturer of the X-ray detector With the knowledge of d the absorptionfluorescence correction for the top-bottom effect can be directly taken from Fig 5

For the special case that the attenuation is weak (hard X-rays) a Taylor expansion of the term

exp(ndashDλ) asymp 1ndashDλ (9) shows that equation (6) becomes D2ndashD1 asymp λA (I1ndashI2) (10) which leads to a linear fit of the I(d) plot for weak attenuation with a slope of ndashΑλ (eg for the P K-line In L or Sb L in Fig 5 linear correlation coefficients of ndash099933 ndash099889 and ndash099863 respectively are obtained This is justified because typical specimen thicknesses in TEM are an order of magnitude smaller than the corresponding attenuation wavelengths calculated in Table 1) 4 Discussion It has been shown that absorptionfluorescence corrections to energy-dispersive X-ray micro-analysis for nanostructures embedded deep within a specimen (top-bottom effect) are important for accurate quantification in particular for soft X-rays This has been applied to model compound semiconductor systems A new procedure based on a comparison of X-ray count rates at two different take-off angles has been suggested It has been shown that this will improve quantification as it is possible to analytically calculate precisely the depth of the feature within the foil ie its distance from the top specimen surface References 1 Goldstein J I Costley J L Lorimer G W and Reed S J B 1977 Scanning Electr Microsc 1

Proc AEM (IIT Res Inst Chicago IL USA) 315 2 Crozier P A Catalano M and Cingolani R 2003 Ultramicroscopy 94 1 3 Hovington P Drouin D and Gauvin R 1997 Scanning 19 1 4 Drouin D Hovington P and Gauvin R 1997 Scanning 19 20 5 Hovington P et al 1997 Scanning 19 29

Effect of Temperature on the 002 Electron Structure Factor and its Consequence for the Quantification of Ternary and Quaternary III-V Crystals T J Titantah D Lamoen M Schowalter1 and A Rosenauer1

Theoretical study of matter Universiteit Antwerpen Groenenborgerlaan 171 2020 Antwerpen 1 Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee1 28359 Bremen Germany Summary In this work we study the effect of the relaxation of the atomic positions and the lattice parameter of mixed Ga1-xInxAs systems on the electron atomic scattering amplitudes (ASA) The ASAs are shown to vary strongly with lattice parameter The resulting relationship between ASA and lattice parameter is then used in a finite temperature zero pressure Monte Carlo relaxation of the mixed crystal The consequence of the use of this modified atomic scattering amplitude (MASA) for the calculation of the 002 structure factor of ternary (Ga1-xInxAs ) and quaternary (Ga1-xInxAs1-yNy) semiconductors is a reduction of the structure factor leading to an increase in the In concentration at which the structure factor vanishes This method directly includes the effect of temperature on the ASA and on the structure factors and therefore does not require an explicit knowledge of the Debye-Waller factor 1 Introduction The quantification of Ga1-xInxAs crystals using transmission electron microscopy (TEM) requires an accurate knowledge of the structure factors (SFs) which are obtained from the atomic positions and the scattering amplitudes (ASAs) of the atoms of the system Several sets of ASAs have been considered ranging from the isolated atom values [1] to those obtained from density functional theory (DFT) calculations on the binary crystals [2] The latter set of parameters is more accurate since it accounts for charge redistribution in the system as a result of bonding In addition the static atomic displacement which is present in these mixed crystals as a result of atoms with different atomic radii sharing a mixed sub-lattice also influences the SF [3] These atomic displacements were first observed by Mikkelsen and Boyce in 1983 [4] using the extended x-ray absorption fine structure (XAFS) and have been further confirmed by other workers [5] By incorporating static atomic displacements via the Keating [6] valence force field (VFF) Rosenauer et al [2] used DFT-based modified atomic scattering amplitudes (MASA) to calculate the 002 SF of strained and bulk Ga1-xInxAs crystals for various In concentrations These 002 weak reflections for mixed crystals are known to contribute to most of the high resolution TEM images and to be composition sensitive and therefore useful in composition analysis [7]

So far little or no attempt has been made to include temperature effects in the ASAs This effect can be included in the SF through the Debye-Waller factor [8] The knowledge of this factor for III-V binary and mixed crystals is very scanty Even if these factors are known accurately for the binary systems they cannot be used in the mixed systems because they would be influenced by the chemical environment The few experimental [9] and theoretical [910] data that exist differ greatly from each other Even when experimental values exist they are available only for a very limited temperature range

Using the DFT-based MASAs Rosenauer et al [2] calculated the 002 electron SF for bulk Ga1-xInxAs crystals and found that when the static displacements were not accounted for it vanishes for an In content of 175 but when these displacements were included the SF vanishes at 164 These numbers are quite close to the experimentally reported values of 17 [11] and

190 T J Titantah et al

18 [12] as compared to the values of 224 and 222 [3] obtained when the isolated atom ASAs [1] are used without and with the inclusion of static displacements respectively However the underestimation of the more accurate result of 164 has been ascribed to the absence of temperature effects 2 Method In this paper we calculate the 002 SF of Ga1-xInxAs crystals accounting for temperature effects on the MASAs and subsequently on the SF The DFT calculations of the MASAs are performed using the all-electron full-potential-linearized augmented-plane-wave (LAPW) DFT code WIEN2k [13] This code partitions the unit cell into non-overlapping muffin-tin spheres and an interstitial region In the muffin-tin spheres the potential and the wave functions are described by a series of spherical harmonics and in the interstitial region they are described by plane waves The exchange and correlation energy is treated using the local density approximation [14] For our calculations muffin tin radii RMT of 20 bohr are used 63 k-points in the irreducible Brillouin zone and a plane wave cut-off of kmax=7RMT yielded converged MASAs The method of the extraction of the MASAs from the x-ray structure factor has been described in details in ref [2] We retained an acceleration voltage of 200 keV and considered the 002 MASAs

Fig 1 Left pair correlation function of DFT-relaxed Ga1-xInxAs systems Middle pair correlation function of MC relaxed Ga1-xInxAs systems Right bond length distribution

3 Results One may ask whether the atomic displacements do influence the ASAs in these mixed crystals To answer this question we relaxed an 8 atom supercell of Ga1-xInxAs (for x=025 05 and 075) using the DFT method The left panel of Fig 1 shows the nearest-neighbor pair correlation function for these systems A bimodal distribution of bond lengths is seen revealing Ga-As bond lengths that range between 243 Aring and 25 Aring while the In-As bond lengths show up between 258 Aring and 263 Aring These results are in very good agreement with XAFS measurements [45] and our recent calculations [15] using the Tersoff potential [16] which are reproduced in the middle and right panels of Fig 1 We calculated the MASAs of the 8 atoms supercells for the unrelaxed and relaxed atomic positions Relaxation only resulted to a decrease of 02 in the MASAs For the x=025 05 and 075 Ga1-xInxAs systems with relaxed atomic coordinates and for the binary counterparts

Temperature Effect on 002 ESF and its Quantification Consequence 191

x=0 and x=1 we performed DFT calculations in order to obtain the electron MASAs for a series of lattice parameters As Figs 2 and 3 show it should be noticed that the effect of lattice parameter changes on MASA is important The atomic environment of each atom becomes important when the non metal site is shared by different atom types The lines are linear fits of the DFT results accaf 002

10020

002 )( ννν += υ being the atom type and a is the lattice parameter in Aring

Fig 2 The MASAs of the relaxed Ga1-xInxAs and GaAs1-xNx crystals for various lattice parameters Notice that all points lie on a unique curve irrespective of the value of x

Fig 3 The MASAs of GaAs1-xNx crystals for various lattice parameters Notice that all points do not lie on a unique curve as was the case for the Ga1-xInxAs system

The temperature effect on MASA can therefore be introduced through the lattice fluctuations as follows At each instant the lattice parameter is determined and the MASAs corresponding to this instantaneous lattice parameter is obtained using the analytical functions obtained from the fit of the DFT results The thermal relaxation is performed via a Classical Metropolis Monte Carlo scheme

We have recently parameterized the Tersoff potential [16] for the Ga-As and the In-As interactions in zinc-blende structures and the new set of parameters were shown to reproduce the elastic properties the melting point of the binary crystals and the structural properties of Ga1-

xInxAs mixed crystals [15] Using a classical Metropolis Monte Carlo code that was recently developed by us for relaxing binary ternary and quaternary crystals we have relaxed 1728 atoms systems of Ga1-xInxAs mixed crystals for various In content and for temperatures ranging from 5K to 500K The mean square displacement (MSD) of each atom type was calculated for all possible In fractions and for several temperatures as shown in Fig 4 As expected the MSD increases with temperature and has a nonlinear and asymmetric dependence on the In fraction Its

192 T J Titantah et al

Fig 4 Mean square displacement of bulk Ga1-xInxAs as a function of In fraction x and for temperatures of 50K (lowermost curve) through 150K 250K 350K to 450K (uppermost curve) The top panel corresponds to the Ga atoms middle to In and bottom to As atoms

intensity is enhanced for intermediate values of x The available measurement of this quantity for these mixed crystals is that by Petkov et al [5] based on the pair correlation function (PDF) extracted from XAFS A systematic study of its temperature dependence whether experimental or theoretical is lacking

The strong dependence of the MSD on the In fraction and temperature suggests that the inclusion of temperature effects on the SFs may not be straightforward Within the Metropolis method the SFs per unit cell were calculated for each In fraction x and temperature T by performing the thermal averaging

( )xT

N

jj

hklhklj

hkl ragiafN

TxS1

)(2exp)(8)( sum=

bull= π

where a is the short hand notation for ai i=123 and

=

001010100)( alakahahklg

)(af hklj is the MASA of atom j for the instantaneous lattice parameter a Firstly the SFs were

calculated for temperatures as low as 5K in order to compare with DFT calculations on 64 atoms DFT relaxed Ga1-xInxAs systems The calculations at 5K show that the In content at which the 002 SF vanishes is 169plusmn02 Extrapolation to 0K yields a cross-over concentration of 167plusmn02 This value compares well with the DFT result which shows that the SF vanishes for an In content of 170plusmn02 and 164plusmn02 for the unrelaxed and relaxed systems respectively (see left panels of Fig 5) Both values are in excellent agreement with the results obtained using the DFT-based MASA without and with the inclusion of the static atomic displacements (accounted for using the VFF) [2]

The T=0K results can be interpreted writing the 002 SF as

minusminusminus+minusminus=

a

zAsu

ixaAsfa

zInu

ixaInfxa

zGau

ixaGafxxS πππ 4exp))((002)4exp())((002)4exp())((002)1(4)(002

where zuν is the average In-concentration-dependent z-component of the static displacement (SD) vector of atom υ Considering the fact that it is the anions lattice that is strongly influenced by the SD while the cations lattice remains almost unaffected [17] we can set z

Gau and zInu to zero Also

Temperature Effect on 002 ESF and its Quantification Consequence 193

since in these mixed crystals the Ga-As bonds stretch while the In-As bonds contract the displacement of As is dominantly along the As-In bond vector Letting ε (x) be the In-As bond strain which varies linearly with x between ε0=002 and 0 while approximating the lattice parameter by the VCA expression a(x)=(1-x)aGaAs+xaInAs and using the coefficients for the linear dependence of the MASAs with the lattice parameters we get

( )[ ]))(1(24)1()1()( 0021

0020

20021

0021

002002002 ∆+minus+minus∆minus++minusasymp AsGaAsAsInGaInAsGaAs xcfxccxxxSSxxS επ which can be easily cast into the form given in ref [3] with the so-called bowing parameters given explicitly ∆=aInAs-aGaAs is the lattice mismatch Using the calculated SF of the binary systems (-0209 nm for GaAs and 1029 nm for InAs) together with the fit parameters obtained above we find that according to this formula the SF vanishes at an In concentration of 170

For higher temperatures we have calculated the SF for all possible In concentrations and the trend is that the SF decreases as the temperature increases with a consequence that the In concentration at which the SF vanishes shifts to higher values (see Fig 5) The insertion of a small amount of N into Ga1-xInxAs is found to lead to an increase in the 002 SF thereby shifting the In concentration at which the SF vanishes to lower values A temperature behavior similar to that of the Ga1-xInxAs system is seen for the quaternary system Ga1-xInxAs 095N005 which shows that at a temperature of 5K the In concentration at which the 002 SF vanishes is 114 while at 300K it is 118 4 Conclusions We have shown that the modified atomic scattering amplitudes are strongly affected by variations in the lattice parameter suggesting that the SF of materials under extreme conditions such as high pressure should be interpreted taking account of the dependence of the atomic scattering amplitudes with pressure (or lattice parameter) The effect of temperature on the 002 structure factor of Ga1-xInxAs bulk systems has been incorporated accounting for the effect on the MASAs the static atomic displacements and temperature induced atomic displacements The MSDs of the various atoms in the mixed systems have been shown to be a nonlinear asymmetric function of the In concentration This complexity in the relationship between the MSD and In concentration on the one hand and temperature on the other hand makes the introduction of temperature effects on the SF via the Debye-Waller factor a non trivial issue The method suggested here does not require an explicit knowledge of the Debye-Waller factor for finite temperature structure factor calculations and can be easily generalized for other diffraction spots

Fig 5 Left DFT calculated SF of 64 atom Ga1-xInxAs system Right finite temperature SF of Ga1-xInxAs system

194 T J Titantah et al

Acknowledgements This work was supported by the FWO-Vlaanderen under project G042505 and by the Deutsche Forschungsgemeinschaft under contract number RO20574-1 References 1 Doyle P A and Turner P S 1968 Acta Cryst A 24 390 2 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 3 Glas F 2004 Phil Mag 11 2055 4 Mikkelsen J C and Boyce J B 1983 Phys Rev B 28 7130 5 Petkov V et al 1999 Phys Rev Lett 83 4089 6 Keating P N 1966 Phys Rev 145 637 7 Rosenauer A Fischer U Gerthsen D and Forster A 1998 Ultramicroscopy 72 121 8 Stahn J Moumlhl M and Pietsch U 1997 Acta Cryst B 54 231 9 Reid J S 1982 Acta Cryst A 39 1 10 Vitelino J F Gaur S P and Mitra S S 1972 Phys Rev B 5 2360 11 Cagnon J Buffat P A Stadelmann P A Leifer K 2003 Inst Phys Conf Ser 10 203 12 Patriarche G Largeau L Harmand J C and Gollub D 2004 Appl Phys Lett 84 203 13 Blaha P et al 2001 WIEN2k 14 Perdew J P and Wang Y 1992 Phys Rev B 45 13244 15 Titantah J T et al 2007 Appl Phys Lett submitted 16 Tersoff J 1986 Phys Rev Lett 56 632 17 Bonapasta A A and Scavia G 1994 Phys Rev B 50 2671

Calculation of Debye-Waller Temperature Factors for GaAs M Schowalter A Rosenauer J T Titantah1 and D Lamoen1

Institut fuumlr Festkoumlrperphysik Universitaumlt Bremen Otto-Hahn Allee 1 28359 Bremen Germany 1 Theoretical study of matter Department of Physics Universiteit Antwerpen Groenenborgerlaan

171 2020 Antwerpen Belgium Summary In this work we calculated the Debye-Waller factors (DWFs) of GaAs in the temperature range from 0001 K up to 1000 K The resulting temperature dependence is fitted using an approach outlined in the paper For the calculation of the DWFs the phonon frequencies in GaAs were deduced from Hellmann-Feynman forces computed from supercells within the density functional theory approach The calculated frequencies are compared with experimentally measured frequencies 1 Introduction Quantitative high resolution transmission electron microscopy (HRTEM) and Z-contrast imaging are based on the comparison of experimental and simulated images The simulations require an accurate knowledge of the Fourier components of the Coulomb potential For GaAs the Fourier component hkl is given by

sumsum= =

prop2

1

4

1 )2exp(

υυυυ π

nn

hklhklGaAs

hklGaAs

hklGaAs rgifDV rr

(1)

where hklGaAsf υ is the atomic scattering amplitude of atomtype υ and

)41exp(

hklhklhklGaAs gBgD vv

υυ minus= is a damping factor containing the DWF υB The index n

is running over all equivalent atoms of atom υ in the unit cell Typically atomic scattering amplitudes were calculated for isolated atoms (eg Ref [1]) and therefore do not take into account the redistribution of charge due to bonding Such effects can be considered by eg the lsquomodified atomic scattering amplitudesrsquo [2] However values of the DWF are not known accurately for many materials or are only known for some temperatures

The DWF υB is related to the static correlation function of the displacements

gt=lt υυυ jiij UUu of atom υ by υυ π 2

8 ijij uB = where υiU is the displacement of atom υ in direction i The static correlation function and the Debye-Waller factor in general are matrices but simplify due to symmetry to scalars for GaAs

In principle the DWFs can be measured using x-ray scattering neutron scattering or the electron precession technique [3] For GaAs the Debye-Waller factors were measured recently by Stahn et al [4] using x-ray scattering at a temperature of 287 K They found that the DWF of Ga is larger than the DWF of As The DWFs of GaAs were calculated using shell models for some temperatures by Reid [5] He found that the DWF of Ga is smaller than the DWF of As whereas equal DWFs were found by Vetelino et al [6] For some sphalerite type semiconductors Gao and Peng interpolated the values of Reid [5] using two 4-th order polynomials for temperature below 80 K and above They also gave DWFs of elemental crystals from experimentally determined phonon densities of states )(ωg using the relation

196 M Schowalter et al

intinfin

=0

2 )2

coth()(4 ω

ω

ω

ωπ dTkgm

B B

h

h (2)

where m is the mass of the atom h is Planckrsquos constant divided by π2 Bk is Boltzmannrsquo s constant and T is the temperature

In this paper we derive the phonon density of states (PDOS) from Hellmann-Feynman forces calculated within a density functional theory (DFT) approach and we use Eq (2) for the calculation of the DWFs of GaAs The temperature dependence of the DWFs is fitted by an approach with only one fit parameter 2 Calculation of the Phonon Density of States The PDOS was derived from the Hellmann-Feynman forces which were computed using the WIEN2k code [8] according to the method of Parlinski et al [9] The WIEN2k code uses atomic like functions as basis set within spheres with radius MTR (muffin-tin spheres) around the atom positions and plane waves outside The sampling of the irreducible Brillouin zone was performed using 360 k-points for the primitive unit cells and the plane wave cut-off maxK was set in such a way that 7max =KRMT The local density approximation (LDA) was used for the exchange and correlation part of the potential

In order to compute accurate Hellmann-Feynman forces the total energy of GaAs unit cells as a function of the lattice parameter was calculated It exhibited a minimum of the total energy around 057 nm In the vicinity of the minimum the total energy depends quadratically on the lattice parameter and the fit of the total energy by a quadratic function yielded a lattice parameter of 05617 nm Note that LDA results in overbinding and therefore the calculated lattice parameter is slightly smaller than the experimental one

Using the optimised lattice parameter 2x2x2 supercells were generated In a each supercell a Ga atom or alternatively an As atom was displaced by 3 pm Due to the cubic symmetry it is enough to displace the atom just in one direction eg the z-direction However in order to eliminate small residual forces that could be present we also displaced the atoms by -3 pm For each of the four resulting supercells we computed a set of Hellmann-Feynman forces on each atom in the supercell due to the displaced atom using the Wien2k code The k-mesh was adjusted according to the size of

the unit cell used for the lattice parameter optimization in order to provide the same quality of the k-mesh for the computation of the forces The forces corresponding to the displacement of 3 pm and -3 pm respectively were symmetrized

From the Hellmann-Feynman forces and the corresponding displacement force constants were derived for all atoms in the supercell and therefore exhibit a relation between the bond length and the force constant The dynamical matrix )(qrΞ corresponding to a phonon wave vector qr can be derived by a Fourier transformation of the force constants In order to obtain the phonon frequencies

Fig 1 Comparison of the calculated phonon dispersion curve (lines) and with measured phonon frequencies (dots) [10]

Calculation of Debye-Waller Temperature Factors for GaAs 197

)( lqrω corresponding to the phonon wave vector qr and the phonon branch l the eigenvalue problem

)()()()( 2 lqelqlqeq rrrrrr ω=Ξ (3)

was solved To check the accuracy of the

calculated phonon frequencies we derived phonon frequencies along certain directions in the irreducible Brillouin zone The selected q-path was

WZXLX minusminusminusminusΣminusminus∆minusΓ )()()(In Fig 1 the calculated values are compared with measured values of Strauch and Dorner [10] The calculations are in good agreement with the experiments

The partial PDOS was derived by calculating the phonon frequencies for a finite number n of phonon wave vectors qr by

)()())((1)(

υυωωδ

ωω ωυ lqelqelq

ndg ji

lqij

rrrrrrsum minus

∆= ∆ (4)

where )(xωδ ∆ is 1 if 22ωω ∆

lelt∆

minus x and 0 otherwise d is the dimensionality of the dynamical

matrices the indices ij indicate the component of the eigenvector and υ is the atom type The total PDOS can be obtained from the partial PDOS just by summing up all partial PDOS Note that all the partial PDOS are normalized in such a way that the integral over the partial PDOS results in 1r where r is the number of the degrees of freedom of the primitive unit cell From the partial PDOS the matrix of the Debye-Waller factor can be easily obtained from Eq (2) by substituting the PDOS by the partial PDOS It turned out that 100 000 phonon wave vectors were enough to get a PDOS producing converged values for the DWFs Figure 2 shows the temperature dependence of the static correlation function 11u of Ga together with the fit by the Einstein model for the PDOS The DWF increases linearly for large temperatures and tends to a constant value for low temperatures 3 The Fitting Procedure for the Debye-Waller Factors In order to provide the DWFs to the reader a suitable fit function has to be found In Fig 2 the static correlation function was fitted applying an Einstein model for the PDOS by

)2

coth(2

TkMu

B

c

c

υ

υυυ

ωω

hh= (5)

where cω is the characteristic frequency at which the δ -function is situated and υ indicates the atom type For large temperatures the DWF is fitted very well but slight deviations can be observed for small temperatures However this already shows that Eq (5) exhibits already a rather suited function for the fit of the DWFs For the derivation of the fit function we apply the mean value theorem to Eq (2) The mean value theorem states

Fig 2 The static correlation function u11 in Aring2

of Ga in GaAs as a function of temperature and the fits using the Einstein model for the PDOS and our procedure

198 M Schowalter et al

int int=b

a

b

a

dttgcfdttgtf )()()()( (6)

where f(t) and g(t) are continuous functions and altcltb Associating g(t) with the PDOS and f(t) for the other terms and applying the mean value theorem to the Eq (2) yields for the static correlation function Eq (5) where

)( Tcc υυ ωω = is a function that is only slightly depending on the temperature (see Fig (3)) The temperature dependence of )( Tc υω was fitted using a Gaussian like function

BTATc +minus= )exp()( 2 σω υ (7)

For the fit only σ was used as a fit parameter since the parameters A and B can be determined from the limits of

)( Tc υω for infinrarrT and 0rarrT Fit parameters and the limits are given in Tab 1 The fit of

)( Tc υω is not very good but is sufficient to fit the DWFs (see full line in Fig 2) The fit of the DWFs yields deviations smaller than 1 At a temperature of 287 K Stahn et al [4] measured a mean square displacement of uGa=000844 Aring and uAs=000716 Aring Our calculations result in values of uGa=000845 Aring and uAs=000726 Aring at the same temperature This clearly shows that our values are more accurate than those presented by Reid et al [5] and Gao and Peng [7] where the DWF of Ga is smaller than that of As

4 Conclusion We derived DWFs for GaAs based on Hellmann-Feynman forces computed within the DFT approach Calculated values were in good agreement with recent experiments Finally an efficient fit method was derived and applied to fit the temperature dependence of the DWFs References 1 Doyle P A and Turner P S 1968 Acta Cryst 24 390 2 Rosenauer A Schowalter M Glas F and Lamoen D 2005 Phys Rev B 72 085326 3 Midgley P A Sleight M E Saunders M and Vincent R 1998 Ultramicroscopy 75 61 4 Stahn J Moumlhle M and Pietsch U 1998 Acta Cryst B54 231 5 Reid J S 1983 Acta Cryst A39 1 6 Vetelino J F Gaur S P and Mitra S S 1972 Phys Rev B 5 2360 7 Gao H X and Peng L-M 1999 Acta Cryst A55 926 8 Blaha P 2001 Wien2k ISBN 3-9501031-1-2 9 Parlinski K Li Z Q and Kawazoe Y 1997 Phys Rev Lett 78 4063 10 Strauch D and Dorner B 1990 J Phys Condens Matter 2 1457

Ga As

σ [103K2] 2005 2063

)0(υωc [THz] 2449 2595

)( infinυωc [THz] 2033 2117

Tab 1 Fit parameterσ and limits

)0(υωc and )( infinυωc

Fig 3 Calculated )( TGacω and fit by Gaussian as a function of temperature

The Use of the Geometrical Phase Analysis to Measure Strain in Nearly Periodic Images J-L Rouviere CEA-Grenoble DRFMCSP2MLEMMA GEM-Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary The geometrical phase analysis (GPA) is a simple and efficient method to measure strain in nearly periodic images and especially high resolution transmission electron microscopy (HRTEM) images In a few steps GPA averages and fits the best lattice parameter in a given region The accuracy of GPA is determined by analyzing Si perfect crystal HRTEM images This precision varies with the mask size employed that is to say with the size of the region over which the measure is averaged When averaging on large areas (9x9nm2) variations of 01 pm on lattice fringe periods d of about 02nm that is to say ∆dd ~ 5 10-4 can be detected The correction of the distortions introduced by the imaging system (lenses or CCD camera) is presented It is shown that for a given JEOL4000FX microscope and a given magnification the correction images did not change significantly during a 3 year period and were similar at 400kV and 300kV On the contrary the absolute measure of the (111) Si lattice parameter (in pixels) varies significantly during time (it is certainly a function of the fine tuning of the objective lens) The method is applied to Moireacute images where large strains (more than 100) are accurately measured by GPA 1 Introduction In microelectronics there is a great need to measure accurately the strain and stress locally in nanometric devices Several methods have been used to assess the strain values in such devices [1] HRTEM seems well adapted in terms of magnification but seems to suffer from precision in lattice parameter measurement or from thin foil relaxation In this paper only the first of these issues will be analysed by studying the precision of strain measurement in HRTEM images The GPA method introduced independently by [2] and [3] is used 2 Experimental Details For a detailed presentation of the method we refer to [3] and [4] Here we just recall the few main parameters of the method which are the mask shape size and central position and in the standard analysis the choice of a reference region R0 In this work a Gaussian mask centred at position g exp(-05(x-g)2σ2) and having a soft cut at 3σ is used σ which is the standard deviation is referred to as the mask radius For an estimation of the precision we find it easier to analyse how the lattice parameter in pixels varies on a given image of a perfect crystal In that case the choice of the reference region R0 is not necessary as the local lattice parameter d(r) does not depend of the reference region Indeed d(r) is just equal to the inverse of the gradient of the Geometrical Phase d(r) =1g(r) = 2π nablaPg (r) (Equ 1) the phase Pg

varying between 0 and 2π Experimental images were acquired on a 2kx2k Gatan CCD mounted on a JEOL 4000EX

microscope Perfect silicon crystals were mechanically thinned with a tripod tool

200 J-L Rouviere

Fig 2 Half width ∆d at half height of the histogram of the (220) interplanar distance in function of the reciprocal mask radius σ (in pixel)The associated direct radius rdir is given in nm

3 Precision of GPA In order to evaluate the precision on the local lattice parameters high resolution images of perfect silicon crystal were taken either in a lt110gt or lt100gt direction The atomic columns must have a rather uniform aspect all over the CCD camera Double frequency contrast was avoided The constraint on the thickness is less important as we check by analysing simulated images that in Si observed along the lt001gt and lt110gt direction the phase changes only slowly with thickness (typically a change of phase of 003 radian for a change of thickness of 20nm) This is due to the symmetry of the Si structure Abrupt changes in the phase only occur when double frequency and reverse contrast happens (for instance atomic column contrast changes from black to white) In Fig 1a although not visible at this magnification (see inset Fig 1b for the visualisation of the columns) the atomic columns have a uniform shape all over the picture although the top left corner is slightly darker because it is slightly thicker The (220) interplanar distance d220 as deduced from equ 1 is shown in Fig 1d Interplanar distances are not constant all over the image d220 average values vary from 816 pixel to 82 pixels Fig 1f and 1g show the displacements ux and uy in pixels respectively along the horizontal and vertical directions Displacement of 7 pixels nearly one (220) interplanar distance can be found between different places of the image We use these displacement images to correct the initial phase image Pg

corr (r) = Pg (r) minus 2π (gxux (r) Nx + gyuy (r) Ny ) (Equ 2) This equation is slightly different from the one in [5] but it is equivalent In equation 2 ux uy gx and gy are expressed in the pixel unit of the image x being horizontal and Nx and Ny being respectively the horizontal and vertical image sizes (here Nx = Ny=N)The corrected (220) interplanar

distance image (Fig 1e) is more uniform than the uncorrected one and lower variations in d220 average values are found between the different areas of the image

Figure 1c is the histogram obtained from the square region of Fig 1d It allows the definition of the uncertainty ∆d with which d is measured It can be checked that ∆d is a function of the mask radius σ (Fig 2) The narrower is the reciprocal mask (σ small) the wider is the region over which the signal is

Fig 1 a) HRTEM image of perfect silicon taken in [001] direction b) Zoom of Fig 1a c) Histograms of (220) interplanar distance d220 taken in the centre of Fig 1d (curve defined by the filled surface) and Fig 1e (solid line) Horizontal units are in pm and pixels d) d220 image obtained from the uncorrected phase (σ=20) The grey scale is in pixel unit The square indicates where the histograms of Fig 1c are taken e) Corrected d220 image f-g) ux and uy displacement images (pixel units)

The Use of the GPA to Measure Strain in Nearly Periodic Images 201

Fig 3 a) Uncorrected (111) interplanar distance map d111 Given values in pixel are averaged over the underlying white rectangles Values are not uniform in the Si066Ge034 layer b) Corrected (111) interplanar distance map giving more uniform values

averaged in the direct space We estimate to rdir=3N(2πσ) the radius of the region over which average is realised [4] The pixel

size being equal to 002352 nm in Fig 1 rdir is equal to 23 nm (978 pixel) 115 nm (489 pixel) and 057 nm (244 pixel) for mask radius σ respectively equal to 10 20 and 40 pixels (Fig 2) Using this and Fig 2 we find that GPA has a precision of ∆d= plusmn047 pm (002 pixel) that is to say ∆dd = 25 10-3 for an average on a 23x23 nm2 region (23 = 2rdir) and a precision of 008pm (00033 pixel) ie ∆dd = 4 10-4 for an average on a 9x9 nm2 region (this is the case of σ = 5 pixel in Fig 2)

Having acquired reference images for several months we observe that at a given magnification the correction displacement images are quite stable with time and that it is not necessary to acquire new correction images for every sample We have also noticed that the correction images do not vary significantly from 300kV to 400kV and that the same files can be used at both tensions On the contrary the absolute measurement can change from one image to another one For instance d220 values can be equal to 81 pixel one day and 83 pixel another one We suspect that this difference is due to slight different excitations of the objective lens In contrast these different excitations produce a negligible change in the correction displacement images because intrinsic deformations are mainly introduced by the projection lenses (as cited in [5]) and by the CCD camera Fig 3 illustrates the necessity of the correction when strain is analysed on the whole image Only when the phase is corrected the distance maps in the different materials are uniform It can be noticed that in Fig 3b the difference between d111Si and d111SiGe is about 0028 pixel that is to say 06pm Without the correction (Fig 3a) such differences are already observed in the SiGe layer 4 Some GPA Characteristics In order to better understand the characteristics of GPA we measure the precision in function of the number of pixel in the interplanar distance d220 The d220 lattice parameter of a given image was thus changed from 8179 pixels (original 2048x2048 image) to 20448 pixels (binned 512x512 image) (Fig 4) The relative precision ∆dd is as good in the two images even if the silicon lattice is difficult to see in Fig 3b Any peak finding algorithm would certainly fail when analyzing Fig 4b However acquiring experimental images where periods are about 2 pixels is rather tricky

Fig 4 a) Partial zoom of a 2Kx2K HRTEM image of Si observed along [001] b) Partial zoom of the same image but binned to a 512x512 image c-d) (220) interplanar distance maps obtained respectively from Fig 4a and Fig 4b Average values in the square rectangle and uncertainties ∆a (in pixel and pm) are given e-f) Power spectrum of Fig 4a and Fig 4b White circles indicate the position and size of the Gaussian mask The radius of the circle is equal to 3σ = 60 pixels

202 J-L Rouviere

We also check that GPA can handle large strain analysis if great care is taken in the definition of strain (Lagrange or Euler strain see [4]) The only restriction is that all the frequencies corresponding to the analysed distances are present in the reciprocal lattice mask Fig 5a is a plan view image of a stressed silicon wire of 3 microns width on top of a Si substrate covered with a thick oxide layer [6] The moireacute patterns are created by double diffraction in the wire and in the silicon substrate The stressed silicon wire is supposed to have the lattice parameter of a Si08Ge02 alloy in the plane of its interface with oxide [6] On Fig 5b it can be checked that for strain as high as a 100 GPA gives the same result as a project method The advantage of GPA is to give quickly and simply a 2D image of the strain

Fig 5 a) Moireacute plan view image of a stressed Si wire on top on a Si substrate covered with a thick SiO2 layer b) Strain analysis of the vertical projection of Fig 5a The top wavy curve is the average vertical projection of Fig 5a The grey solid curve is the strain profile obtained by GPA The curve with steps is the strain profile obtained by measuring the distances between adjacent minima

5 Conclusion We have assessed the precision of GPA and determined correction displacement images by analysing HRTEM experimental images of perfect Si crystals When averaging over large regions a very good precision is obtained This result is particularly interesting when long range stress in a crystalline substrate is analysed However such precision cannot be obtained near interfaces or in tiny nanocrystals Several interesting characteristics of GPA have been pointed out For instance it is not necessary to have large number of pixels in the analysed lattice fringe spacing 3 or 4 pixels per period is as good as using more pixels We recall that GPA can handle the measurement of large displacements References 1 Cleacutement L Rouviere J-L Cacho F and Pantel R this Proceedings volume 2 Takeda M and Suzuki J 1996 J Opt Soc Amer A13 1495 3 Hytch M Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 4 Rouviegravere J-L and Sarigiannidou E 2005 Ultramicroscopy 106 1 5 Hue F Johnson C L Lartigue-Korinek S Wang G Buseck P R and Hyumltch M J 2005 J Electron

Microsc 54 181 6 Beacutecheacute A Rouviegravere J-L Barbeacute J-C Andrieu F Eymery J Mermoux M and Rouchon D this

Proceedings volume

Cross Section High Resolution Imaging of Polymer-Based Materials D Delaportas P Aden C Muckle1 S Yeates2 R Treutlein3 S Haq4 and I Alexandroua Electrical Engineering amp Electronics University of Liverpool Liverpool L69 3GJ UK 1School of Biological Science University of Liverpool Liverpool L69 7ZB UK 2School of Chemistry University of Manchester Manchester M13 9PL UK 3HUECK FOLIEN GesmbH 4342 Baumgartenberg Austria 4Advanced Technology Centre BAE Systems Filton Bristol BS34 7QW UK Summary This paper describes a methodology for preparing cross sections of organic layers suitable for transmission electron microscopy (TEM) at high resolution Our principal aim is to prepare samples that are tough enough to allow the slicing into sub-150 nm sections We also need strong contrast at the organic layer area to make it identifiable during TEM Our approach is to deposit organic layers on flexible substrates and prepare thin cross sections using ultra-microtomy We sandwich the organic layer between two metal thin films in order to isolate it and improve contrast Our methodology is used to study the microstructure of polymernanotube composites allowing us to accurately measure the organic layer thickness determine nanotube dispersion and assess the effect of nanotube clustering on film structural stability 1 Introduction Even though organic electronics technology has improved impressively in the last decade [1-3] and large scale circuits are routinely built there has been little progress in methodologies for imaging the devices formed In organic devices wetting of successive layers is important in an effort to minimise potential barriers at junctions In an effort to improve device performance device parameters shrink continuously and soon methods for imaging cross sections of organic layers or multi-layers at high resolution will be needed Cross section methodologies should avoid distorting the sample structure and also strengthen the sample so that sections thin enough for transmission electron microscopy (TEM) can be prepared in short times and at low cost

We have examined the suitability of ultra-microtomy for the production of thin cross sections of organic layers The main challenge is to find substrates compatible with ultra-microtomy which also accentuates the contrast from the layer under examination In this work we have deposited low contrast polymer-nanotube composites on flexible substrates pre-coated with Al thin film In order to avoid buckling of the film under slicing using an ultra-microtome we embedded the sample in resin Mixing between resin and the organic layer was prevented by depositing a second Al thin film over the sample surface Locating our organic layer near Scherzer defocus is easy due to the presence of a metallic film above and below it The prepared samples allowed us to examine polymer adhesion on the nanotube surface the dispersion of nanotubes and its effect on the strength of the composite 2 Experimental The organic composites of interest were prepared by mixing solutions of P3HT polymer with carbon nano-particles both materials dispersed individually in Xylene The carbon nanoparticles were produced using an electric arc in water [45] P3HT was prepared in house at the Organic Materials Innovation Centre (OMIC) in Manchester Composite layers were deposited by drop casting onto 1x3 cm flexible substrates Two different kinds of substrates were tested (1) Resin blocks and (2) thin polymer sheets coated with a thin layer of Al (Hueck Folien) Thin cross sections for TEM were prepared using a Reichert Ultracut Ultra-microtome [6] All TEM work was carried out using a FEI 120kV Tecnai G 2 Spirit BioTWIN instrument

a Corresponding author e-mail ioannislivacuk

204 D Delaportas et al

3 Results and Discussion Our initial efforts concentrated on thin polymer-nanotube films encapsulated in resin The poor contrast between the resin and our featureless samples made it almost impossible to locate our material We therefore tested a flexible substrate (Hueck Folien GmbH amp Co) covered with a very thin layer of Al the latter used as a strong contrast agent

If the prepared samples are strengthened by encapsulation in resin they will be suitable for slicing using ultramicrotomy However the user would need to seek the strongly diffracting Al layer amidst several microns of featureless material (flexible substrate organic layer resin) a difficult task due to the existence of stress lines created during cutting Another issue is that the resin layer needs to be cured at high temperature (asymp60oC) During this process the polymernanotube composite will mix with the resin and structural information about the surface of the composite will not be reliable Therefore we have deposited a second Al layer on our composite film prior to resin encapsulation This approach presents two advantages Intermixing between resin and composite is avoided and the pattern of the sample becomes more distinctive Instead of one thin line with strong contrast the user seeks two strongly diffracting almost parallel lines The distance between them is constant and equal to the thickness of the composite film Figure 1 shows the importance of resin encapsulation A 50wt polymer-nanotube composite was drop cast on the Hueck Folien substrate and a 150nm layer of Al was then evaporated on top Figure 1a shows a section of the sample without resin encapsulation Moving from the left bottom corner of the image diagonally upwards we can distinguish the Hueck Folien flexible substrate coated with Al the polymer nanotube composite and finally the top evaporated Al layer Figure 1b on the other hand shows a section from the same sample prepared by encapsulating the structure of Fig 1a in resin before cutting Moving downward on the image we see the flexible substrate the Al layer

Fig 1 a) Medium thickness section (110nm) of the sample without encapsulation in resin b) 90nm section of the same sample encapsulated in resin Stress inducedcompression of the composite layer is clearly avoided byencapsulation in resin

Fig 2 With our methodology 90 nm appears to be the optimum cross section thickness When we attempted60 nm sections the sample buckled under cutting stresses

Cross Section High Resolution Imaging of Polymer-Based Materials 205

the polymer-nanotube composite and the resin Even though both sections represent the same composite layer its thickness appears very different The absence of resin in sample (a) allows the cut-induced stress to shrink the composite layer Comparing images Fig 1a and Fig 1b we see that the Al layer appears flat in Fig 1b showing that cut-induced stress is less when the sample is encapsulated in resin The film thickness calculated from images similar to that shown in Fig 1b was about 1 microm a reasonable value for drop cast samples Finally when we attempted to prepare thinner sections (60 nm) the sections were too thin to withstand the cut-induced stresses Figure 2 shows that the sample was damaged during cutting We have found that for our materials the optimum section thickness is 90 nm

As soon as we established our sample preparation methodology we used the produced sections to investigate the structural properties of our P3HTnanotube composites It is widely accepted that the toughness and stability of polymers improve markedly when they are mixed with carbon nanotubes [78] Mechanical fortification of polymers by nanotubes results from strong covalent bonds between the two materials and uniform dispersion of the nanotubes is required for best results However our

nanotube powder includes wide clusters which are usually not dispersed even after prolonged ultrasonic agitation Figure 3a shows one such nanotube agglomerate proving that unfortunately blending of the nanotube powder with polymer does not improve dispersion of the agglomerates Even though the interaction of polymer with the nanotubes at the periphery of the clusters might still be strong the absence of polymer in the interior of clusters means that the cohesion of the material in such areas is poor Therefore Fig 3b depicts a frequently observed situation the composite has split right through the nanotube agglomerate Images at higher magnification show that the nanotubes at the centre of the composite are not covered with polymer proving that indeed polymer does not penetrate into the heart of the agglomerate However when one images nanotubes at the edges of agglomerates the nanotubes appear wrapped in polymer Figure 4 shows two cases of nanotubes clearly wrapped in polymer It is interesting to note that even though the composite has split the nanotubes protruding from the film are still wrapped in polymer This shows that wherever polymer

Fig 3 Structurally nanotube agglomerates appear to be the weakest points of the composite a) nanotube cluster b) composite incision into a nanotube cluster

206 D Delaportas et al

has penetrated the composite the adhesion on carbon nanotubes is very strong 4 Conclusions

Here we have presented a methodology for preparing cross sections of organic layers for imaging at high resolution using TEM The right choice of substrate material and encapsulation with thin metallic layers ensures that the layers of interest can be protected and kept intact during the process Encapsulation of the final structure in resin seems necessary if one wants to minimise structural deterioration during sectioning Importantly the enclosure of the material under examination between two metallic layers improves contrast around the examined material Our approach constitutes a method that allows the examination of a large number of samples within a couple of days Putting our methodology to use we have successfully examined P3HT nanotube composites Our preliminary results show that wherever the two materials mix P3HT adheres well on the nanotubes a perquisite for creating polymer-nanotube functional materials References 1 Shaw J M and Seidler P F 2001 IBM J Res amp Dev 45 3 2 Chua L L et al 2005 Nature 434 194 3 Kymakis E Alexandrou I and Amaratunga G A J 2002 Synthetic Met 127 59 4 Sano N Wang H Chhowalla M Alexandrou I et al 2001 Nature 414 506 5 Alexandrou I Wang H Sano N and Amaratunga G A J 2004 J Chem Phys 120 1055 6 Park G S 1999 Surf Coat Tech 115 52 7 McCarthy B et al 2002 J Phys Chem B 106 2210 8 Lahiff E Leahy R Coleman J N and Blau W J 2006 Carbon 44 1525

Fig 4 Carbon nanotubes imaged around a hole in the sample Even though the bulk of polymer has retracted the nanotube is still wrapped in polymer proving good adhesion between polymer and nanotubes

Direct Observation of Carbon Nanotube Growth by Environmental Transmission Electron Microscopy H Yoshida T Uchiyama and S Takeda Department of Physics Graduate School of Science Osaka University 1-1 Machikaneyama Toyonaka Osaka 560-0043 Japan CREST Japan Science and Technology Agency Kawaguchi Saitama 332-0012 Japan Summary We have succeeded in direct observations of the growth of a multi-walled carbon nanotube (MWNT) in an ethanol gas by environmental transmission electron microscopy A short MWNT has been grown by chemical vapor deposition from ethanol using Co as a catalyst The catalyst nanoparticle has lifted off the substrate and at the same time a MWNT has been grown After the interruption of the growth the MWNT has been gradually transformed to a carbon onion We consider that the transformation has been induced by knock-on displacement and Stone-Wales rearrangement 1 Introduction Recently various nanomaterials have been grown via solid-gas reactions For example carbon nanotubes (CNTs) [1 2] one of the most promising nanomaterials for future nanotechnology are grown from metal catalysts in source gases Controlled growth of their structure is required in order to apply their outstanding properties to future nanodevices This suggests that solid-gas reactions need to be understood at the atomic level In this respect transmission electron microscopy (TEM) using a gas-filled specimen chamber which is occasionally called environmental-TEM (ETEM) is one of the best techniques [3-5] In this paper we show in situ observations of the growth of a multi-walled CNT (MWNT) and transformation from the MWNT to a carbon onion 2 Experimental Procedure A Si disk 3 mm in diameter was taken from a Si wafer The centre of the Si disk was mechanically thinned using an ultrasonic drill and then chemically perforated in a mixed solution of HFHNO3=16 The edge of the hole was very thin and transparent to fast electrons After that the surface of the Si disk was oxidized by heating in the air at 1000 ˚C for 2 hours The surface oxide layer was necessary to prevent silicidation of the catalyst A Co thin film about 01 nm thick was deposited on the one side of the disk

The specimen was set in a TEM heating holder and inserted in the newly designed ETEM instrument (FEI Tecnai F20 equipped with E-cell) in Osaka University operated at 120 kV After that the specimen was heated to 650 ˚C and then ethanol gas was introduced for the ETEM as a source gas of CNTs The ETEM pressure was 01 mbar Successive TEM images were recorded using a CCD camera with a rate of 1 frame per 035 s 3 Results and Discussion 31 Growth of MWNT We show an in situ observation of MWNT growth by ETEM in Fig 1 Before the growth the shape of the catalyst nanoparticle is a sphere (Fig 1a) Then the shape changes into an elongated

210 H Yoshida et al

shape (Figs 1b to 1d) At a certain moment the catalyst nanoparticle lifts off the substrate and contracts to a spherical shape (Figs 1e and 1f) At the same time a MWNT grows Similar observation of the growth of a MWNT have been reported by Helveg et al [4] though their experimental conditions were different from our conditions for example they used a mixture of methane and H2 as a source gas and Ni as a catalyst

The shape of the catalyst nanoparticle changes drastically during the growth of the MWNT There are several possible states of the catalyst nanoparticle First the catalyst nanoparticle is molten during the growth The melting point of Co is 1495 ˚C and the equilibrium eutectic temperature of the Co-C alloy is about 1320 ˚C [6] These temperatures are higher than the growth temperature in this experiment ie 600 ˚C It is well known that the melting points of materials depend on their size [7] As the size becomes smaller the melting point becomes lower Considering the size effect it is very likely that the equilibrium eutectic temperature of the Co-C alloy with nanometre size is reduced to below 1000 ˚C Actually the melting point of Co nanoparticles of 30 nm diameter is reduced to 600 ˚C in a methane ambient [8] Thus it may be possible to melt the catalyst nanoparticle at 600˚C in the ethanol ambient Second the catalyst nanoparticle may be solid It is well known that the shape and the structure of particles fluctuate

Fig 1 Successive TEM images showing the growth process of a MWNT The time lapse from (a) is shown in the bottom left of each image

Direct Observation of Carbon Nanotube Growth by ETEM 211

when the size of particles is very small [9 10] The other possibility is that the catalyst nanoparticle is partially molten After the growth diffraction contrast can be observed frequently as shown in Figs 1g to 1i and therefore the catalyst nanoparticle is solid This diffraction contrast is observed at various positions This means that the orientation of the crystal relative to the beam changes The most probable reason is that the catalyst nanoparticle rotates Another possibility is that the atomic arrangements change Moreover it is possible that the catalyst repeats melting and recrystallizing rapidly In order to clarify the state of the catalyst nanoparticle more detailed observations are necessary 32 Transformation from MWNT to Carbon Onion We continued to observe the short MWNT shown in Fig 1 Then the MWNT shrank gradually and finally transformed to a carbon onion [11] as shown in Fig 2 At the first stage the number of graphitic layers is unclear as shown in Fig 2a After a certain moment the graphitic layers become observable clearly as shown in Fig 2b We consider that the amorphous carbon on the MWNT was graphitized Since more amorphous carbon adhered around the root the number of graphitic layers is larger at the root The MWNT which consists of 3 to 5 layers transforms to a carbon onion with 5 shells

We discuss the mechanism of the transformation briefly Closed-cage structures such as carbon onions and fullerene can be formed by replacing some six-membered rings with five- or seven-membered rings geometrically In this experiment ETEM was carried out at 120 kV By such intense electron irradiation C atoms are ejected from the CNT by knock-on displacement [12 13] Molecular dynamics simulations [12] show that high-membered rings are formed in single-walled CNTs by the ejection of C atoms and then such unstable rings disappear while leaving five- six- and seven-membered rings by the Stone-Wales rearrangement [14] The same phenomena probably occur in the short MWNTs Consequently the MWNTs shrink and transform to a carbon onions Ugarte has reported that soot containing tubular or polyhedral graphitic particles is deformed to carbon onions by intense electron beam irradiation (at an electron energy of 300 keV)[15] Though his experiment was performed in vacuum it seems that the observation region was C rich since many graphitic particles

Fig 2 Successive TEM images showing the transformation process of a MWNT into a carbon onion The time lapse from (a) is shown in bottom left of each image

212 H Yoshida et al

existed and were irradiated by the intense electron beam Similarly our experimental condition was C rich ie ethanol gas of 01 mbar We speculate that such a C rich environment and electron irradiation contribute to the transformation Acknowledgements The authors are indebted to Prof Yoshikazu Homma who suggested this study to us This work was supported by CREST-JST References 1 Iijima S 1991 Nature 354 56 2 Iijima S and Ichihashi T 1993 Nature 363 603 3 Yoshida H and Takeda S 2005 Phys Rev B 72 195428 4 Helveg S Lόpez-Cartes C Sehested J Hansen P L Clausen B S Rostrup-Nielsen J R Ablid-

Pedersen F and Noslashrskov J K 2004 Nature 427 426 5 Sharma R and Iqbal Z 2004 Appl Phys Lett 84 990 6 Massalski T B 1986 Binary Alloy Phase Diagrams (American Society for Metals) 7 Buffat P and Borel J-P 1976 Phys Rev A 13 2287 8 Homma Y Kobayashi Y Ogino T Takagi D Ito R Jung Y J and Ajayan P M 2003 J Phys

Chem B 107 12161 9 Iijima S and Ichihashi T 1986 Phys Rev Lett 56 616 10 Smith D J Petford-Long A K Wallenberg L R and Bovin J-O 1986 Science 233 872 11 Iijima S 1980 J Cryst Growth 50 675 12 Ajayan P M Ravikumar V and Charlier J-C 1998 Phys Rev Lett 81 1437 13 Smith B W and Luzzi D E 2001 J Appl Phys 90 3509 14 Stone A J and Wales D J 1986 Chem Phys Lett 128 501 15 Ugarte D 1992 Nature 359 707

Band-Gap Modification Induced in HgTe by Dimensional Constraint in Carbon Nanotubes Effect of Nanotube Diameter on Microstructure J Sloan R Carter1 A Vlandas1 R R Meyer1 Z Liu2 K Suenaga2 P J D Lindan3 G Lin3 J Harding4 E Flahaut5 C Giusca S R P Silva J L Hutchison1 and A I Kirkland1 Advanced Technology Institute School of Electronics and Physical Sciences University of Surrey Guildford GU2 7XH UK 1 Department of Materials University of Oxford Oxford OX1 3PH UK 2 AIST Research Centre for Advanced Carbon Materials Tsukuba Ibaraki 3058565 Japan 3 School of Physical Sciences University of Kent Canterbury CT2 7NR UK 4 Department of Engineering and Materials Mappin Street Sheffield S1 3JD UK 5 Centre Interuniversitaire de Recherche drsquoIngeacutenierie sur les Mateacuteriaux Universiteacute Paul Sabatier

UMR CNRS 5085 Bacirct 2Rl 31062 Toulouse Cedex 9 France Summary A new tubular form of HgTe grown in narrow single walled carbon nanotubes is described with Hg and Te in reduced coordination Two unique projections obtained by HRTEM from two separate crystal fragments enabled reconstruction of the atomic arrangement of the new form DFT confirmed the stability of the new structure and that it has a modified band gap transforming HgTe from a semimetal to a semiconductor (band gap +13eV) HRTEM shows that as the nanotube diameter increases the new form is no longer obtained and for diameters of 16-2 nm disordered HgTe is obtained for diameters gt2 nm sphalerite HgTe is obtained 1 Introduction The synthesis of dimensionally restricted semiconductor materials in particular quantum dots quantum wires and quantum wells has been an intense area of research over the past decade For semiconducting particles precise control over particle size and dimensionality is directly correlated with the control over the band gap as can be shown by the effective mass approximation which has been used for predicting this for nanocrystals down to the 2 to 3 nm particle size range Similarly the conduction properties of metallic nanowires may be controlled by the precise number of atomic columns that constitute the nanowire Kondo and co-workers demonstrated that gold nanowires suspended between electrodes mounted in the pole piece of a high-resolution transmission electron microscope (HRTEM) exhibit quantized conduction properties according to shell thickness [1] Recently we have shown that a wide variety of inorganic solids form 1D crystals within single walled carbon nanotubes (SWNTs) that can be integral numbers of atomic layers in thickness regulated precisely by the pore diameter of the encapsulating nanotube [2] Here we describe a SWNT-encapsulated 1D crystal structure which is atomically regulated and which also displays a wholly novel structure and coordination scheme [3] The determination of the 3D structure of two 1D crystals formed within SWNTs of approximately equal diameter from a pair of restored images obtained from two encapsulated and twisted HgTe 1D crystals is described These two crystals can be related to each other by tilt and rotation symmetry operations We further describe the effect of nanotube diameter on crystallinity showing the effect of crystallisation in both wider single walled carbon nanotubes and also double-walled carbon nanotubes

The starting material for this experiment is HgTe or coloradoite (Fig 1 (I)) which adopts predominantly the F 4 3m sphalerite structure in the bulk although the P3121 cinnabar form is obtained under pressure The ambient pressure phase is a diamond-like structure with 50 of the

214 J Sloan et al

atom positions being occupied by Hg in a strictly alternating fashion with the remaining 50 Te atoms resulting in a net tetrahedral coordination for both elements while the latter structure resembles distorted rocksalt

Fig 1 I ndash bulk coloradoite form of HgTe in which both Hg and Te exist in tetrahedral coordination II a) End on view of lsquoTubularrsquo 2-layer Hg4Te4 motif corresponding to the new form of HgTe (θ) tilt angle of motif relative to the electron beam φ angle of orientation of motif with respect to the electron beam γ Te-Hg-Te internal bond angle b) simulation of short sections of motif for two fixed values of θ variable φ and γ = 70˚ c) exit wave reconstruction of first HgTe crystal d) and e) corresponding simulation and model corresponding to (c) f) exit wave reconstruction of first HgTe crystal g) and h) corresponding simulation and model corresponding to (c) III DFT optimised HgTe fragment for new tubular form

2 Experimental HgTe was introduced into SWNTs by capillarity utilizing a high-yield filling procedure [4] and then examined in a 300kV JEOL 3000F HRTEM at 600000times magnification The phase of the complex exit plane wavefunction was subsequently reconstructed from a 20-image through focal series obtained from a SWNT containing a 1D HgTe nanocrystal according to an established procedure [56] This enabled the overall image resolution to be improved from beyond the conventional point resolution (ca 016 nm for our instrument) to the information limit (ie 01 nm) Further images were obtained on a Cs-corrected (CEOS) JEOL 2010F microscope in which C3 was tuned to 0001 mm 3 Results and Discussion 31 Imaging and Simulation of HgTe in SWNTs We were able to produce numerous restored phase images of 1D HgTe 1D crystals such as the two reproduced in Fig 1(II) From one of these images it was possible to derive the Hg4Te4 tubular motif of the new structural form (Fig 1 IIa) in which we define the orientation of the 1D crystals as imaged with respect to their angle of tilt with respect to the electron beam (θ) and also the relative orientation angle (φ) of the motif with respect to the electron beam Exhaustive image simulation revealed that an optimum Te-Hg-Te intralayer angle (γ = 70˚) could successfully reproduce the image contrast for both imaged fragments starting from a common motif and taking into account standard Hg-Te bond distances This was possible even taking into account the small

Band-Gap Modification Induced in HgTe by Dimensional Constraint 215

amount of twist observed in each 1D crystal In Fig 1 IIb a tableau of simulations is reproduced for two fixed values of θ and different values of φ In this way the microstructures of both fragments could be derived and simulated as shown in Figs 1 IIc-h The two experimental crystal fragments are found to be related by two symmetry operations (ie a tilt and a rotation) but belong to the same structure In Fig 1 III we see the DFT optimised version of the structure in side-on view which reveals clearly the new coordination scheme for Hg (ie trigonal planar) and Te (ie half-octahedral)

In the new 1D HgTe crystal (Fig 1 III) the predicted net coordination of Hg is three Te atoms in a nearly coplanar configuration with Te-Hg-Te bond angles of ca 127˚ These are bonds coplanar as the Hg atoms reside on the faces of the HgTe 1D crystal By contrast there are two Hg-Te-Hg bond angles of ca 91˚ and one of 106˚ as the Te atoms lie on the edges of the 1D crystal This model and these bonding angles can be rationalised in chemical bonding terms by comparing the bonding of bulk diamond-like HgTe with bonding likely to be found in this 1D crystal In the neutral elements the electron configurations are Hg [Xe] 5d106s2 and Te [Kr] 4d10 5s2 5p4 In the bulk structure Te therefore gives 2e to Hg and both Hg and Te promote one s electron to p so that both have 4 half-filled sp3 orbitals giving rise to the strong tetrahedral covalent bonds In the confined geometry of the nanotube both the Hg and Te atoms have only three nearest neighbours and sp3 hybridization would therefore lead to one dangling bond per atom A more likely scenario would have Te donating 1e to Hg with the result that Te would have one filled s orbital and three half-filled p orbitals Hg would promote one s electron to p therefore forming three half-filled sp2 orbitals This bonding scheme would facilitate co-planar bonding for Hg within the 1D crystal facets and also permit orthogonal bonding for Te at the edges of the crystal (cf Fig 2) DFT confirms this model and reveals a new band gap for the new tubular form of ca +13 eV which contrasts strongly with the band structure of bulk sphalerite HgTe which is a semimetal with a band gap of -03 eV

32 Crystallisation of HgTe in Wider SWNTs and in DWNTs As shown in the previous section there is a strong link between the local structure of HgTe and the resulting physical properties of the material With this in mind we have attempted to survey the variation in microstructure of the HgTe as a function of nanotube diameter SWNTs show a natural variation in diameter size according to the method of synthesis Further we can also introduce HgTe into double walled carbon nanotubes (ie DWNTs) which in general have a wider internal diameter than SWNTs

In discrete and narrow sim1-14 nm SWNTs ordered HgTe crystals are obtained (Fig 2a and b) which conform to the same microstructure as in Fig 1 (II) above In wider diameter (gtsim14-2 nm) SWNTs disordered HgTe crystals are obtained (Fig 2c and d) In the widest SWNTs (diameter gtsim2nm) we sometimes observe crystalline HgTe (Fig 2e) The ordered form observed in Fig 2e resembles more closely the bulk form of sphalerite HgTe This material will presumably have similar electronic properties to the bulk material

Similar crystallisation effects are seen in DWNTs In the moderately wide DWNT (internal diameter ~16 nm) in Fig 2f - h HRTEM reveals a partially crystallised microstructure (a) If each dark spot (b) constitutes a Hg-Te-Hg column or similar (b and c) then we can build up an overall picture of the local microstructure Fig 2g and f and then simulate (c) The resulting microstructure (Fig 2f) appears as a domain-like structure in which there are regions of distorted rocksalt (ie similar to the cinnabar form) but in which there is relatively little long-range order So far the ordered form of HgTe seen in the 2 nm diameter SWNTs (Fig 2e) was not observed The reason for this is unclear It is likely that the disordered metastable form of HgTe will have an intermediate band gap relative to the bulk and tubular forms of HgTe and this is the subject of further work

216 J Sloan et al

Fig 2 a) and b) single and bundled SWNTs filled with crystalline lsquotubularrsquo HgTe conforming to the new version of HgTe formed in sim14 nm diameter tubules c) and d) disordered HgTe crystals observed in wider SWNTs e) crystalline HgTe formed within a 2 nm diameter SWNT f) disordered HgTe observed in a sim165 nm (internal) diameter DWNT g) column plot h) multislice simulation i) and j) schematic space-filling and ball-and-stick representations of obtained microstructure

4 Conclusions Within SWNTs with a diameter of sim1-14 nm a new tubular form of HgTe is observed for which it has been possible to construct a structure model by the application of exit plane reconstruction image simulation and DFT simulations The stability of this new form appears to be closely linked to the diameter of the encapsulating nanotubes and in either wider SWNTs or DWNTs disordered or more bulk like forms of HgTe are observed References 1 Duan X Niu C Sahi V Chen J Parce J W Empedocles S and Goldman J L 2003 Nature 425

274 2 Sloan J Kirkland A I Hutchison J L Green M L H 2002 Chem Commun 2002 1319 3 Carter R Sloan J Kirkland AI Meyer R Lindan P J D Lin G Green M L H Vlandas A

Hutchison J L Harding J 2006 Phys Rev Lett 96 215501 4 Sloan J Wright D M Woo H G Bailey S R Brown G York A P E Coleman K S Hutchison

J L Green M L H 1999 Chem Commun 699 5 Coene W Janssen G Op de Beeck M and Van Dyck D 1992 Phys Rev Lett 69 3743 6 Kirkland A I and Meyer R 2004 Microsc Microanal 10 401

Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wires M I den Hertog J L Rouviere F Dhalluin1 2 P Gentile P Ferret2 C Ternon1 and T Baron1

CEA-Grenoble DRFMCSP2MLEMMA GEM-minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France 1 LTM CNRS UMR-5129 17 rue des Martyrs 38054 Grenoble Cedex 9 France 2 CEA-Grenoble LETIDOPT 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary Silicon nanowires were grown by the vapour-liquid-solid (VLS) mechanism using gold as the catalyst and silane as the precursor Although the crystalline quality of the wires is very high sometimes defects can be observed Some examples are shown Gold clusters were observed on the lateral sides of the wires by means of scanning transmission electron microscopy (STEM) energy dispersive X-ray (EDX) analysis and scanning electron microscopy (SEM) images An approximate calculation shows that the nanowire sidewalls are covered by one monolayer of gold during growth De-wetting of the monolayer after growth results in a homogenous distribution of gold clusters on the lateral surfaces of the wires 1 Introduction Silicon nanowires (SiNWs) have been actively studied over the last decade as they have held the promise of becoming key building blocks in future electronic and opto-electronic devices They are compatible with silicon technology and could be most elegantly grown directly on their final position in a device on a wafer However successful integration of nanowires in devices will depend ultimately on the degree of control that can be obtained over structure and physical properties Nanowires were for a long time regarded as perfect crystals with straight sidewalls Only recent publications have shown that faceting is actually a rather common phenomenon This can be caused by regular twinning [1] or by the absence of a vertical low energy plane [2] Defects in the nanowires or traces of (gold) catalyst on the nanowire sidewall can change its physical properties The wires studied here generally contained few defects Because the observation of a defect was limited to incidents we will characterize these defects but are unable to provide a more general model

In the second part of this paper we show evidence of gold rich clusters on the lateral surfaces of silicon nanowires and characterize these clusters Convincing indirect evidence was presented by Hannon et al [3] proving the presence of gold on lateral surfaces of silicon nanowires Furthermore Werner et al [4] showed gold clusters on silicon wires grown by molecular beam epitaxy (MBE) However the wires described in these publications were all grown under ultra high vacuum conditions partially to allow in situ observation the wires presented in this work are not grown under UHV Pan et al [5] reported the presence of gold-rich precipitates on boron doped silicon nanowires These precipitates seem to be preferentially present on the highly doped regions of the wires The gold precipitates are explained by instabilities at the liquidsolid interface caused by the addition of the dopant gas flow Since we regard only undoped samples this explanation is insufficient Our experiments do not provide evidence that gold also contributes to the faceting as suggested by Ross et al [2] we just note that gold is unambiguously present on the lateral faces of all observed nanowires

218 M I den Hertog et al

2 Experimental Details SiNWs were grown by chemical vapor deposition via the VLS process on a (111) Si substrate at temperatures between 450 degC and 650 degC in a low pressure chemical vapor deposition (LPCVD) reactor at a base pressure held constant at 20 mbar Gold was used as the catalyst and silane (SiH4) as the Si source Hydrogen (H2) was used as a carrier gas Small pieces of 10 mm times 10 mm substrates were first deoxidized in a HF solution 2 nm of gold were then deposited on these surfaces at a vacuum pressure of 10-6 Pa The substrates were then loaded in the reactor and annealed under a H2 flow in order to form nanocatalysts by de-wetting of the Au layer After cooling down the substrate to the growth temperature SiH4 was introduced Wires were grown at different flow rates 10 15 and 25 sccm The SiNWs were observed by SEM in a ZEISS ultrascan microscope equipped with an in-line detector STEM images in combination with EDX measurements were realized on a FEI TITAN microscope working at 300kV High resolution and conventional transmission electron microscopy images were realised on a JEOL 4000FX equipped with a GATAN 2Kx2K CCD camera For (S)TEM observations SiNWs were broken by ultrasound in a propanol solution and deposited on holey carbon grids from the propanol suspension or were directly deposited on the grid For TEM observations in cross section a slice of the sample was mechanically polished Polishing was finished by argon ion milling 3 Results 31 Defects Generally the crystalline quality of the wires is high and few defects can be observed Large wires (radius r gt 20 nm) grow along lt111gt directions [6] and can sometimes contain twins near the base Fig 1a or at a kink Fig 1d In Fig 1a a weak beam image of a wire is shown with a series of horizontal twin faults at the base In Fig 1b a high resolution zoom is shown The three equivalent lt111gt directions are indicated for both grains family I of equivalent lt111gt directions in grey and family II of equivalent lt111gt directions in black In Fig 1c a SEM top view image is shown Normally only 3 upward equivalent lt111gt directions should be observed The fact that 6 directions are observed can be explained by the presence of a twin at the base of the wire Fig 1a which flips the first 3 equivalent lt111gt directions (family I indicated by white arrows in Fig 1b) to another set of 3 equivalent upward lt111gt directions (family II indicated by grey arrows in Fig 1b) In Fig 1d a typical example of a kinked nanowire is shown The kink is caused by a Σ = 3 twin grain boundary on a lt111gt plane After the kink growth continues on a different lt111gt plane A reconstruction of the lattice shown in Fig 1e demonstrates the kink is caused by a twin fault The 3 equivalent lt111gt directions are shown in grey In Fig 1f a conventional two beam image with a stacking fault on an inclined 111 plane is shown This was very seldom observed

Faceting caused by a regular series of twin faults was shown recently to be a quite common phenomenon for GaP wires [1] Twin planes have been observed in silicon nanowires made by laser ablation [7 8] To our knowledge a series of horizontal twins has not been observed before in silicon nanowires controllably grown in the lt111gt direction following the epitaxial orientation of the substrate We suppose the kinks observed here are caused by instabilities at the beginning of growth caused by a change in partial pressure of silane (under our growth condition it takes five minutes to change the gas composition of the growth chamber) Clearly the energy barrier of twin nucleation [1] is sufficiently high in silicon that under our growth conditions twinning is unlikely to happen if we have reached steady state growth One kink was observed without a grain boundary In this case the kink was caused by growth along one of the three inclined lt111gt direction

Smaller wires (r lt 20 nm) sometimes contain a vertical defect A vertical twin in a [112] oriented nanowire and an ldquoincommensurateradic2rdquo Si(100)Si(0-11) domain in a [011] oriented nanowire were incidentally observed (not shown)

Gold Catalyzed Silicon Nanowires Defects in the Wires and Gold on the Wire 219

Fig 1 a) TEM weak beam image having horizontal (111) twin faults at its base b) HRTEM image zooming on the fault of Fig 1a The upward nearly horizontal 111 plane normals are represented by arrows when they are in the plane by triangles when the normal points out of the plane and by dotted lines when it points into the plane I corresponds to the upper grain II to the lower one c) SEM top view image showing a few kinked wires The arrows indicate the 6 possible lt111gt directions of growth after the kink Family I is in grey surrounded by white Family II is in black d) Conventionnal two beam TEM image of a kinked wire e) Atomic model of the 111 Σ = 3 fault at the kink f) Conventionnal two beam TEM images of a wire having a stacking fault on an inclined 111 plane

32 Gold Clusters on the Wire Sidewall In Fig 2 STEM images of a Silicon nanowire and EDX measurements on the same wire are shown Brighter regions can be observed on the wire and facets can be distinguished An arrow indicates where the EDX spectra has been taken The EDX measurements on different parts of the wire show that the brighter particles are gold rich clusters Analysis of the clusters reveals an average diameter of 3-4 nm and a thickness of 2 nm being approximately 4 to 5 monolayers of gold The clusters are

present under a native SiO2 layer (not visible in STEM) These clusters can be observed in SEM as well (not shown) STEM and SEM images show that approximately 20 of the wire surface is covered by gold clusters This implies that during growth the lateral faces of the wire will be covered by approximately one monolayer of gold this is in good agreement with the value found by Hannon et al [3] De-wetting of the monolayer occurs after growth resulting in observed clusters Fig 2 EDX spectra and STEM images of a silicon nanowire An arrow indicates where the EDX spectrum has been taken The brighter particles are unambiguously gold rich clusters as three typical gold lines appear in the EDX spectrum around 2200 eV

220 M I den Hertog et al

Fig 3 High resolution TEM image showing sawtooth faceting [2] Zooming in on a small area allows identification of alternating (-111) and (-311) planes using vector calculation as shown The viewing direction is [0-11] the scale bar is 10 nm

In Fig 3 a high resolution TEM image of the wire sidewall faceting is shown as also observed by [2] Vector calculation allows identifying the alternating planes as shown

The nanowires exhibit tapering angles corresponding to wetting of the lateral surfaces by one monolayer of gold Tapering angles are approximately 03-04deg for wires with a diameter around 150 nm As our wires generally have a larger diameter than as described by Hannon et al [3] the tapering angle is generally smaller and wires can grow longer 4 Discussion It was already suggested by several authors [2 9] that the presence of oxygen traces during growth could inhibit the diffusion of gold over the nanowire surface and substrate This assumption was proved only very recently by Kodambaka et al [10] by showing that only a slight amount of oxygen effectively inhibited tapering of the wires The present results seem to be specific to the Au-catalyzed VLS growth system without the presence of oxygen As we do not work under UHV conditions we cannot exclude the possibility of traces of oxygen present during growth however it is clear that the amount is insufficient to block the diffusion of gold over the wire surface 5 Conclusion We have shown some examples of defects that can incidentally be observed in silicon nanowires As defects were rare a detailed analysis of their formation mechanism was not possible

We conclude that the nanowire sidewall is always covered by a monolayer of gold during growth under the studied growth conditions Adapted SEM and STEM equipment proved to be valuable tools to detect the presence of gold This implies a constraint on the nanowire length and a tapered shape as the volume of the catalyst particle decreases during growth Furthermore the presence of gold on the sidewalls of nanowires will undoubtedly alter their physical properties References 1 Johansson J Karlsson L S Svensson P T Martensson T Wacaser B A Deppert K Samuelson L

and Seifert W 2006 Nature Mater 5 574 2 Ross F M Tersoff J and Reuter M C 2005 Phys Rev Lett 95 146104 3 Hannon J B Kodambaka S Ross F M and Tromp R M 2006 Nature 440 69 4 Werner P Zakharov N D Gerth G Schubert L and Goumlsele U 2006 Int J Mat Res 97 1008 5 Pan L Lew K Redwing J M and Dickey E C 2005 J Crystal Growth 277 428 6 Schmidt V Senz S and Goumlsele U 2005 Nanolett 5 931 7 Zhou G W and Zhang Z 1998 Appl Phys Lett 73 677 8 Zhou G W Zhang Z and Yu D 1999 J Crystal Growth 197 129 9 Goumlsele U 2006 Nature 4402 34 10 Kodambaka S Hannon J B Tromp R M and Ross F M 2006 Nano Letters

Electron Microscopy Analysis of AlGaNGaN Nanowires Grown by Catalyst-Assisted Molecular Beam Epitaxy L Lari R T Murray M Gass1 T J Bullough and P R Chalker C Chegraveze2 L Geelhaar2 and H Riechert2 Department of Engineering University of Liverpool Liverpool L69 3GH UK 1 SuperSTEM Laboratory CLRC Daresbury Daresbury WA4 4AD UK 2 Qimonda D-81730 Munich and NaMLab D-01099 Dresden Germany Summary Scanning transmission electron microscopy has been used to investigate the composition of nickel seeds which promote the columnar growth of AlGaN GaN nanowires deposited by molecular beam epitaxy (MBE) on sapphire The nickel distribution along the nanowires was investigated by both X-ray and electron-energy-loss spectroscopy Gallium was observed in nickel seeds at the nanowires growth tips No aluminium was detected and a minimal presence of nitrogen was observed in the nickel seeds which exhibit a nickel oxide surface attributed to oxidation following removal from the MBE growth system 1 Introduction Semiconductor nanowires are quasi-one-dimensional structures which can be tailored to exhibit unique electrical and optical properties Extensive research activity has been devoted to the synthesis of gallium nitride-based nanowires as GaN has become a technologically important large band gap semiconductor [1] In particular AlXGa1-XN GaN semiconductor structures are being developed for applications in optoelectronics [2] and high frequency devices such as heterostructure field-effect transistors [3]

Catalyst-assisted mechanisms of growth such as the vapour-liquid-solid (VLS) phase [4] and vapour-solid-solid (VSS) phase [5] processes have been widely used to explain the nanowire growth habits arising from various growth processes [6-9] and a wide range of different catalysts [9]

In this paper we have used the chemical resolution of an aberration-corrected scanning transmission electron microscope to investigate the composition after growth of nickel particles used to promote the growth of AlGaN GaN nanowires 2 Experimental Details Two nanowire samples grown by MBE here labelled A and B were investigated The nominal structures were respectively AlGaN and GaN layers alternating along the nanowire growth axes for sample A and uniform Al02Ga08N for sample B The nanowires were deposited on Al2O3 (0001) substrates treated with an annealed thin nickel film used to promote the columnar growth of nanowires The details of the growth process are described elsewhere [10]

The analyses were performed by a VG HB601UX scanning transmission electron microscope with a cold-field emission gun (FEG-STEM) operating at 100 kV equipped with a windowless Si(Li) energy-dispersive X-ray (EDX) spectrometer (Oxford Instrument) Samples were also examined using an aberration-corrected STEM at the SuperSTEM Laboratory This instrument is based on a 100 keV VG HB501 with a cold-field emission source equipped with a Gatan Enfina parallel channel electron energy loss spectroscopy (EELS) system and a Nion Mark II spherical aberration corrector The angular range of the high angle annular dark field (HAADF) detector is from 70 to 210 mrad

222 L Lari et al

3 Results and Discussion The presence of seed particles at the growth tip of the nanowires is indicative of either a VLS or VSS phase growth mechanism Fig 1a - 5a show the nickel seeds found at the tips of both samples

Electron energy loss spectroscopy point analysis (not shown) of the seed in Fig 1a exhibited both oxygen K edge and nickel L23 edges Lattice fringes are clearly visible within the seed particle Fast Fourier Transform analysis of the bright field image as in Fig 1b shows that the fringe spacing is (211plusmn002) Aring This value closely matches 20972 Aring the inter-planar spacing of the (200) plane for NiO ([Bunsenite FM3-M]) [11] The data does not conclusively confirm the stoichiometric NiO phase as the fringes could also arise from Ni-Ga alloys with lattice spacing close to 211 Aring

Further investigation using EDX analysis yielded spectra from the tip of different NWs exhibiting intense Ni and Ga signals The data shown in Fig 2b were quantified using the thin film approximation which gives a value of about 1 for the Ni Ga atomic ratio within the seed area whilst in NW body the Ni signal disappears into the background In Figs 3a 4a and 5a HAADF images show nanowire tips The resolution is limited by the short tilting range of the sample holder in the microscope In each case the nanowire (0001) axes were aligned within 1ordm perpendicular to the electron beam which is confirmed by the transverse lattice fringes Semi-quantitative distributions of elements present in the droplets are shown in the graphs of Fig 3b 4b and 5b EELS spectra were analysed after background subtraction using a Gatan Digital Micrograph fitting routine assuming a single power law decay The EELS edges were integrated choosing spectral windows accordingly to Liu and Brown [12] In all cases the N signal decreases from its value in the AlGaN NW to become negligible throughout the Ni seed This is attributed to the relatively poor solubility of N in Ni In fact the most important compounds of N-Ni systems are Ni3N which decomposes at temperatures above 600 ˚C into Ni and N2 (unless under very high N2 pressures) and Ni4N which decomposes into Ni and Ni3N at temperatures above 250 ˚C [13] These are values well below the growth temperature

Fig 2 a) Sample B STEM BF image with marks of EDX point analysis positions b) Continuous line EDX spectrum from position 1 dotted line spectrum from position 2 (spectra normalized at the Cu peak artifact from the TEM grid) 70 75 80 85 90 95

0

1

2

GaCu

Ni

Inte

nsity

(au

)

Energy (KeV)

20 nm

1

2

a) b) 12

Fig 1 a) Bright field image with lattice resolution of Ni based seed at the tip of a nanowire of sample A in the inset the FFT of the image b) Inverse FFT of the seed region using the selected spots in the FFT

211Aring

b) a)

EMA of AlGaNGaN Nanowires Grown by Catalyst-Assisted MBE 223

Fig 3 a) Sample A HAADF image with EELS line scan mark (02 nm spatial resolution 05 eV energy resolution 20-690 eV energy range) b) Energy loss integrated signals along the line scan corresponding to Ni M23 O K N K and Ga M23 edges (Al edge L23 not detected)

Fig 4 a) Sample B HAADF image with EELS line scan mark (016 nm spatial resolution 07 eV energy resolution range 310-1250 eV) b) Energy loss integrated signals along the line scan corresponding to Ni L23 O K N K and Ga L3 edges (Al edges outside of the energy range)

The nickel distribution is well defined by atomic number contrast in the brighter regions in the

HAADF images which is also reflected in the line scan graphs Gallium remains at about half of the value with respect to that in the nanowire body for seeds in Fig 3 and 4 Oxygen reaches its maximum in the extreme tip of the droplet were nickel and gallium fall to half of the value with respect to their maxima These data indicate that the droplet has an oxide shell which could have been formed after growth and exposure to the ambient The observed elemental distributions in the seed of Fig 5a show a different behaviour (Fig 5b) The Ni and O profiles closely correlate and no metallic core is evident This behaviour found in a relatively small seed was attributed to the fact that the smaller volume surface ratio permits a complete oxidation 4 Conclusions Scanning transmission electron microscopy has been used to explore the composition of nickel catalyst seeds formed during the growth of AlGaN GaN nanowires on sapphire substrates The distribution of nickel catalyst within the nanowires has been characterised by EDX and EELS analyses

0 2 4 6 8 10 12 14

0

1

Inte

grat

ed E

ELS

edg

es (a

u)

Position (nm)

Ni O Ga N

a) b)

0 2 4 6 8 10 12

0

1

Inte

grat

ed E

ELS

edg

es (a

u)

Position (nm)

Ni O Ga N

b) a)

224 L Lari et al

Fig 5 a) Sample B HAADF image with EELS line scan mark (02 nm spatial resolution 07 eV energy resolution range 310-1250 eV) b) Energy loss integrated signals along the line scan corresponding to Ni L23 O K N K and Ga L3 edges (Al edges outside of the energy range)

Gallium was observed in nickel seeds at the growth tips of the nanowires where nitrogen

presence was minimal The seeds also exhibited a gallium doped nickel oxide surface which was attributed to oxidation following removal from the MBE growth system Acknowledgements This work was supported by EU Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) and the IST project NODE 015783 We wish to acknowledge EPSRC for the access to SuperSTEM facilities and the use of the EPSRCs Chemical Database Service at Daresbury References 1 Nitride Semiconductors - Handbook on Materials and Devices 2003 eds Ruterana P Albrecht

M and Neugebauer J (Wiley-VCH Berlin) 2 Johnson J C Heon J C Knutsen K P Schaller R D Yang P D and Saykally R J 2002 Nat

Mater 1 106 3 Huang Y Duan X Cui YX and Lieber C M 2002 Nano Lett 2 101 4 Wagner R S and Ellis W C 1964 Appl Phys Lett 4 89 5 Dick K A Deppert K Maringrtensson T Mandl B Samuelson L and Seifert W 2005 Nano Lett 5

761 6 Wang X Song J Li P Ryou J H Dupuis R D Summers C J and Wang Z L 2005 J Am Chem

Soc 127 7920 7 Zhou X T Wang N Lai H L Kim M H Peng Y Bello I Wong N B Lee C S and Lee S T

1999 Appl Phys Lett74 3942 8 Kim T Y Lee S H Mo Y H Shim H W Nahm K S Suh E K Yang J W Lim K Y and Park

G S 2003 J Crystal Growth 257 97 9 Chen C C Yeh C C Chen C H Yu M Y Liu H L Wu J J Chen K H Chen L C Peng J Y and

Chen Y F 2001 J Am Chem Soc 123 2791 10 Geelhaar L et al (2007) to be published 11 Taylor D 1984 lsquoThe United Kingdom Chemical Database Servicersquo Trans Brit Ceram Soc

83 5 12 Liu D R and Brown M 1987 J Microsc 147 37 13 Wriedt H A in Phase Diagrams of Binary Nickel Alloys edited by P Nash (ASM

International Materials Park OH 1991) pp 213ndash216

0 2 4 6 8 10 12

0

1

Inte

grat

ed E

ELS

edg

es (a

u)

Position (nm)

Ni O Ga N

a) b)

Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 Th Kehagias Ph Komninou G P Dimitrakopulos S-L Sahonta C Chegraveze1 L Geelhaar1 H Riechert1 and Th Karakostas Department of Physics Aristotle University of Thessaloniki GR-54124 Thessaloniki Greece 1 Qimonda D-81730 Munich and NaMLab D-01099 Dresden Germany Summary Well-aligned single crystalline GaN nanowires were epitaxially grown on Al2O3 by molecular beam epitaxy Controlled growth of the nanowires is achieved by tuning the VIII ratio during growth Oxidised single crystalline catalyst droplets of a cubic symmetry are observed on the top surface of the nanowires Adaptation of the cubic lattice on the wurtzite tip is realized via the introduction of a dense network of misfit dislocations at the interface The 100 lattice spacing of the oxide droplets is found to be very close to its strain-free value indicating almost full relaxation by the misfit dislocation network 1 Introduction One-dimensional GaN nanowires (NWs) have recently received a lot of interest due to their potential applications in high quality laser diodes UV light emitting diodes (LEDs) and other photonic nanodevices [1-5] Bottom-up growth methods seem to be much more cost effective and easier for the growth of one-dimensional nanostructures than top-down fabrication techniques Therefore growth techniques that have been used to produce GaN NWs such as metal-organic chemical vapour deposition (MOCVD) hydride vapour phase epitaxy (HVPE) or chemical vapour transport (CVT) and lately molecular beam epitaxy (MBE) are widely spread [6-9] NW preparation is usually based on the vapour-liquid-solid (VLS) growth mechanism mediated by evaporation or sputtering of a catalytic metallic layer (Au Ni Fe) on the substrate Growth of GaN NWs on Si without the presence of a metal catalyst layer has been also reported lately

In this study we report on the catalytic growth of GaN NWs by plasma-assisted MBE on Al2O3 (0001) substrates by employing conventional transmission electron microscopy (TEM) and high-resolution TEM (HRTEM) The crystal properties of GaN NWs and the post-growth structure of the catalyst layer are investigated 2 Experimental A 03 nm-thick layer of Ni was ex-situ sputtered onto the sapphire surface and annealed in the MBE chamber for 15 min at 750degC yielding tiny droplets GaN NWs were then grown initially under N-rich conditions and oriented along the [0001] (axial) growth direction whereas under initial Ga-rich conditions a compact layer of GaN was grown despite the presence of Ni After 300 nm of N-rich growth the VIII-ratio was changed to Ga-rich conditions and NWs were grown mostly in a radial (lateral) manner and thus were thickened near the tip Therefore controlled growth of the NWs can be achieved by adjusting the VIII ratio during growth

In order to determine the type of GaN growth NWs were not harvested from the substrate but were prepared by the sandwich technique followed by the standard mechanical thinning and ion milling processes to reach electron transparency TEM and HRTEM observations were performed in a 200kV JEOL JEM2011 (019 nm point resolution Cs = 05 mm) electron microscope

226 Th Kehagias et al

3 Results TEM observations revealed the single crystalline nature of the GaN NWs which exhibited the wurtzite structure (Fig 1) The length of the NWs varied from 300 to 500 nm and their thicknesses were fairly uniform of the order of 40-50 nm which could reach 60-80 nm at the top of the NWs Several basal stacking faults were detected in most of the NWs deteriorating their crystal quality No other linear or extended crystal defects were observed within the NWs

Fig 1 TEM micrograph showing wurtzite GaN NWs grown on Al2O3 by MBE viewed along the [11 2 0] direction The NWs are well-aligned along the [0001] growth (axial) direction Thickening of the NWsrsquo diameter is observed near their tip due to the transition from N-rich to Ga-rich conditions during growth Basal stacking faults are the only crystal defect observed in the NWs

Electron diffraction analysis and direct imaging in high-resolution mode showed the epitaxial

growth of GaN on Al2O3 where [0001]GaN[0001]Al2O3 (1 1 00)GaN(2 1 1 0)Al2O3 (Fig 2) Small deviations of the order of 1o-3o from the exact axial direction are observed for some NWs

Fig 2 HRTEM micrograph of a single GaN NWndashsubstrate interface illustrating their epitaxial relationship viewed along the [11 2 0] direction The corresponding fast Fourier transform confirms the relationship found by the electron diffraction analysis

On the top surface of the NWs single crystalline droplets 5-20 nm in diameter were observed

(Fig 3) Two sets of equally spaced lattice planes at exactly 90o to each other are resolved in the droplets This suggests either a cubic or a tetragonal symmetry of the droplet lattice We have first examined the possibility that the droplets are the Ni catalyst seeds since pure Ni possesses an fcc lattice High-resolution direct measurements of the observed lattice spacing based on the well-known c lattice constant of Al2O3 resulted in a 0208plusmn0001 nm value that excludes the presence of pure Ni The observed lattice spacing value suits better either NiO which has an fcc lattice with d200=02088 nm or a mixed Ni-Ga oxide ie NiGa2O4 which has a bcc lattice and d400=02065 nm It appears that oxidation of the catalyst occurred prior to the placement of the sample in the MBE chamber and crystallisation took place during high temperature growth

Epitaxial Growth of Single Crystalline GaN Nanowires on (0001) Al2O3 227

Fig 3 HRTEM images of the tip of a NW where a single crystalline droplet is observed viewed along the [11 2 0] direction The magnified part of the droplet illustrates two sets of 100 lattice planes of a cubic structure that was identified to be either NiO or a mixed Ni-Ga oxide

A [001]NiO[0001]GaN (010)NiO(1 1 00)GaN orientation relationship was determined between the cubic droplet and the wurtzite GaN lattices This orientation induces a high misfit strain due to the large differences of the in-plane lattice spacing of the two lattices Misfit strain is effectively accommodated by a network of misfit dislocations (MDs) at the interface plane The projected edge component of the MDs can be visualized as terminating lattice fringes by Fourier analysis using the corresponding spatial frequencies of the two lattices ie 010 NiO 1 1 00 GaN (Fig 4a) Furthermore the exact location of the cores of MDs can be revealed by the dislocation density tensor which is defined as a = curl (e) where e is the tensor of the dislocation strain field [10] The dislocation density tensor is calculated in two dimensions from experimental HRTEM images utilising the geometric phase analysis (Fig 4b) [11]

Fig 4 A magnified part of the dropletGaN interface a) Fourier image of a part of the interface showing the position of the edge component of 5 MDs b) two-dimensional plot of the calculated dislocation density tensor of the same area depicting the exact location of MD cores a rather rough dropletGaN interface is revealed and a 081 nm average MD spacing is measured

The integrity of the experimental observations on the misfit relaxation of the two structures is

strengthened by the structural interfacial model shown in Fig 5 which illustrates the viability of the observed orientation relationship between the two lattices Simulated HRTEM images based on the structural model exhibit remarkable similarity to the experimental images

228 Th Kehagias et al

Fig 5 Structural model of the dropletGaN interface along [100]NiO[11 2 0]GaN showing three MDs and the corresponding simulated HRTEM image

4 Conclusions Single crystalline GaN NWs were epitaxially grown on (0001) Al2O3 by MBE Axial or radial growth of the NWs can be obtained by tuning the VIII ratio during the growth process Oxidised single crystalline metallic droplets are observed at the tips of the NWs that were identified as cubic NiO or mixed Ni-Ga oxide having a 0208plusmn0001 nm 100 lattice spacing Adaptation of the oxide and GaN lattices is realized by the introduction of a dense network of MDs in the interfacial plane which permits almost full relaxation of the misfit strain The exact location of MDs and their cores is obtained by means of Fourier analysis and the calculated dislocation density tensor specifying a 081 nm average MD spacing Structural modelling of the dropletGaN interface and the resulting HRTEM simulated images soundly verified the experimental analysis Acknowledgement This work was supported by EU Marie Curie RTN contract MRTN-CT-2004-005583 (PARSEM) References 1 Xia Y Yang P Sun Y Wu Y Mayers B Gates B Yin Y Kim F and Yan H 2003 Adv Mater

15 353 2 Hernaacutendez-Veacutelez M 2006 Thin Solid Films 495 51 3 Greytak A B Barrelet C J Li Y and Lieber C M 2005 Appl Phys Lett 87 151103 4 Cha H Y Wu H Q Chandrashekhar M Choi Y C Chae S Koley G and Spencer M G 2006

Nanotechnology 17 1264 5 Pauzauskie P J Sirbuly D J and Yang P D 2006 Phys Rev Lett 96 143903 6 Seryogin G Shalish I Moberlychan W and Narayanamurti V 2005 Nanotechnology 16 2342 7 Kipshidze G Yavich B Chandolu A Yun J Kuryatkov V Ahmad I Aurongzeb D Holtz M

and Temkin H 2005 Appl Phys Lett 86 033104 8 Bertnessa K A Roshkoa A Sanforda N A Barkera J M Davydov A V 2006 J Cryst Growth

287 522 9 Cerutti L Ristić J Fernaacutendez-Garrido S Calleja E Trampert A Ploog K H Lazic S and Calleja

J M 2006 Appl Phys Lett 88 213114 10 Nye J F 1953 Acta Met 1 153 11 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131

Structural Characterisation of GaP lt111gtB Nanowires by HRTEM L S Karlsson J Johansson1 C P T Svensson2 T Maringrtensson1 B A Wacaser1 J-O Malm K Deppert1 W Seifert1 L Samuelson1 and L R Wallenberg

Polymer amp Materials Chemistry Lund University P O Box 124 SE-221 00 Lund Sweden 1 Solid State Physics Lund University P O Box 118 SE-221 00 Lund Sweden 2 QuNano AB Stora Fiskaregatan 13E SE-222 24 Lund Sweden Summary GaP lt111gtB nanowires are dominated by (111) twins orthogonal to the growth direction and show well-developed 111 side-facets Based on this a 3D-model has been constructed with a cross-section of an octahedron used as a building block The twins can be of ortho- or para type ie by 60deg about the growth axis or 180deg in the twin plane The segment thickness variation follows an exponential distribution with a clear dependence on growth temperature Multislice simulations show different features of the twin types that are useful for further characterisation 1 Introduction Nanowires of semiconductor materials show promising properties for applications within life science physics photonics and electronics [1] To obtain optimal properties the quality of the crystal structure is of importance and imperfections like stacking faults and twin planes need to be avoided This is especially true when it comes to miniaturisation of transistors and memory devices Repeated stacking faults are often observed for nanowires of GaAs [2] InAs [2] and InP [3] in the lt111gtB growth directions Unfortunately this is also one of the most favourable directions for epitaxial growth To circumvent the formation of imperfections one must understand the mechanisms of growth for the nanowires We have earlier shown that the growth direction is of importance for GaAs [4] where lt111gtA nanowires exhibit single crystalline zinc blende structure and lt111gtB show partly periodic (111) twinning The cross-section of the GaAs lt111gtA is triangular with 1 1 2 facets and that of the lt111gtB hexagonal with 11 2 and 1 1 2 facets 2 Structural Characterisation In this study we present a crystal model for GaP lt111gtB nanowires with periodic twinning in the zinc blende structure Our model is based on HRTEM images of three samples grown at 440 470 and 500degC prepared by metal-organic vapour phase epitaxy (MOVPE) [5] with size-selected Au aerosol nanoparticles [6] as growth activation sites The metal-organic precursors used were trimethylgallium and phosphine PH3 Before growth is initiated the nanoparticle covered substrate is annealed at 650degC in PH3 atmosphere to remove residual oxides and contaminants without degrading the substrate The temperature is then ramped up to the chosen growth temperature

The TEM characterization was performed using a JEOL 3000F FEG-TEM with a point resolution of 016 nm in conventional mode Two viewing directions lt 1 10gt and lt11 2 gt achieved by using a double-tilt holder were used to construct the model When viewed in lt 1 10gt the nanowires exhibit edges with ripples (Fig 1b) where the trenches are 141deg ie coinciding with

230 L S Karlsson et al

(111) twinning Subsequently when viewed in lt11 2 gt the twinning planes and ripples completely align and the nanowires appear defect free with sharp edges (Fig 1d) This can only be true if all the stable faces are structurally related as 111 A 3D-model [7] based on hexagonal segments of alternating (111)A and (111)B facets explains these phenomena (Fig 2)

Depending on the type of twin [8] ortho- (Ga-P) or para- (Ga-Ga or P-P) the distribution of the termination of the nanowire facets can be changed (Fig 2) However the probability of forming a para twin is low since the Ga-P bond is energetically favourable

Fig 1 bd) HRTEM images of a GaP lt111gtB nanowire (a) The wire exhibits 141deg trenches in lt 1 10gt in accordance with (111) twinning The respective segments light (L) and dark (D) share a common (111) plane (c) In lt11 2 gt (d) the same wire appears defect free (e) First published in [7]

Fig 2 3D-model (a-c) of a nanowire exhibiting repeated (111) twinning The twin type ortho (d) or para (e) determines the distribution of 111A and 111B facets

Structural Characterisation of GaP lt111gtB Nanowires by HRTEM 231

3 Statistical Analysis The segment thickness along single nanowires was determined from HRTEM images for a number of (111) Ga-P layers It was found that the average thickness of the segments decreases with growth temperature (Fig 3) The segment thickness distribution of single nanowires showed exponential behaviour and could be fitted to 83 50 and 33 monolayers (ML) for growth temperatures of 440 470 and 500degC respectively [7] Hence the probability of forming a twin plane increases with temperature From the Arrhenius behaviour of this probability we could estimate the sizes of the critical nuclei of the twin and the normal planes We assume that growth occurs in a layer-by-layer fashion with 1 ML thick nuclei of half circular shape and critical radii r and r

T for normal and twin nuclei respectively The data gave a normal critical nucleus corresponding to r = 15 nm (43 Ga-P units) and a twin critical nucleus of r

T = 30 nm (164 Ga-P units) As the twin plane formation is less favoured energetically the twin critical nucleus will be larger

Fig 3 a) Variation in segment thickness along individual nanowires viewed in lt 1 10gt 1 ML = 1 Ga-P (111) b) The resulting histograms show exponential trends with average segment thickness decreasing with increasing growth temperature [7] However there is no dependence on wire radius r

4 Simulations Multislice simulations of the three different twin types (Fig 4) indicate that the para twins (P-P or Ga-Ga) are fully symmetrical over the twin plane the only difference being the bond length [8] Contrary to that the ortho twin type exhibits an out-of-phase relation similar to an anti-phase boundary due to the relative displacement of the Ga-P pairs However it is seldom straight forward to directly use this criterion to distinguish the predominant twin type from the HRTEM images as noise and misorientation largely affect the obtainable resolution Image reconstruction of a focal series or use of an aberration-corrected TEM could assist in obtaining even higher resolution and work is on-going in this direction It should be noted that previous STM studies [9] of cleaved embedded nanowires indicate that the twin operation is of the ortho type ie maintaining the epitaxial Ga-P bonding over the twin

232 L S Karlsson et al

Fig 4 Multi-slice simulations in lt 1 10gt of 20 nm nanowires models The para twins (Ga-Ga 0252 nm and P-P 022 nm) are completely symmetric over the twin plane while the ortho twins show an out-of-phase relation due to the relative position of the Ga-P pairs

References 1 Samuelson L Thelander C Bjoumlrk M T Borgstroumlm M Deppert K Dick K A Hansen A E

Maringrtensson T Panev N Persson A I Seifert W Skoumlld N Larsson M W and Wallenberg L R 2004 Physica E 25 313

2 Hiruma K Yazawa M Katsuyama T Ogawa K Haraguchi K Koguchi M and Kakibayashi H 1995 J Appl Phys 77 447

3 Bhunia S Kawamura T Fujikawa S Nakashima H Furukawa K Torimitsu K and Watanabe Y 2004 Thin Solid Films 244 464

4 Wacaser B A Deppert K Karlsson L S Samuelson L and Seifert W 2006 J Crystal Growth 287 504

5 Borgstroumlm M Deppert K Samuelson L and Seifert W 2004 J Crystal Growth 260 18 6 Magnusson M H Deppert K Malm J-O Bovin J-O and Samuelson L 1999 J Nanopart Res 1

243 7 Johansson J Karlsson L S Svensson C P T Maringrtensson T Wacaser B A Deppert K

Samuelson L and Seifert W 2006 Nature Mater 5 574 8 Cohen D McKernan S and Carter C B 1999 Microsc Microanal 5 173 9 Mikkelsen A Skoumlld N Ouattara L Borgstroumlm M Andersen J N Samuelson L Seifert W and

Lundgren E 2004 Nature Mater 3 519

Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs H Kirmse W Neumann S Kret1 P Dłużewski1 E Janik1 G Karczewski1 and T Wojtowicz1 Humboldt-Universitaumlt zu Berlin Institut fuumlr Physik AG Kristallographie Newtonstraszlige 15 D-12489 Berlin Germany 1 Institute of Physics PAS Al Lotnikoacutew 3246 02-668 Warsaw Poland Summary ZnTe nanowires produced by molecular beam epitaxy via the vapourliquidsolid mechanism were studied by transmission electron microscopy The wires grew along the lang111rang directions pointing out of the (001)-oriented GaAs substrate The length of the wires amounted to some microns depending on the growth time The mean diameter ranged between 30 and 60 nm depending on the size of the gold droplet The majority of the wires exhibited stacking faults with only a few 111 monolayers sequence perpendicular to the wire axis as revealed by high-resolution TEM Analysing the sidewall of the nanowires an enrichment of oxygen was detected by electron energy loss spectroscopy The gold spheres at the tips of nanowires additionally contained gallium and tellurium The gallium was incorporated during the initial formation of the eutectic droplets at the GaAs substrate 1 Introduction The growth of one-dimensional compound semiconductor structures attracted a lot of attention during the last few years [1] The basis of technology for the growth of nanowires (NWs) was inspired by the old idea of catalytic growth of silicon whiskers of micrometre dimensions proposed and elaborated by Wagner and Ellis in 1965 [2] This method is known as the vapor-liquid-solid (VLS) mechanism and uses a catalyst in the shape of nanoparticles There are several reports on NWs of different II-VI semiconductor compounds such as selenides and sulfides As for tellurides some reports on CdTe [3] and ZnTe [4] were presented where the NWs were obtained by chemical methods Our first results on ZnTe NWs grown by MBE on (001)-oriented GaAs with gold as catalyser have been recently presented [5] where the initial structural investigations prove the high perfection of the crystalline structure of the NWs which grow in lang111rang directions However the composition of the wire surface as well as of the gold particle was not studied so far 2 Experimental The growth of ZnTe NWs was performed in an EPI 620 MBE system equipped with solid-source Zn Cd Mg Mn and Te effusion cells We used epi-ready GaAs substrates oriented 2deg off the principal orientation Before the growth of NWs a thin layer of Au was deposited in a separate MBE (Riber) chamber dedicated for growth of metal-based structures Gold was deposited at 200 degC without prior removal of the surface oxides The substrate with Au layer was then transferred through air to the II-VI MBE growth chamber and heated in two steps first to about 580 degC and then to 550 degC where it was kept for 10 min The changes in the RHEED pattern provided information about the processes of formation of eutectic liquid alloy AuGa (with the eutectic temperature of 350 degC) on the substrate surface the desorption of GaAs oxides (at about ~580 degC) and finally the formation of Au droplets [5] The following MBE growth parameters were thoroughly investigated during NW growth the substrate temperature (380 degC - 440 degC) the impinging flux ratios ZnTe and growth time

234 H Kirmse et al

The samples were prepared for transmission electron microscopy (TEM) studies by harvesting the nanowires from the substrate on a holey carbon film supported by a copper grid TEM investigations were performed with a JEOL 2200FS (200 kV) equipped with an in-column energy filter Both diffraction contrast imaging and high-resolution TEM imaging at a spatial resolution of 019 nm were applied for structural analysis of the nanowires For the characterization of the surface of the wires electron energy loss spectroscopy (EELS) in scanning TEM spectrum mode was utilized A diameter of the electron probe of 07 nm was chosen for the experiments being the compromise with respect to spatial resolution and to the intensity ratio of signal and background The chemical composition of the gold droplets was inspected by means of energy-dispersive X-ray spectroscopy (EDXS)

Fig 1 High-resolution TEM a) Overview image showing two wires at a carbon film support The region marked by arrow was inspected by HRTEM b) HRTEM image showing stacking faults within the ZnTe nanowire The lattice fringes seen in the sidewall correspond to ZnO The supporting carbon film causes the background c) Magnified view of the edge of the wire The atomic structure of ZnTe (symbolized by black and white dots) is resolved for one of the two twin domains Lines mark the stacking fault planes

3 Structural Analysis of the ZnTe Nanowires The analysis of the atomic structure of the ZnTe nanowires was done by high-resolution TEM In Fig 1 a sequence of images acquired at increased magnification is given Figure 1a is an overview showing two harvested ZnTe nanowires The central one has a length of about 500 nm Since the mean length of the nanowires amounts to a few microns not the complete nanowire was separated from the GaAs substrate The lower part of the wire (upper right of Fig 1a) exhibits asymmetric shape One sidewall is comparably flat where the opposite one appears facetted The facets result from lateral deposition of ZnTe subsequently to the growth of an initial cylindrically shaped wire as predefined by the Au sphere When approaching the tip of the wire where the gold is seen as a dark sphere the sidewall is flat on both sides Here the lateral deposition did not start yet Inspecting this region at an atomic scale numerous stacking faults are visible (cf Fig 1b) The

Structural and Chemical Properties of ZnTe Nanowires Grown on GaAs 235

distance between the stacking fault planes varies between two and about ten monolayers In the area close to the edge (see magnified view of Fig 1c) the Zn-Te dumbbells are resolved for one of the two twin domains (cf black and white dots marking the atom positions) The distance between Zn and Te in this projection is 015 nm being below the spatial resolution of 019 nm of the microscope The visibility of this dumbbell structure is due to the oscillations of the contrast transfer function for a field-emission gun microscope Carefully inspecting the sidewall of the nanowire an sim3 nm thick layer is found with sets of lattice planes different from those of ZnTe The distances of several fringes were measured as denoted in Fig 1b The values only agree with the lattice fringe distances tabulated for hexagonal ZnO This oxide can form during treatment of the wires subsequent to the growth experiment

a)

c)

b) Fig 2 Electron energy loss spectra recorded at the centre (C) and the surface (S) of a ZnTe nanowire

a) Te-M45 and O-K ionisation edge At the surface an enrichment of oxygen is found

b) Zn-L23 ionisation edge c) STEM dark-field image with

markers of positions of analysis 4 Chemical Analysis of the ZnTe Nanowires The chemical characterization was performed by EELS In Fig 2 the spectra acquired at the surface (S) and the centre (C) of a nanowire are given Due to the thickness gradient the integral intensity of the spectrum at the sidewall is lower compared to the centre of the wire The O-K edge at an energy loss of 532 eV (cf Fig 2a) shows a distinct higher peak for the surface compared to the centre This behaviour can be explained by a shell-like structure with a surface layer containing oxygen The O-K peak is detected in the spectrum of the centre as well but with lower intensity The intensity of the Te-M45 peak is increased At the centre the oxygen-containing layer is distributed on top and bottom of the nanowire as sketched in the inset of Fig 2a Consequently some oxygen has to be found there but with reduced intensity compared to Te present within the wire The peak ratio TeO at the centre is about 10 whereas it is about 15 at the surface The formation of zinc oxide was concluded from the lattice plane distances of Fig 1b) Hence zinc should be detectable within the surface layer In Fig 2b two spectra are given acquired at the positions C and S as for Fig 2a but here the energy loss interval between 950 and 1200 eV is registered including the Zn-L23 edge at 1020 eV Due to its high energy loss the Zn peak seen in the spectrum of the centre is not very prominent For the surface of the nanowire the Zn peak is decreased by a factor of 2 but it is not as much as for the Te peak (factor

236 H Kirmse et al

of about 3) at this position This means that besides oxygen also zinc is enriched in the surface layer Hence the formation of ZnO at surface of the ZnTe nanowire is verified also by a chemically sensitive method 5 Chemical Peculiarities of the Gold Droplets The ZnTe nanowires are assumed to grow via a vapourliquidsolid (VLS) process where the evaporated atomic species are dissolved in a liquid phase and transported to the growing crystal For a better understanding of this process the gold droplets at the top of wires were studied by EDXS with respect to their chemical composition The spectrum shown in Fig 3a was collected for the electron probe positioned at the central region of the sphere Gold is found as expected but in addition gallium as well The gallium is due to a dissolution process during the heating of gold directly on GaAs for the formation of eutectic droplets prior to the growth of ZnTe

Fig 3 Energy-dispersive X-ray spectroscopy of the gold droplet Left central region - the copper peak is due to fluorescence effect from the supporting grid Right surface region - increased content of Ga and Te is detected The spectrum of the surface of the droplet (see Fig 3b) shows an increased gallium peak ie

gallium is enriched at the surface of the gold droplet after solidification The content of zinc in both the volume and the surface of the droplet is low hinting to complete consumption of zinc after closing the shutters of the MBE sources Consequently the amount of zinc provided for the VLS process limits the growth of the nanowires Acknowledgements The research was partially supported by the Ministry of Science and Higher Education (Poland) through grant N507 030 310735 and by the Network New materials and sensors for optoelectronics information technology energetic applications and medicine References 1 Samuelson L at al 2004 Physica E 25 313 2 Wagner R S and Ellis W C 1964 Appl Phys Lett 4 89 3 Sochinskii N V Silveira J P Briones F Saucedo E Herrero C M Fornaro L Bermudez V and

Dieguez E J 2005 J Cryst Growth 275 1331 4 Liang Li Youwen Yang Xiaohu Huang Guanghai Li and Lide Zhang 2005 J Phys Chem B

109 12394 5 Janik E Sadowski J Dłużewski P Kret S Baczewski L T Petroutchik A Łusakowska E

Wroacutebel J Zaleszczyk W Karczewski G and Wojtowicz T 2006 Appl Phys Lett 89 133114

TEM Characterization of ZnO Nanorods R Divakar J Basu and C B Carter Chemical Engineering amp Materials Science University of Minnesota Amundson Hall 421 Washington Ave SE Minneapolis MN 55455 USA Summary ZnO nanostructures constitute an important component of certain nanostructured devices In most configurations aligned growth of the ZnO nanorods is required Aqueous-solution synthesis is used to synthesize oriented ZnO nanorods on a ZnO thin film prepared by pulsed-laser deposition The synthesis processes have been adapted to TEM-ready sapphire substrates to enable simultaneous crystallographic microchemical and high-resolution studies in the TEM Issues related to the growth mechanism and incorporated defects are addressed 1 Introduction ZnO is an important wide band-gap semiconductor that has applications in the nanorod form as sensors [12] piezoelectric transducers for optical devices and for solar cells [3] A number of synthesis routes have been used for the synthesis of ZnO nanorods including catalyst-assisted vapor transport hydrothermal synthesis and pulsed-laser deposition (PLD) Of these the hydrothermal reaction of Zn(NO3)2 and hexamethylenetetramine carried out in aqueous solutions at atmospheric pressure and below the boiling point of water offers the best control over the nanorod morphology and is also amenable to various substrates and device geometries Rods of a few tens of nanometers diameter and several micron long can be grown in relatively short times Despite the large number of publications on ZnO-nanorod synthesis by this route the growth mechanism is not properly understood In this paper we address some of these issues by a transmission electron microscope (TEM) study of ZnO nanocrystals and nanorods grown in a geometry that is particularly suited for in situ studies 2 Experimental Sapphire TEM specimens were prepared in the conventional way Sapphire substrates were cleaned in aqua regia and annealed at 1400ordmC for 12 h prior to thin-film deposition PLD films were synthesized using a Lambda Physik LPX 210i (KrF 248 nm) system with a laser energy of ~200 mJpulse at the target The substrate temperature was 750ordmC while the number of laser pulses was varied between 2500 and 10000 at a repetition rate of 5 Hz Deposition was carried out under an oxygen pressure of 20 mTorr Hydrothermal synthesis of ZnO nanorods on these films was carried out by the method reported earlier [4] Substrates with the PLD ZnO films were suspended face-down in the aqueous bath with a custom designed Teflon holder TEM studies were carried out on a Tecnai G2 F30 equipped with EDS and STEM HAADF detectors operated at 300 kV Scanning electron microscope (SEM) studies were carried out in a Hitachi S-900 FESEM The in situ heating stage experiments were carried out in a Tecnai T12 TEM operated at 120 kV 3 Results The ZnO nanorods were hydrothermally grown on various substrates including TEM carbon grids At the initial stages of growth hexagonal platelets are formed on the substrate (Fig 1a) and then the kinetically favored growth along the [0001] direction leads to the nanorod morphology

238 R Divakar J Basu and C B Carter

(Fig 1b) The nanorods appear to grow from the centre in two opposite directions and the [0001] growth direction of the nanorods can be confirmed from the diffraction pattern (Fig 1b (inset)) The (0001) surface of wurtzite ZnO is polar it is expected that the polarity of the nanorods would be different at the two ends The dark-field images of a nanorod with positive and negative 0002 reflections are given in Figs 1c and 1d Polarity reversal of the nanorod across the central section can be confirmed from the complementarity of the images The minor deviation in complementarity can be attributed to the change in extinction length thickness and the difference in the deviation parameter during dark-field imaging

Fig 1 a) Hexagonal platelets formed at the initial stage of nanorod formation b) Hydrothermally grown ZnO nanorod The diffraction pattern (inset) shows that the growth direction is [0001] c) and d) Complementary contrast in the dark-field images of the nanorod with non-centrosymmetric positive and negative 0002 reflections proving polarity inversion

The ZnO films that were synthesized on c-plane sapphire with 2500 pulses in the PLD showed

a c-plane orientation in the XRD Figure 2a is an SEM image showing a continuous film on a bulk c-plane-oriented sapphire substrate with nanorods embedded between the grains Such nanorods are rare with respect to number density and were formed directly in the PLD Also the size of the nanorods grown directly by PLD is very small compared with those that can be grown in a similar time by hydrothermal synthesis ZnO film synthesized under identical conditions in the PLD on a

a b

c d

TEM Characterization of ZnO Nanorods 239

TEM-ready substrate shows (Fig 2b) the thin-film to be continuous and composed of faceted grains of 30-40 nm size with irregular polygonal cross sections The corresponding diffraction pattern in Fig 2c shows rings which index to wurzite ZnO and spots from the sapphire The ZnO 0002 ring is missing indicating the complete c-plane orientation of the grains Along the edges where the substrate was thicker short 20-40 nm nanorods were seen (Fig 2d) to have formed similar to that seen in Fig 2a from the edge of the ZnO thin-film

Fig 2 ZnO thin-film on c-plane sapphire substrate synthesized by PLD (2500 pulses 750degC) a) Secondary electron image of film on bulk substrate b-d) ZnO thin-film on c-plane sapphire TEM sample b) Bright-field image c) SAD pattern 0002 ring from ZnO is missing indicating the c-axis orientation of the film and d) nanorod growth at the edge of the TEM sample

Thicker films grown by PLD with 10000 pulses at 750degC showed a continuous film with larger

grains and did not show a predominance of (0001) orientation of grains as detected by XRD When these were subjected to hydrothermal growth conditions some of the grains were seen to have grown nanowires on them while others showed faceted surfaces of irregular hexagonal shape (Fig 3a) The relatively smaller number density of the nanorods on the thin-film can be attributed to the smaller number of suitably oriented grains On the c-Al2O3 TEM sample near-perfect hexagonal grains were seen to grow after the hydrothermal synthesis This assembly of aligned ZnO nanorods on PLD-deposited ZnO thin films onto a TEM-ready substrate was subjected to

a b

c d

240 R Divakar J Basu and C B Carter

in situ heating in the TEM It was observed that at around a temperature of 600-700 degC ZnO tends to react with the sapphire substrate leading to spinel formation (Fig 3b)

Fig 3 a) SEM image of aligned ZnO nanorods which can be seen on the PLD film after hydrothermal synthesis b) TEM image indicating that the nanorods react with the substrate to form spinel at 700 degC during the in situ heating experiment

4 Discussion For aligned growth of ZnO nanorods on various substrates ZnO nanocrystals are seeded on the substrate by thermal decomposition of organic zinc salts Often a reaction layer is formed at the ZnO nanorod-seeded substrate interface degrading the functional properties The PLD-deposition of thin film for subsequent growth of aligned ZnO nanorods is a novel technique in the sense that the thermal decomposition step can be avoided though the in situ heating stage experiment suggests that the assembly will lose its functionality beyond a substrate-dependent temperature due to an enhanced solid-state reaction at the nanorod-substrate interface ZnO nanorod growth by the hydrothermal synthesis route closely resembles single crystal growth The seed nucleation and interplay of surface energies lead to this particular morphology Acknowledgements The authors would like to acknowledge funding from the 3M Heltzer Endowed Chair and partial financial support by the MRSEC Program of the NSF under Award Number DMR-0212302 References 1 Rout C S Hari Krishna S Vivekchand S R C Govindraj A and Rao C N R 2006 Chem Phys

Lett 418 586 2 Xu J Chen Y Li Y and Shen J 2005 J Mater Sci 40 (Supp 2) 2919 3 Leschkies K S Divakar R Basu J Enache-Pommer E Boercker J E Carter C B Kortshagen U

R Norris D J and Aydil E S Submitted for publication 4 Divakar R Basu J and Carter C B 2006 Microsc Microanal 12 (Supp2) 698CD

a b

Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy L Lazzarini G Salviati M Zha and D Calestani IMEM-CNR Parco Area delle Scienze 37A 43010 Fontanini-Parma Italy Summary Cathodoluminescence nanoscale spectroscopy performed before and after in-situ electron beam irradiation and ex-situ annealing experiments on single SnO2 and In2O3 nanowires and nanobelts is presented A broad and composite yellow-green band is revealed on both SnO2 and In2O3 single nanoribbons A correlation between oxygen vacancies and emissions at 580 nm and 460 nm in SnO2 and In2O3 respectively is found No near band edge emission is revealed in both the materials As for SnO2 this result is assigned to a major role for surface effects with respect to bulk properties 1 Introduction The development of new materials whose dimensions are below the de Broglie wavelength of electrons has given impetus to characterization techniques able to study the transport and optical properties on nanometre scale In particular metal oxides represent a class of materials with properties covering a range from metals to semiconductors and insulators which are appealing for chemical sensing [1] 4d metal oxide SnO2 and In2O3 are prototype transparent conductive solids When obtained in the form of nanowires (NWs) or nanobelts (NBs) due to the favourable surface to volume ratio they find application as gas sensors as the size becomes smaller than twice the Debye length the crystals are depleted and the sensor sensitivity is greatly increased Both of the oxides have been demonstrated to have good sensing for CO methane ethanol hydrogen hydrogen sulphide and oxidizing gases such as O3 or NO2 Many papers agree on a major role for oxygen vacancies (VO) regarding sensitivity selectivity and stability of the sensing devices [2 and references therein] however controversial results on the correlation between optical emissions and VO are still present in the literature

Here we present scanning electron microscope cathodoluminescence (SEM-CL) spectroscopy performed in-situ on single SnO2 and In2O3 NWs and NBs before and after electron beam irradiation We show that monitoring of the CL emission variations under irradiation is an alternative and reliable approach to study of the effect of conventional post-growth oxygen thermal treatments on the Vo behaviour

In addition to non resonant excitation conditions submicron pan- and mono-chromatic imaging nanometric in-plane [3] and depth-resolved spectroscopy [4] the CL technique also allows us to change the injected carrier density from 108 to 1012 cm-2 in a single experiment [5] to perform power dependent optical spectroscopy on nanostructures If used on single nanobelts it can reveal different point defect concentrations due to different growth conditions and can reveal anticorrelation of the integrated intensities of different emissions [6] Taking advantage of these peculiarities in this paper CL has been employed between 10 K and 300 K for

i studying the optical emissions of single nanobelts with different thicknesses and shapes ii comparing the shape variation of the CL bands before and after in-situ irradiation procedures as a function of the irradiation time and injected power

When performed at low magnification and at low injection power CL gives the same information as obtained by PL spectroscopy The only difference is the linewidth which is larger for CL because of the stronger phonon coupling due to the highly energetic impinging

242 L Lazzarini et al

electrons (the injected carriers have much larger kinetic energy than the host lattice thermal energy) 2 Experimental SnO2 and In2O3 NWs were obtained in a home-made tubular furnace which can be heated up to 1200degC (1300deg for In2O3) with a suitable gradient profile and in which different gaseous ambients can be used during the growth The NWs were grown on different commercial substrates (Al2O3 SiO2 Si) through a vapour-liquid-solid process Starting with an SnO powder source (that dissociates into Sn and SnO2 at high temperature) with the optimum treatment temperature and gas pressures (patent pending) SnO2 NWs have been obtained with n-type conductivity (n asymp 1017 cm-3) and a tetragonal Rutile-like structure More detailed information on the growth conditions can be found elsewhere in Refs 7 and 8 Cubic (a=10118 Aring) n-type (n asymp 3 1017 cm-3) indium oxide NWs have been grown starting from a 6N pure metallic In source with a timetemperature controlled vapour transport and oxidation process without the use of catalysts Some of the SnO2 and In2O3 specimens have been treated in an oxygen-rich atmosphere at 1000degC for 24h Both types of nanoribbons did not show any other spurious phases

A commercial MonoCL2 system from Gatan using a multi alkali halide photomultiplier detector attached to a 360 Stereoscan Cambridge SEM has been used for high power injection CL spectroscopy and monochromatic imaging in order to study the correlation between complexes of point defects and shape and energy position of the CL spectra 3 Results and Discussion To the best of our knowledge no other authors but the present ones have shown SEM-CL spectroscopy on single SnO2 and In2O3 NWs and NBs the majority of the reported results in the literature concerning the study of average optical emissions obtained by conventional PL spectroscopy Figure 1 shows two typical SEM images of the SnO2 and In2O3 NW and NB tangles

Fig 1 Comparison between SEM micrographs of SnO2 (left) and In2O3 (right) NWs and NBs

Literature data [9-11] report on broad PL optical bands from SnO2 NBs in the visible wavelength range from 400 nm to 600 nm assigned to nanocrystals inside each NB or to Sn or O vacancies occurring during the growth which can induce trapped states in the band gap [12] Other authors [13] show two distinct PL emissions at 400 and 430 nm which are ascribed to Sn interstitials or dangling bonds and to oxygen vacancies respectively No evidence of near band edge (NBE) PL emission at 320-340 nm is however reported

Our previous CL results [7] show that the main emission coming from single SnO2 NWs and NBs is a broad band centred at about 580 nm with a shoulder at about 460 nm at RT independently

5microm 5microm

Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy 243

on the substrate kind and growth conditions Theoretical work in the literature suggests the emission at 580 nm should be ascribed to VO andor tin interstitials (Sni) These two intrinsic point defects tend to form spontaneously having in most cases a very low formation energy [14] and result in the n-type character of the sample conductivity A faint NBE CL emission has been found only in NBs larger than 1000 nm This result is consistent with a major role of the surface with respect to volume properties and with a pinning of the Fermi level and of acceptor-like surface states in n-type samples as well as on the presence of a space charge layer [15] It has been recently shown how a depleted layer of ~ 300 nm from the surface at which excitons start giving the NBE emission in SnO2 NWs can be estimated [7] The appearance of a NBE transition only in wires and belts with a thickness larger than 1 microm is coherent with this picture

Concerning In2O3 several data about the optical properties are present in the literature mainly deduced from absorption or photo-luminescence spectra measured at room temperature on both thin films and nanostructures [16-23] While bulk In2O3 should not emit light at room temperature [24] more or less intense emission in the UV-visible region has been observed in In2O3 films or nanostructures Although this is considered in many papers the nature of the unexpected emission is not yet clear As for SnO2 in our In2O3 NWs we have found a broad CL band peaked at about 580 nm (see below) No band edge emission has been revealed 31 In-situ e-Beam Irradiation and CL Spectroscopy To better understand the influence of oxygen vacancies on the CL spectra two different experiments have been done The first aimed to reduce the VO concentration was a post growth annealing of the samples in an oxygen rich atmosphere The spectra shown in Fig 2 indicate that upon this annealing the 580 nm emission is noticeably reduced From Gaussian deconvolution procedures the integrated intensity ratio between the emissions at 460 and 580 nm changes from 14 to 120 before and after oxygen treatment respectively

The second experiment aimed to increase the VO concentration was the controlled e-beam irradiation of a single NW inside the SEM The CL spectra collected on the same NW before and after electron beam irradiation (Fig 3) show a net increase of the integrated intensity of the emission peaked at 580 nm supporting the assumption that the emission is related to VO

As a matter of fact it has been demonstrated [37] that the main effect of irradiation at least in SnO is not a knock-on damage but rather the formation of electron induced structural transformation (radiolytic processes) with the characteristic formation of V0 This must be even more likely in the case of SnO2 where an energy inexpensive transformation can lead to the formation of V0 [14]

300 400 500 600 700 800-2000

0

2000

4000

6000

8000

10000

12000

14000

16000

CL Eb=25 keV T=300 K Ib=45 nA25000 x t=400 nm

CL

Inte

nsity

(au

)

Wavelenght (nm)

as grown

annealed

Fig 2 CL spectra on the same SnO2 NB before and after thermal treatments in oxygen rich atmosphere

244 L Lazzarini et al

0 100 200 300 400 50010000

15000

20000

25000

30000

35000

40000

CL

Inte

nsity

(au

)

time (sec)

SnO2 nanobelt 50x 500 nm L=5 micromEb=20 keV Ib= 500 nA T=300 K 10000 xA emission at 580 nm B emission at 460 nm

A

B

This theoretical assumption is supported by our experimental results on the time evolution of the CL bands as shown in Fig 4 Here after an initial increase of the band peaking at 560 nm a continuous slow downward trend is shown due to NB structural damage induced by the electron beam irradiation On the contrary as expected the intensity of the emission at 460 nm after a first decrease remains constant in time

As mentioned before the same experiments have been carried out on In2O3 samples in

order to understand the nature of the bands forming the CL spectra Figure 5 reports on the CL spectra before and after ex-situ thermal treatments at 1000 degC for 24 h in an oxygen rich atmosphere A typical broad band centred at 590 nm (also observed in PL studies not shown here) was found in the as grown NWs After the oxygen treatment contrary to the SnO2 the total integrated intensity of the CL band increases suggesting however a connection with VO When CL spectroscopy after e-beam irradiation inside the SEM was performed the barycentre of the band in Fig 3 blue shifted and a shoulder peaked at 480 nm came out clearly induced by the irradiation procedure

Figure 6 presents the comparison between two CL spectra obtained at 77 K before and after e-beam irradiation on a 250 nm thick In2O3 NB at Ib=2 microA for 20 minutes keeping constant the beam energy and current In addition to the band at about 590 nm the larger integrated intensities of the emission at 480 nm after 20 minutes of irradiation is apparent The effect of the e-beam on the NW can be considered to be the opposite with respect to the annealing procedures in an oxygen atmosphere reported in Fig 2 This means that the integrated intensity increase observed in Fig 5 could lead to incorrectly ascribing the 590 nm emission to VO Actually only the transition at 480 nm is influenced by the irradiation procedure and by the consequent increased VO concentration By plotting the CL intensities vs time no changes in the peak value of the 480 nm band has been

300 400 500 600 700 800

18000

20000

22000

24000

26000

28000

30000

32000

34000

after irradiation

CL

Inte

nsity

(au

)Wavelenght (nm)

before irradiation

CL T=300 K Eb=25 keV Ibirr=1 microA Φ=900 nm

Fig 3 CL spectra on the same SnO2 NW before and after electron beam irradiation inside the SEM

Fig 4 CL intensity time evolution of bands B and C in an SnO2 NB under e-beam irradiation inside the SEM

Semiconducting Oxide Single Nanowire Cathodoluminescence Spectroscopy 245

300 400 500 600 700 800

600

800

1000

1200

1400

1600

1800

2000

2200

590 nm

CL

Inte

nsity

(au

)

Wavelength (nm)

490 nm

590 nm

780 nm480 nm

Eb=20 keV Ib=50 nA T= 77 K 50000x Φ=250 nmlower untreated nanobeltupper after 20 min of irradiation at Ib=2 mA

300 400 500 600 700 800

0

3000

6000

9000

12000

15000

CL

Inte

nsity

(au

)

Wavelength (nm)

In2O3 Φ=500 nm Eb=20 keV Ib= 200 nA T= 77 K 21000 x solid after thermal treatment in O2 rich atmosphere at 1000 degC for 24 hdotted untreated specimen

detected within the first 20 minutes of irradiation time This is probably due to structural damage (resulting in thinning of 50 of the diameter not shown here and previously observed also in SnO2 NWs) which affected the total intensity of emission during time

4 Conclusions CL spectroscopy has been performed on SnO2 and In2O3 single nanowires and nanobelts before and after electron beam irradiation inside an SEM The evolution of the CL band relative integrated intensities has been monitored in-situ as a function of injection power and irradiation time A broad band due to deep levels centred at about 580 nm is found in all samples The CL spectra of as grown and oxygen treated SnO2 and In2O3 NWs presented a strong similarity in shape and peak energy position However the comparison between oxygen treatments and in-situ e-beam irradiation experiments showed that the VO concentration variation affected the transitions at 580 nm and 460 nm in SnO2 and In2O3 respectively The results confirmed that monitoring the CL emission variations under e-beam irradiations in a single experiment on metal oxide single NWsNBs is an alternative and reliable approach to conventional ex-situ thermal treatments

Fig 5 CL emission bands before and after treatments on O2 rich atmosphere T = 1000 degC t = 24h

Fig 6 Comparison between CL spectra before (bottom line) and after (upper line) 20 min of irradiation of the same NB Rough data The spectra are shifted for clarity

246 L Lazzarini et al

References 1 Comini E 2005 Analytica Chimica Acta 568 28 2 Forleo A Francioso L Epifani M Capone S Taurino A M and Siciliano P 2005 Thin Solid

Films 490 68 3 Gustafsson A 2006 Journal of Microscopy 224 72 4 Salviati G Rossi F Armani N Grillo V Martinez O Vinattieri A Damilano B Matsuse A and

Grandejean N J 2004 Phys Cond Matt 16 S115 5 Armani N Rossi F Ferrari C Lazzarini L Vinattieri A Colocci M Reale A Di Carlo A and

Grillo V 2004 Superlatt Microstr 36 615 6 Yuan X L Lazzarini L Salviati G Zha M and Sekiguchi T 2006 Materials Science in

Semiconductor Processing 9 331 7 Calestani D Zha M Zappettini A Lazzarini L Salviati G Zanotti L and Sberveglieri G 2005

Mat Sci Eng C 25 625 8 Calestani D Zha M Salviati G Lazzarini L Zanotti L Comini E and Sberveglieri G 2005 J

Crystal Growth 275 e2083 9 Hu J Q Bando Y and Golberg D 2003 Chem Phys Lett 372 758 10 Wu X Zou B Xu J Yu B Tang G Zhang G and Chen W 1997 Nanostruct Mat 8 179 11 Pantelides S T Mickish D J and Kunz A B 1974 Phys Rev B 10 5213 12 Zheng F Zhang X Wang J and Zang L 2004 Nanotechnology 15 596 13 Gu F Wang S F Song C F Lu M K Qi Y X Zhou G J Xu D and Yuan D R 2003 Chem

Phys Lett 372 451 14 Kiliccedil Ccedil and Zunger A 2002 PhysRevLett 88 95501 15 Yu P Y and Cardona M 1999 Fundamentals of semiconductors Springer 2nd ed 448 16 Raza A Agnihotri O P and Gupta B K 1977 J Phys D Appl Phys 10 1871 17 Weiher R L and Ley R P 1966 J Appl Phys 37 299 18 Zhang J Qing X Jiang F and Dai Z 2003 Chem Phys Lett 371 311 19 Zheng M J Zhang L D Li G H Zhang X Y and Wang X F 2001 Appl Phys Lett 79 839 20 Peng X S Meng G W Zhang J Wang X F Wang YW Wang C Z and Zhang L D 2002 J

Mat Chem 12 1602 21 Liang C Meng G Lei Y Phillipp F and Zhang L 2001 Adv Mater 13 1330 22 Zeng F Zhang X Wang J Wang L and Zhang L 2004 Nanotech 15 596 23 Zhao Y Zhang Z Wu Z and Dang H 2004 Langmuir 20 27 24 Ohhata Y Shinoki F and Yoshida S 1979 Thin Solid Films 59 255

Determining Buried Wetting Layer Thicknesses to Sub-Monolayer Precision by Linear Regression Analysis of Series of Spectra T Walther Dept Electronic amp Electrical Eng University of Sheffield Mappin Street Sheffield S1 3JD UK Summary The strain driven evolution from surface undulations to quantum dots and finally islands can commence rather abruptly eg for InAs-on-GaAs at an average thickness of ~18 monolayers In this study two methods of analytical (scanning) transmission electron microscopy are described for measuring quantitatively the effective chemical thickness of such a wetting layer to sub-monolayer precision after the layer has been overgrown and is not accessible to surface analytical methods anymore Both methods are based on linear regression analysis of the plot of compositional ratio measured vs extent of area investigated An example of energy-dispersive X-ray spectroscopy in nanoprobe mode of a thin InAs layer embedded in InP is presented 1 Introduction The Stranski-Krastanow growth mode describes the transition from two-dimensional flat layer-by-layer growth to three-dimensional growth of islands The evolution from small surface undulations to quantum dots and then to successively larger islands is driven by strain This transition can commence rather abruptly eg for the system InAs-on-GaAs(001) at a thickness of about 18 monolayers as concluded from high-energy electron diffraction [1 2] This value represents a lateral average many surface analytical techniques indicate and which agrees with more direct atomic force microscopy [3] For alloys the surface islands observed are triggered by a high adatom population driven by vertical segregation in the initially flat wetting layer leading to enhanced incorporation of the larger atomic species within the core of the islands and a corresponding depletion in the remaining wetting layer [4 5] Thickness variations within the wetting layer are thus deemed crucial to understanding the Stranski-Krastanow growth transition When such surface structures are overgrown during the production of multiple layers for device applications [6] they are no longer accessible to surface analysis techniques Instead analytical transmission electron microscopy (TEM) or scanning TEM (STEM) have to be applied

In this study two methods of analytical (S)TEM are described for measuring quantitatively the effective chemical thickness of such a buried wetting layer to a precision of a fraction of a monolayer over an area about 50-100nm in lateral extension which is sufficient to reliably detect even small local inhomogeneities in a buried wetting layer Such differences on the sub-monolayer level cannot be detected directly by any imaging method even at atomic resolution because of the need to sample a large number of atoms for adequate statistics

Analytical (S)TEM is based on the acquisition of chemical signals from either characteristic energy losses (electron energy-loss spectroscopy EELS) or X-rays (energy-dispersive X-ray spectroscopy EDXS) Because of the ability to form small electron probes the spatial resolution can be high However multiple scattering of the electrons even within a moderately thick foil leads to beam broadening of typically a few nanometres [7] A high unspecific background signal reduces significantly the chemical signal obtained from a local defect in a thicker sample The accuracy in determining any chemical composition locally is directly related to the uncertainty in the knowledge of the interaction volume which can often not be determined with sufficient accuracy because of the combined influences of thickness (beam broadening) and orientation (channeling) Both effects are particularly pronounced relative to the electron beam size for well focused electron probes This makes chemical studies by high-resolution scanning TEM (STEM) inherently less quantitative than

248 T Walther

expected unless large scan windows are used The accuracy of an analysis in terms of both precision and reproducibility is thus often much poorer than the corresponding detection limit 2 Methods and Modelling A new technique for determining accurately small amounts of solute or dopant atoms incorporated into well-defined planar defects in solids has recently been developed [8] and successfully applied to inversion domain boundaries in zinc oxides [9-12] The new method is based on recording series of spectra with different beam diameters or different sizes of the area scanned from the defect which is oriented almost edge-on Without any broadening or channeling and for a defect plane much thinner than the electron beam width the chemical signal from the matrix atoms is expected to be directly proportional to the cylindrical volume of analysis given by the product of πr2t where r denotes the beam radius and t the specimen thickness The chemical signal from solute atoms incorporated into the planar defect on the other hand increases linearly with the part of the defect sampled by the electron beam given by the product 2rdt where d represents the effective chemical width of the defect ie its structural width multiplied by the fractional occupancy of lattice sites by solute atoms Hence the ratio of matrixsolute counts corrected by the corresponding EDXS k-factors or EELS ionisation cross-sections is given as (RmatrixRsolute)middot(ksolutekmatrix) asymp πr(2d)

A linear least-squares fit can then be performed to determine the segregation level from the slope of the best fit line The effects of beam broadening a finite chemical defect width compared to the initial electron beam size and solid solubility of solute atoms in the matrix have been modeled [8] as well as stochastic displacements or drift of the electron beam from the boundary position [13] all of which cause deviations from linearity The method can be applied to EELS or to EDXS Measurements can be performed in either nanoprobe TEM mode without the need for a scan unit see Fig 1 or in STEM mode by scanning square regions of different sizes as indicated in Fig 2 (in which case the factor π2 in the above equation is dropped and r must be substituted by the length L of the raster scanned area [14])

Fig 1 Sketch of the method using TEM nano- Fig 2 Sketch of the method using STEM probe mode with different beam diameters mode with scan windows of different sizes

Simulations suggested an accuracy in the determination of the solute excess at a special grain boundary down to plusmn1 of a monolayer or plusmn01 atomsnm2 The errors obtained experimentally for doped zinc oxide had uncertainties plusmn04 atomsnm2 [9 10] The linear correlation coefficient allows one to directly estimate the accuracy obtainable in an experiment because the relationship between the accuracy (relative difference between output and input chemistry) and the linear correlation coefficient turned out to be monotonic in simulations [8] In semiconductor epitaxy a buried wetting layer may be treated exactly as the fault plane considered above As the (002) plane of the zinc blende lattice represents a monolayer of one of the sub-lattices and the lattice constants

Determining Buried Wetting Layer Thicknesses to Sub-Monolayer Precision 249

of most semiconductors are of the order of 06nm such a monolayer has an atomic density of about 5-6 atomsnm2 and sub-monolayer precision will be obtainable easily 3 Experimental Application

Fig 3 Annular dark-field STEM image of a Fig 4 Bright-field TEM image of the lower part multiple InAs quantum dot (QD) structure on of Fig 3 showing the thin layer between the InP a thicker InGaAsP layer on InP(001) lt110gt layers with reverse contrast The region of ana- zone axis orientation 103nmpixel sampling lysis is marked by circles with r=6 and 81nm

As an application example a thin interlayer sandwiched between an InP substrate and InP buffer layer is investigated and is shown as the line structure marked by a question mark in Figs 3 and 4 The layers were grown by metal-organic vapour phase epitaxy and have been designed with InAs quantum dots (QDs) to emit at 13microm wavelength All electron microscopy was performed in a JEOL 2010F field-emission gun (FEG) TEM equipped with scan unit annular dark-field detector Gatan imaging filter charge-coupled device (CCD) camera and an Oxford Instruments SiLi X-ray detector with atmospheric thin window and ISIS analysis software The distance of the interlayer from the InPInGaAsP interface is 88plusmn1nm so electron beams from 6nm to 81nm radius as measured on the CCD camera have been used to investigate near cylindrical regions of the sample centered on the layer of interest The thickness of this layer determined from the image in Fig 3 is less than 35nm while direct bright-field imaging near the lt110gt zone axis gave a layer thickness ~1nm In Fig 4 the layer appears 4nm wide because the ~60nm thick sample has been tilted off the zone axis orientation towards the X-ray detector ~17deg about the interface normal and ~4deg about the in-plane axis which smears out the interface in projection

The EDXS data revealed a local increase of the arsenic concentration near the layer of interest Figure 5 demonstrates that a quantification of the ratio of either the phosphorus K-line to the arsenic K-line (diamond symbols with solid line) or of the indium L-line to the arsenic K-line (squares and dashed line) yields almost identical data It must be said that the k-factor of P_K was re-calibrated using the InP substrate as reference No suitable reference for In_L relative to As (eg pure InAs) was available Both data sets yield linear correlation coefficients of R2=0903 The slopes of m=180plusmn018 1nm from P_KAs_K and m=178plusmn018 1nm from In_LAs_K are in excellent agreement and consistently yield an effective chemical width of the layer of d=π2m=088plusmn001nm This corresponds to 30plusmn03 monolayers of As ie three (002) lattice planes within the zinc blende structure of InP covered fully by As or 144plusmn14 As atoms nm2 An attempt of using solely L-lines for quantification failed however with a linear correlation coefficient of only R2=0828 and a slope of m=060plusmn008 1nm which would indicate about 9 monolayers of As and thus a layer thickness around 26nm (triangles and dotted line in Fig 5) This can be excluded from on-axis imaging as mentioned Fig 6 shows for the spectrum with the largest discrepancy between the results measured from K- and L-lines that a wrong absorption

250 T Walther

correction alone cannot explain this It is thought that extensive fluorescence excitation of the soft As L-line by the In L-line is responsible for the In_LAs_L ratio being far too low As this spectrum was one of the last acquired from the area shown in Fig 4 also a build-up of carbon contamination could have increased the background and the apparent signal of the soft As_L line A lateral non-uniform thickness of the As containing layer on the other hand would have led to a wider scatter of the data points for smaller electron beam diameters instead

0

20

40

60

80

100

120

140

160

180

0 10 20 30 40 50 60 70 80 90

beam radius [nm]

atom

ic ra

tio o

f gro

up I

II A

s

P_K As_KIn_L As_LIn_L As_KLinear (P_K As_K)Linear (In_L As_L)Linear (In_L As_K)

0

50

100

150

200

250

300

0 100 200 300 400 500 600

specimen thickness [nm]

atom

ic ra

tio o

f gro

up I

II

As

P_K As_KIn_L As_LIn_L As_K

Fig 5 Plot of atomic concentration ratios vs electron Fig 6 Plot of the influence of the assumed beam radius for 13 EDX spectra Absorption was taken specimen thickness on the apparent atomic into account assuming as parameters a density of ratios for the spectrum with the largest discre- ρ=56gcm3 and a specimen thickness of t=60nm pancy reported in Fig 5 (68nm beam radius) 4 Conclusion A new analytical TEM method has been applied to quantify the chemistry of a very thin epitaxial arsenic-rich semiconductor layer sandwiched within InP A series of 13 EDX spectra recorded in nanoprobe TEM mode with different electron beam sizes was recorded From a linear regression analysis an effective coverage of 30plusmn03 monolayers of As atoms can been inferred Whether this layer is a ternary InAsP alloy or pure InAs is however not clear yet References 1 Houzay F et al 1987 J Cryst Growth 81 67 2 Munekata H Chang L L Woronick S C and Kao Y H 1987 J Cryst Growth 81 237 3 Sasaki A 1996 J Cryst Growth 160 27 4 Walther T Cullis A G Norris D J and Hopkinson M 2001 Phys Rev Lett 86 2381 5 Cullis A G Norris D J Walther T Migliorato M A and Hopkinson M 2002 Phys Rev B 66

081305 6 Solomon G S Trezza J A Marshall A F and Harris J S Jr 1996 Phys Rev Lett 76 952 7 Goldstein J I Costley J L Lorimer G W and Reed S J B 1977 Scanning Electr Microsc 1 Proc

AEM (IIT Res Inst Chicago IL USA) 315 8 Walther T 2004 J Microsc 215 191 9 Rečnik A Daneu N Walther T and Mader W 2001 J Am Ceram Soc 84 2657 10 Daneu N Walther T and Rečnik A 2002 Proc 15th Int Conf Electron Microsc Eds Cross R

Engelbrecht J and Witcomb M (Durban Microsc Soc of South Africa) 3 63 11 Walther T Daneu N and Rečnik A 2004 Interface Science 12 267 12 Walther T Rečnik A and Daneu N 2006 Microchim Acta 155 313 13 Walther T Rečnik A and Daneu N 2002 Proc 15th Int Conf Electron Microsc 1 535 14 Walther T 2006 J Microsc 223 165

Transmission Electron Microscopy Study of Sb-Based Quantum Dots B Satpati V Tasco1 N Deguffroy1 A N Baranov1 E Tournieacute1 and A Trampert Paul-Drude-Institut fuumlr Festoumlrperelektronik Hausvogteiplatz 5-7 10117 Berlin Germany 1 Universiteacute Montpellier 2 CNRS UMR 5214 Institut drsquoElectronique du Sud 34095 Montpellier cedex 5 France

Summary We have investigated the structural properties of InSb quantum dots (QDs) on top of GaSb and embedded in GaSb barrier layers The InSb QDs were grown by molecular beam epitaxy (MBE) applying conventional growth conditions as well as a new multi-step MBE growth process consisting of deposition at a very low temperature an annealing step and capping immediately after QD formation Conventional MBE growth produces plastically relaxed large islands with low density The modified MBE growth technique allows the growth of very dense coherently strained and tiny InSb QDs with high structural perfection 1 Introduction InSbGaSb heterostructures have been the subject of investigation due to their unique potential device applications InSb-based QDs represent a promising active medium for optoelectronic devices operating in the mid-IR (3-5 microm) region for the detection of various chemical explosive and biological agents which have pronounced absorption in this wavelength range [1 2] In order to realize high performance QD devices it is important to achieve a high density of QDs with a small size distribution Despite the large lattice mismatch of about 63 which is comparable to the well-known InAsGaAs case the realization of Sb-based nanostructures has not progressed so far The growth of high quality InSb QDs in GaSb has shown to be infeasible whatever epitaxial growth technique is used [3 4] Only few groups have studied InSbGaSb QD systems and so far very low QD densities have been reported with photoluminescence emission only at low temperature [5ndash7] The problem arises from the comparatively weak In-Sb binding energy being responsible for a long migration length of In adatoms on a Sb-terminated surfaces and thus for the formation of large and plastically relaxed InSb islands Recently we have introduced an innovative growth process consisting of InSb deposition at extremely low temperatures followed by a properly designed annealing step [8 9] 2 Experimental The samples studied in this work were grown on n-type GaSb (100) substrates in a solid-source MBE system equipped with As- and Sb-valved cracker cells Uncapped InSb islands and dedicated heterostructures with the QDs inserted in the centre of GaSb barrier layers confined on both sides by an AlGaSb cladding were investigated by transmission electron microscopy (TEM) The uncapped InSb islands are used to investigate the mechanisms of strain relief and to discuss its interplay with the island morphology In situ reflection high-energy electron diffraction has been used for monitoring the sample surface evolution and in particular the 2-dimensional (2D) to 3-dimensional (3D) transition during InSb deposition typical for the Stranski-Krastanow growth mode TEM studies were performed using a JEM-3010 JEOL microscope operating at 300 kV The TEM preparations of cross-section samples were carefully done in a conventional way by grinding dimpling and argon ion milling with 25 - 28 keV energy

252 B Satpati et al

3 Result and Discussion 31 Conventional MBE Grown Sb-Based Nanostructures

We will first report on our TEM investigation of the structural properties of InSb islands grown on GaSb (001) at a typical substrate temperature of 450degC As already reported [3-5] in this temperature range the 2D-3D transition occurs after the deposition of ~ 18 ML Figure 1(a) shows a two-beam bright-field XTEM image of an uncapped layer of nominally 24 ML InSb The micrograph reveals the presence of InSb islands with almost the same base length but different heights (bi-modal height distribution) All islands are characterized by a well-defined faceting Besides the (001) top facet the larger islands have 311 side facets while the smaller ones exhibit 111 side facets This facet formation reflects the anisotropy in surface energies and is driven by a minimization of the surface free energy leading to the equilibrium shape The average base length is about 50 nm and the height varies from 6 to 20 nm The cross-sectional HRTEM image in Fig 1b demonstrates that these InSb islands are plastically relaxed by the formation of misfit dislocations (MDs) located at the interface (indicated by white arrows) The MDs form a periodic array along both the [110] and [1-10] directions with an average distance of about 78 nm which is sufficient to relieve 84 of the lattice misfit Most of the MDs are of pure edge type (most efficient in the relaxation of strain) very few are 60deg-type with Burgers vector inclined to the interface

Figure 2 presents cross-sectional TEM images of buried InSb islands grown at 400 degC and embedded in AlGaSbGaSb layer pairs The bright-field TEM image in Fig 2a illustrates the high dislocation density in the heterostructure grown with nominally 26 ML InSb The buried islands are large (50 nm) and plastically relaxed similar to the uncapped case During island overgrowth the MDs tend to propagate into the upper layer generating threading dislocation arms A considerable number of these threading dislocations were bent into the interface to the compressively strained AlGaSb layer acting as a dislocation filter On the other hand Fig 2b shows a TEM image of a sample grown at the same temperature (400degC) but with only 2 ML InSb coverage Although this coverage is just beyond the 2D-3D transition the islands are again rather large and already plastically relaxed However in this case dislocation loops are detected around the islands (more evident from the inset in Fig 2b) Therefore there is no need for forming threading dislocations in this case In addition a distinct InSb wetting layer is observed in both samples These results demonstrate the rather long migration length of In being responsible for the formation of large relaxed InSb islands with shape close to thermodynamic equilibrium Variations of the growth temperature (370degCndash450degC) of the InSb growth rate (03ndash12 MLs) and of the

Fig 1 XTEM images a) InSb islands grown at 450 degC on GaSb substrate b) HRTEM image of InSbGaSb interface showing periodic array of misfit dislocations (marked with arrows)

Fig 2 Bright-field TEM images of two buried QD samples grown at same temperature (400degC) on GaSb with nominally a) 26 ML of InSb and b) 2 ML of InSb embedded in AlGASbGaSb layers Inset InSb dot with dislocation loops

Transmission Electron Microscopy Study of Sb-Based Quantum Dots 253

SbIn flux ratio (1ndash5) have not produced significantly different results in the QD morphology and structure

32 InSb QDs Grown by a Modified-MBE Growth Technique The results shown above indicate that an alternative route is needed to overcome these limitations and to obtain dot densities and properties suitable for device applications We have thus developed a new growth procedure that consists of an InSb deposition at the low temperature of ~300degC resulting in an amorphous layer followed by an annealing step at 390degC for 20ndash50 s for re-crystallization including dot formation Figure 3a displays a plan-view TEM image of an uncapped InSb layer of nominal 25 ML thickness grown under these modified conditions This (220) dark-field image reveals the presence of small InSb QDs with an average diameter of about 20 nm The size distribution appears in a mono-modal mode Based on the strain sensitive imaging condition we find that most of the islands are relaxed by introducing MDs at the interface The HRTEM image displayed in Fig 3b demonstrates the presence of such a MD in the center of the island being of pure-edge type The critical diameter of the InSb QDs defining the onset of plastic relaxation is determined to be about 12 nm This shows that the atom mobility is strongly restricted during the QD formation from the amorphous state resulting in smaller dot dimensions

A different situation compared to the uncapped island is observed when a GaSb layer has covered the InSb QDs immediately after their formation at 390degC Fig 4a shows a (002) bright-field TEM image of such a buried QD sample The micrograph demonstrates the existence of a wetting layer with a high density of tiny QDs as given by the strong contrast variations along the InSb layer compared to the homogeneous contrast of the adjoining GaSb Note that the complete structure is free of any extended defects No threading dislocations are observed indicating that there is no plastic relaxation process involved By tilting the sample around the [110] axis the interface becomes inclined to the electron beam which enables the observation of isolated InSb QDs Applying the g = 220 diffraction condition the strain field of the QDs is detected in this direction revealing an average size of about 10 nm (more evident in the inset of Fig 4b) This particular strain effect corresponds to coherently strained InSb QDs with an oval or almost a circular shape along this direction Further details about the QD structure and composition are obtained by the lattice distortion analysis (LADIA) taken from HRTEM image This technique detects the tetragonal distortion of the lattice with respect to an undisturbed reference lattice here the GaSb barrier layer The amount of tetragonal distortion is then presented in Fig 5a The result demonstrates the existence of a continuously strained wetting layer with a sharp lower interface together with undulations in the upper interface reflecting the 3D character of the QDs An average line scan across the area marked in Fig 5a indicates a maximum strain value of 2 which corresponds to an In content for the wetting layer (WL) of about 20 Even if taking into

Fig 3 a) Plan-view (220) dark-field TEM image of partially strain relieved InSb islands grown on GaSb (001) at low temperature b) HRTEM image showing pure-edge type misfit dislocation (MD) at the interface

Fig 4 a) Cross-sectional images of buried InSb QDs embedded in AlGaSbGaSb and b) tilted interface to visualize the isolated QDs The inset in (b) is a zoom on one InSb QDs showing fully strained nanostructures

254 B Satpati et al

account a WL thickness of about 2 nm ie almost twice the nominal thickness this result implies a strong In diffusion into GaSb during the annealing step To verify the large In diffusivity in Sb-based alloys we did an in-situ post-growth thermal treatment of a 24 ML InSb grown at 300degC and capped by a 20-nm thick GaSb layer grown at 390degC The structure was additionally annealed at 480degC for 40 minutes in the MBE chamber Figure 6a shows the dark-field TEM image of the as-grown sample identifying the InSb QD layer structure (similar to structure shown in Fig 4a) After annealing the microstructure is completely changed the QDs have disappeared and instead In-rich precipitates and crater-like features were formed close to the surface due to In segregation (Fig 6b)

4 Conclusions We have investigated different growth conditions of Sb-based QDs Conventional growth at temperatures around 400degC leads to the formation of low densities of large relaxed islands The surface QDs grown at low temperature are relaxed as well A different situation is found in structures where a thin GaSb layer covers the InSb QDs just after their formation Diffusion processes are inhibited in this case leading to delayed onset of plastic relaxation The result is a QD layer structure consisting of tiny QDs on a thin wetting layer These nanostructures exhibit narrow PL emission up to room temperature consistent with TEM observations

Part of this work is supported by the European Commission (project No FP6-017383 DOMINO) References 1 Sorokina I T and Vodopyanov K L (eds) 2003 Solid State Mid-Infrared Laser Sources (Berlin

Springer) 2 Joullieacute A and Christol P 2003 C R Physique 4 621 3 Ivanov SV et al 2005 J Crystal Growth 278 72 4 Shusterman S Paltiel Y Sher A Ezersky V and Rosenwaks Y 2006 J Cryst Growth 291 363 5 Bertru N Brandt O Wassermeier M and Ploog K 1996 Appl Phys Lett 68 31 6 Alphandeacutery E Nicholas R J Mason N J Zhang B Moumlck P and Booker G R 1999 Appl Phys

Lett 74 2041 7 Tsatsulrsquonikov A F et al 1998 Microelectron Eng 4344 85 8 Tasco V Deguffroy N Baranov A N Tournieacute E Satpati B and Trampert A 2006 phys stat sol

(b) 243 3959 9 Tasco V Deguffroy N Baranov A N Tournieacute E Satpati B and Trampert A Dunaevskii M and

Titkov A 2006 Appl Phys Lett 89 263118

Fig 5 a) Strain map using lattice distortion analysis of a HRTEM image showing wetting layer b) average line scan across the area shown in (a)

Fig 6 a) As grown 24 ML InSb on GaSb at 300degC b) same structure as in (a) annealed in situ at 480 degC for 40 min

TEM Characterization of Self-Organized (InGa)N Quantum Dots H Kirmse I Haumlusler W Neumann A Strittmatter1 L Reiszligmann1 and D Bimberg1 Humboldt-Universitaumlt zu Berlin Institut fuumlr Physik AG Kristallographie Newtonstraszlige 15 D-12489 Berlin Germany 1 Technische Universitaumlt Berlin Institut fuumlr Festkoumlrperphysik Hardenbergstr 36 D-10623 Berlin

Germany Summary (InGa)NGaN quantum structures were extensively investigated in cross section by transmission electron microscopy Both strain-sensitive diffraction contrast imaging and high-angle annular dark-field imaging proved the formation of quantum dots The quantum dots exhibit a homogeneous size distribution as well as a regular arrangement within the individual layers High-angle annular dark-field imaging was utilized to determine the thickness of the layers on the atomic scale 1 Introduction Nanostructures of the III-N materials system are intensively studied with respect to their structural physical and chemical properties due to their applicability for blue light emitters [1] The structural and chemical investigation of the nanostructures is carried out by transmission electron microscopy (TEM) utilising the various modes available in modern instruments An important limitation for the investigation of (InGa)N is the potential altering of the indium distribution due to electron beam irradiation [23] To minimize modification of the as-grown properties of the nanostructures the TEM investigations experiments have to be performed on a time scale shorter than 60 s for individual positions 2 Experimental The nanostructures were grown by metal organic chemical vapour deposition on Si (111) substrates utilizing the procedure described in detail elsewhere [4] The lattice mismatch of GaN compared to Si is accommodated in a sequence of buffer layers comprising 40 nm AlN 200 nm Ga095Al005N 10 nm AlN and 600 nm GaN The growth of a sequence of 5 layers of (InGa)N separated by a GaN spacer was performed at 800 degC The formation of quantum dots (QDs) was proven by photoluminescence experiments where the lateral size of the QDs was predicted as 3 to 4 nm [5]

The samples were prepared for TEM in cross section by face-to-face gluing wire sawing mechanical polishing dimpling and ion milling with a final ion beam energy of 2 keV at an angle of 10 deg TEM investigations were performed with a JEOL JEM 2200FS operating at 200 kV The high-angle annular dark-field (HAADF) imaging was realized at a minimum diameter of the electron probe of 014 nm 3 Diffraction Contrast Imaging Due to the mismatch of the lattices of GaN compared to (InGa)N potentially formed quantum dots cause a strain field acting onto the surrounding GaN Utilizing a broad beam for these investigations ensuring a minimized electron beam current per unit area the strain-sensitive diffraction contrast image of Fig 1a was acquired Here the 0002 reflection was selected for dark-field imaging Inspecting the lowermost (InGa)N layer a chain-like arrangement of contrast features is visible These features are regarded as QDs

256 H Kirmse et al

formed via self-organization during deposition of (InGa)N due to relaxation of the lattice mismatch The lateral size of the QDs ranges between 2 and 4 nm The height amounts from 1 to 2 nm respectively Focusing on the following layers it is obvious that the arrangement of the QDs is less regular Due to the thickness of the GaN spacer layer being larger than the vertical extension of the strain field a random vertical correlation is seen Moreover the number of QDs decreases from bottom to top layer where at the same time the size of the QDs increases The larger size is correlated with an increase of both the extension and the strength of the strain field where the latter is causing the stronger contrast in the uppermost layers (cf upper right region of Fig 1a) In the upper left region a rather weak contrast is visible from the layers This has to be attributed to a bending of the lattice planes utilized for imaging

Here a comment on the altering of the (InGa)N layers due to electron beam irradiation can be given Carefully inspecting the contrast features of the QDs during the experiments a modification was not observed The different layers were grown under constant conditions Hence a homogeneous irradiation which was applied to these wide beam investigations should result in an identical modification of each layer Since the contrast features of the layers appear different in Fig 1a it can be concluded that the influence of electron beam irradiation can be neglected Here as-grown properties are visualized

Fig 1 Diffraction contrast imaging of different areas of the 5-fold stack of (InGa)N layers a) Strain-sensitive 0002 dark-field image inset diffraction pattern of the conditions used for 0002 dark-field imaging Reflections of the substrate are also seen b) Composition-sensitive 0001 dark-field image inset intensity profile (length 20 nm)

Contrary to the strain-sensitive 0002 dark-field image the 0001 dark-field image of Fig 1b predominantly contains composition-sensitive information The structure factor of the 0001 beam of the wurzite structure strongly depends on the difference between the mean atomic number of the cations A and the anions B This behaviour is also known for the materials system (InGa)As A square function with a minimum at a content of indium of 18 describes the correlation of the 002 intensity and indium content [6] In the case of (InGa)N an intermediate minimum does not exist as revealed by the inset of Fig 1b Conclusively the intensity of the 0001 beam of the materials system In1-xGaxN directly depends on the composition x where a higher content of indium gives a higher 0001 beam intensity

In addition there are two remarkable features in Fig 1b First the two bottom layers exhibit a slightly higher intensity compared with the layers 3 to 5 This has to be attributed to the rocking curve of the 0001 beam as marked in the inset Examining the intensity jump between the background and the peaks of the layers a similar height is found Thus the indium content of the (InGa)N layers is approximately the same The second remarkable feature of Fig 1b is the gap within the bright line of layer 2 and a misalignment of both ends visible in the left part of the image This is correlated with a modification of the thickness of the first GaN spacer layer The gap marks a step bunch formed during growth of the first GaN spacer The deposition of (InGa)N at this step bunch is hampered During overgrowth by GaN the step bunch moves out of the field of view and the third (InGa)N layer is deposited on a flat surface again

TEM Characterization of Self-Organized (InGa)N Quantum Dots 257

4 HAADF STEM Imaging The intensity of high-angle annular dark-field images is dominated by two contributions The first one is the thickness of the sample and the second one is the mean atomic number |Z| of the material The |Z| value of GaN amounts to |ZGaN| = 19 that of InN is |ZInN| = 28 Thus an increase of the indium content results in an increase of the HAADF image intensity

In Fig 2 an overview HAADF image is given of the first four (InGa)N layers A probe diameter of 07 nm was chosen not permitting us to resolve the atomic structure From top left to bottom right the intensity of the background homogeneously increases This is due to specimen preparation for TEM by ion milling causing a thickness wedge The individual (InGa)N layers appear as bright horizontal lines of an average thickness of about 4 nm The formation of indium enrichments consequently the formation of (InGa)N QDs is evident from the bright spots within the layers For quantification of the lateral size of the QDs an intensity profile (cf Fig 2b) is extracted from the first (InGa)N layer along a line between positions A and B The increase of the background is again due to the thickness wedge The peaks marked by arrows are positions of individual QDs The full width at half maximum is regarded as a measure for the lateral size of the QDs The average value amounts to 4 nm which is equal to the layer thickness Conclusively the projected shape of the QDs is circular

Fig 2 HAADF STEM imaging a) HAADF STEM image of the

(InGa)N layers 1 to 4 b) Intensity profile between A and

B of Fig a) Arrows mark the positions of quantum dots

The analysis at an atomic scale of both thickness and composition of the layers and QDs is gained by atomically resolved HAADF imaging (see Fig 3) For these investigations the [010] projection was chosen Here the smallest projected distance between two gallium atoms is 0259 nm being larger than the nominal STEM probe size of 014 nm In the unprocessed image of Fig 3a the individual dots correspond to atom columns formed by the dumbbells of gallium and nitrogen atoms (cf inset) Due to its low atomic number the contribution of nitrogen (ZN = 7) to the image intensity is weak compared to that of gallium (ZGa = 31) Hence the bright spots are primarily correlated with columns of gallium atoms

As is seen in Fig 2a a thickness wedge causes the increase of the overall intensity from top to bottom in Fig 3a In addition three (InGa)N layers are clearly visible as bright stripes Consequently the line scan of Fig 3b taken parallel to [001] shows three regions of an increased intensity The scan is 15 nm long Counting the number of peaks of higher intensity the thickness of layer 1 and layer 2 is determined to be 5 monolayers (MLs) corresponding to about 13 nm The thickness of the third layer is 4 MLs (ca 10 nm) Compared to the thickness evaluated basing on Fig 2a these values are smaller This can be attributed to an improved spatial resolution at an electron probe size of 014 nm Moreover the thickness of the area recorded in Fig 3 is smaller than that for Fig 2 hence projection artefacts are reduced

The two GaN spacers exhibit different thickness as was also detected in Fig 1b The first spacer is 16 MLs (ca 41 nm) thick For the second one 19 MLs (ca 49 nm) were counted

258 H Kirmse et al

Fig 3 HAADF imaging of (InGa)N layers a) Three (InGa)N layers depicted at atomic resolution inset correlation of HAADF intensity and atomic structure (bright spheres gallium) b) Intensity profile of Fig 3a parallel [001] length of the scan 15 nm c) Intensity profile of Fig 3a taken from C to D along layer 2 length of the scan 142 nm

For the extraction of information on the indium distribution within the (InGa)N the intensity profile of Fig 3c was taken from the second layer between the positions C and D The length of the scan amounts to 142 nm The peaks correlated with the Ga atom columns arise above an inhomogeneous background But similar fluctuations of the HAADF intensity are observed at the same level in the area of the spacer layers Thus the contribution of thickness variations is greater than an expected intensity modulation due to indium clustering within the (InGa)N layers

Any evaluation of the indium content of the (InGa)N layers based on the intercolumnar distance in a STEM image is hindered by the potential shift of both the beam and the sample during scan Thus the application of peak finding methods predominantly visualizes artefacts inherent to the STEM mode References 1 Nakamura S and Fasol G 1997 The Blue Laser Diode (Springer Berlin) 2 Li T Hahn E Gerthsen D Rosenauer A Strittmatter A Reiszligmann L and Bimberg D 2005

Appl Phys Lett 86 241911 3 Smeeton T M Kappers M J Barnard J S Vickers M E and Humphreys C J 2003 Appl Phys

Lett 83 5419 4 Strittmatter A Krost A Blaumlsing J and Bimberg D 1999 phys stat sol A 176 611 5 Krestnikov I L Strassburg M Strittmatter A Ledentsov N N Christen J Hoffmann A and

Bimberg D 2003 Jpn J Appl Phys 42 L1057 6 Lemaicirctre A Patriarche G and Glas F 2004 Appl Phys Lett 85 3717

Investigating the Capping of InAs Quantum Dots by InGaAs S L Liew T Walther1 S Irsen2 M Hopkinson1 M S Skolnick and A G Cullis1 Dept of Physics and Astronomy Univ of Sheffield Hounsfield Road Sheffield S3 7RH UK 1 Dept of Electronic and Electrical Eng Univ of Sheffield Mappin Street Sheffield S1 3JD UK 2 Center of Advanced European Studies and Research (CAESAR) Ludwig-Erhard-Allee 2

53175-Bonn Germany Summary The aim of this work is to investigate the capping of InAs quantum dots by InGaAs using atomic force microscopy in plan-view geometry and correlate this topographical information with scanning transmission electron microscopy observations that elucidate the microstructure and chemistry of these quantum dots Preferential growth of the Ga-rich cap around dot islands may be due to the unfavourable lattice parameter associated with high In concentrations at dot apices 1 Introduction Quantum dot (QD) lasers offer a number of advantages compared to quantum well (QW) devices such as a low threshold current density a low wavelength chirp a potential for high modulation speed and relative temperature insensitivity These favourable properties arise due to the δ-function like density of states of QDs [1] Quantum dot lasers have been studied in a number of materials systems most notably for GaAsInAsGaAs Recently however the approach of employing InAs dots sandwiched between InGaAs QW layers has been found favourable for applications in the near infrared wavelength range [2]

Compared to conventional GaAsInAsGaAs QD laser structures these dots-in-a-well (DWELL) laser structures show improved carrier capture within the dots a higher InAs dot density increased lateral size of the InAs dots and the QD emission wavelength can be controllably varied depending on the thickness composition and relaxation state of the InGaAs QW from 11 to 133microm [2] or 13-155microm [3] 124microm laser diodes with ultra-low threshold and other improved properties have been fabricated on this basis [4]

In the DWELL structures coherently strained 3-dimensional QDs are capped with a thin layer of InGaAs which itself is strained to the GaAs matrix The initial stages of cap layer growth will be under the strong influence of the QD strain field resulting in possible morphological and compositional changes arising from the preferential migration of species (eg In) These effects could substantially modify the device properties However despite the technological interest in this type of structure there has been very little reported on the properties of these InGaAs capping layers In this paper we focus on the sequential capping of QDs with thin InGaAs layers We first show the effects of InGaAs cap layer thickness on the optical properties of the InGaAsInAsInGaAs DWELL system using photoluminescence (PL) spectroscopy To better understand the system its structural and compositional properties were then studied by atomic-force microscopy (AFM) and scanning transmission electron microscopy (STEM) in plan-view 2 Experimental Details All samples were grown in a V90 molecular beam epitaxy (MBE) system on n-type (001) GaAs substrates under ultrahigh vacuum conditions (base pressure lt 1 x 10ndash13 bar) A 350nm GaAs buffer layer was grown at 500degC At the same temperature a 2nm In022Ga078As layer was then grown prior to the growth of a 27 monolayer (ML) QD layer To cap the QDs In022Ga078As of 2-8nm thickness and a 400nm thick GaAs layer were deposited To allow AFM and plan-view TEM

260 S L Liew et al

observations an additional 150nm AlGaAs layer was grown at 620degC Finally using the same sequences and growth parameters as described above layer growth was repeated and finished without the 400nm GaAs layer The growth rates for GaAs InGaAs InAs and AlGaAs are 08 ~09 01 and ~15 MLs respectively

Room temperature PL measurements were performed using an Accent RPM2000 PL setup with a 633nm HeNe excitation source Surface topography of the samples was imaged using a Digital Instruments DimensionTM 3100 AFM operated in tapping mode at room temperature TEM plan-view specimens were prepared using conventional sample preparation techniques involving mechanical thinning followed by ion milling using Argon ions at 3-5keV Thinned plan-view specimens were examined in an aberration-corrected Zeiss Libra 200FE CRISP field-emission scanning transmission electron microscope (FEG-STEM) operated at 200kV 3 Results and Discussion 31 Optical Properties

1050 1100 1150 1200 1250 1300 1350 1400 1450 1500

0005101520253035404550

RT PL

PL In

tens

ity (a

u)

Wavelength (nm)

2nm 4nm 6nm 8nm

Fig 1 RT PL for samples capped with 2 4 6 and 8nm of InGaAs

Figure 1 shows the results of room temperature (RT) PL of samples grown with different thicknesses of the InGaAs capping layer The 6nm InGaAs capped sample gives the highest PL intensity The PL positions and full widths at half maxima (FWHM) of 2 4 6 8nm InGaAs capped samples are 1195 1272 1305 1288nm and 60 37 50 53nm respectively obtained by a Gaussian fit method These values show that as the InGaAs thickness increases from 2 to 6nm a blue-shift of the PL peak occurs On the other hand a further increase of the InGaAs cap thickness to 8nm results in a PL red-shift

32 Surface Topography Analysis Figures 2-5 depict AFM images of the samples grown with a 2 4 6 or 8 nm InGaAs capping layers The initial un-capped QDs are ~6nm high with some dots reaching 8-12nm in height Figure 3 shows that most of the capped QDs are only ~4nm high ie they have partially dissolved during capping

Fig 2 a) AFM image (1microm x 1microm) shows that 2nm of InGaAs capping layer (CL) does not fully cap the InAs dots (white round spots in the AFM image) The InGaAs preferentially caps the wetting layer b) Surface profile trace derived across the line shown in (a)

2nm cap

A

B

X[nm]000 59656

Z[nm

]0

0012

53

AB dot

CL

dot dot

(a) (b)

Investigating the Capping of InAs Quantum Dots by InGaAs 261

Fig 3 The AFM (1microm x 1microm) image (a) and surface profile (b) show that 4nm of InGaAs capping layer (CL) is not sufficient to cover the InAs QDs The later grown InGaAs preferentially caps the pre-grown InGaAs layer This therefore results in shallow pits surrounding the QDs The apexes of the uncapped QDs appear to have the same height as their surrounding InGaAs It is also observed that deep pits appear between two adjacent QDs This suggests that sites between closely separated (lt40nm) QDs are highly strained and do not favour further InGaAs overgrowth

Fig 4 The AFM (1microm x 1microm) image (a) and surface profile (b) show that further growth of the InGaAs CL (6nm) results in more QDs being fully capped The apexes of not fully capped QDs appear to be lower than their surrounding InGaAs Pits are still observed between adjacent QDs Whether the deep pits are filled before the QDs are fully capped is questionable If the pits are not filled this means that there could be voids existing next to the buried QDs

Fig 5 The AFM (1microm x 1microm) image (a) and surface profile (b) show that the growth of 8nm InGaAs leads to a considerable amount of QDs that are fully capped The InGaAs capping layer is higher than the apexes of unburied QDs leaving the QDs appear as shallow holes in AFM topography In addition the number of pits has reduced

X[nm]000 28339Z[

nm]

000

855

deep pitA

pit

dot

4nm cap

A

B

(a) (b)

B

X[nm]000 48423

Z[nm

]0

006

56

A

B

8nm cap

A

B

hole hole

hole CL CL

hole

(b) (a)

X[nm]000 48423

Z[nm

]0

006

56

A

B

8nm cap

A

B

hole hole

hole CL CL

hole

(b) (a)

262 S L Liew et al

33 Plan-View Composition Analysis Annular dark-field (ADF) imaging and composition mapping by energy-dispersive X-ray (EDX) spectroscopy have been performed in STEM using a 08nm probe size electron beam with 07nA current The results for 2 and 6nm InGaAs capped samples are shown in Figs 6 and 7

Fig 6 a) ADF image b) InAs and c) GaAs ratio maps of the 2nm InGaAs capped QD sample

Fig 7 a) ADF image b) InAs and c) GaAs ratio maps of the 6nm InGaAs capped QD sample

Ratio maps were calculated from the intensity maps of the following characteristic X-ray lines In L Ga KampL and As KampL The InAs and GaAs ratio maps of the 2nm (Fig 6bc) and 6nm (Fig 7bc) capped samples show similar contrast features in which the In-rich regions are spatially correlated with the Ga-poor regions The number density of QDs in the 2nm capped sample (Fig 6a) appears to be larger than for the 6nm cap (Fig 7a) With the thicker cap smaller QDs appear to be completely dissolved leaving behind the larger QDs with higher In composition 4 Conclusions The capping of InAs quantum dots by InGaAs has been studied using PL spectroscopy AFM and STEM Both AFM and STEM results showed that the preferential nucleation and growth sites of the InGaAs cap are on the InGaAs wetting layer Compositional analyses for 2 and 6nm caps showed that In and Ga-rich areas are anti-correlated The Ga-rich InGaAs cap may preferentially accumulate on wetting layer regions due to the (unfavourable) increased lattice parameter at the apices of dots in these regions high concentrations of In have accumulated since the apices are laterally unconstrained allowing the occurrence of relaxation to the larger lattice parameter [5] A more detailed investigation including modelling of surface energies vs strain energy will be required to understand additional features of the growth References 1 Arakawa Y and Sakaki H 1982 Appl Phys Lett 40 939 2 Ustinov V M et al 1999 Appl Phys Lett 74 2815 3 Seravalli L Frigeri P Minelli M Allegri P Avanzini V and Franchi S 2005 Appl Phys Lett

87 063101 4 Lester L F Stintz A Li H Newell T C Pease E A Fuchs B A and Malloy K J 1999 IEEE

Photonics Tech Lett 11 931 5 Walther T Cullis A G Norris D J and Hopkinson M 2001 Phys Rev Lett 86 2381

90 nm

(a) (b) (c)

90 nm

(c)(b)(a)

(c)

Comparing InGaAs and GaAsSb Metamorphic Buffer Layers on GaAs Substrates for InAs Quantum Dots Emitting at 155microm Y Qiu T Walther H Y Liu C Y Jin M Hopkinson and A G Cullis Dept Electronic and Electrical Eng University of Sheffield Mappin Street Sheffield S1 3JD UK Summary Cross-sectional transmission electron microscopy techniques are used to study two samples with different metamorphic buffer layers of InGaAs or GaAsSb grown by molecular beam epitaxy (MBE) on GaAs (001) On top of these buffers several InGaAsInAl(Ga)As superlattices and finally two stacks of InAsGaAs quantum dots were deposited Compared with the standard InGaAs buffer the GaAsSb buffer exhibits a smoother surface and a higher degree of plastic strain relaxation The InAs quantum dots grown on GaAsSb seem to benefit from this in that their photoluminescence intensity is much higher than from the corresponding sample grown on a standard InGaAs buffer 1 Introduction InAs quantum dots embedded in (In)GaAs usually emit light at ~13microm wavelength suitable only for the lower wavelength telecom band [1-3] Strain-relaxed buffer layers have been suggested to be able to push the emission of (In)GaAs quantum wells [4] or dots [5] to the ~155microm wavelength which is more important for long-haul telecommunication It is well-known that the surface quality and the degree of strain relaxation of buffer layers influence subsequent growth of quantum structures [6] We will address these issues by comparing buffers with different chemical compositions and InAs quantum dots grown on top of these buffers 2 Experimental Multiple layers of quantum dots (QDs) and superlattices have been deposited onto buffer layers grown on GaAs (001) substrates by molecular beam epitaxy (MBE) at ~4000C Our recent research has shown that the emission wavelength of InAs QDs can be tuned to 155microm and the intensity increased by a factor of ~20 if instead of the traditional InGaAs buffer layer (sample code VN666) a GaAsSb buffer (sample code VN3315) is used (cf Fig 1) The microstructure of these buffers their average chemical composition and the sizes of and spacings between the InAs QDs are examined by cross-sectional transmission electron microscopy (TEM) We have applied scanning transmission electron microscopy (STEM) energy-dispersive X-ray spectroscopy (EDXS) conventional TEM and high-resolution electron microscope (HREM) using a JEOL 2010F field-emission transmission electron microscope equipped with Gatan Imaging Filter (GIF) charge-coupled device (CCD) camera and Oxford Instruments SiLi X-ray detector for EDXS Atomic force microscopy (AFM) has been performed to study the surface morphology with a Digital Instrument Dimension 3100 operated in tapping mode 3 Results Figure 1 compares the photoluminescence of InAs QDs grown on InGaAs or GaAsSb metamorphic buffer layers (MBL) Figure 2 shows AFM images of the topography surface after ~500nm of buffer layer has been deposited The intermediate GaAsSb metamorphic layer surface appears much smoother with only ~2nm peak-to-peak roughness compared to 12nm of the InGaAs buffer

264 Y Qiu et al

Fig 1 Photoluminescence of the InAs quantum dots grown on different metamorphic buffers

Fig 2 15microm x 15microm AFM images of the topographies of the InGaAs buffer (VN666 left) and the GaAsSb buffer (VN3315 right) after ~500 nm of buffer deposition The surface ripples lie along lt110gt directions

STEM is used to identify all layers and to compare the over-all structure of the two samples as

shown in Fig 3 In both cases intermediate superlattices (SLs) of InGaAsInAl(Ga)As have been used to improve the growth quality by smoothing the growth surfaces and pinning dislocations

Fig 3 Annular dark-field (ADF) STEM overview images of the samples with InGaAs buffer (VN666 on the left) and with GaAsSb buffer (VN3315 on the right)

Comparing InGaAs and GaAsSb Metamorphic Buffer Layers 265

EDXS has been used to check the average chemical compositions of the buffers which are x=025plusmn002 indium in InxGa1-xAs and y=028plusmn002 antimony in GaAs1-ySby (nominal concentration in both cases 027) Moreover bright-field (BF) TEM imaging is applied to study the interface between buffers and substrates and the sizes and spacings of the QDs One example for each is shown in Figs 4 and 5

Fig 4 BF TEM images of the interface between InGaAs buffer and GaAs substrate (VN666 left) and the interface between GaAsSb buffer and GaAs substrate (VN3315 right) showing dislocations at and near the interfaces

Fig 5 BF TEM images of the QDs on top of InGaAs buffer (VN666 left) and the QDs on top of GaAsSb buffer (VN3315 right) In both cases two layers of quantum dots have been grown

Finally HREM is used to examine the microstructure of the QDs grown on top of the

buffers in order to determine more accurately the size of the QDs and to check the presence of dislocations (Fig 6)

266 Y Qiu et al

Fig 6 HREM images of an InAs QD grown on top of the InGaAs buffer (VN666 left) and on top of the GaAsSb buffer (VN3315 right) The quantum dot on the right appears fully strained without any dislocations while the asymmetric strain contrast under the quantum dot on the left indicates partial strain relaxation by a dislocation the strain field of which is visible

4 Discussion 41 Study of Strain Relaxation The lattice mismatch between buffer and substrate is similar for both buffers namely 179 for In025Ga075AsGaAs and 219 for GaAs072Sb028GaAs In order to fully relax the strain in the buffers by typical edge dislocations with Burgers vector of frac12 ranglang110 one dislocation every 223nm for the InGaAs buffer or every 182nm for the GaAsSb buffer would have been necessary Around 50 such defects have been studied in each specimen and Fig 7 displays the resulting histograms of dislocation spacings observed

05

1015202530

10-20 30-40 50-60 70-80 90-100Distance between two nearby defects (nm)

num

ber o

f def

ects

VN666 5056plusmn2416nmVN3315 2486plusmn841nm

0

5

10

15

20

25

10-20 30-40 50-60 70-80 90-100Distance between two nearby QDs (nm)

Num

ber o

f QD

s VN6665267plusmn2156nmVN33154287plusmn1301nm

Fig 7 Dislocation spacings at interface Fig 8 Histogram of distances between InAs QDs between buffer and substrate

The chart in Fig 7 indicates that most of the line defects at the interface between GaAsSb buffer and GaAs are between 10nm and 30 nm apart which means ~70 of the strain in the GaAsSb is relaxed by dislocations in sample VN3315 For sample VN666 on the other hand only ~44 of the strain in the InGaAs is relaxed Consequently the surface of the GaAsSb buffer can be expected to be much flatter than the surface of the corresponding InGaAs buffer which agrees well with the measurements obtained by AFM after 500nm of buffer growth (cf Fig 2)

Comparing InGaAs and GaAsSb Metamorphic Buffer Layers 267

42 Study of Quantum Dots The InAs QDs have been studied in detail with the purpose of examining the difference between those grown on top of the InGaAs buffer and those on the GaAsSb buffer and to correlate this with the different optical properties of both samples 421 Size of Quantum Dots The size of the QDs has been determined for around 30 QDs from both samples using low magnification TEM images (acquired at nominally ~2kX but with the Gatan Image Filter contributing another factor of ~19) as well as high magnification lattice images (recorded at nominal magnifications of 30kX or 50kX) Figsures 9 and 10 show the distributions of heights and widths measured as full-widths at half-maximum (FWHM) with average values and standard deviations

0

5

10

15

20

3-4 5-6 7-8 9-10 11-12Height of QDs (nm)

Num

ber o

f QD

s

VN666686plusmn142nm

VN3315633plusmn103nm

0

2

4

6

8

10

10-11 12-13 14-15 16-17 18-19 20-21 22-23 24-25FWHM (nm)

Num

ber o

f QD

s VN666 1498plusmn280nm

VN3315 1711plusmn295nm

Fig 9 Height distribution of InAs QDs Fig 10 Width distribution of InAs QDs

Figure 9 shows that the distribution of QD heights is slightly wider in the sample grown on the InGaAs buffer while the average quantum dot heights are almost identical Figure 10 demonstrates that the QDs on the InGaAs buffer are slightly smaller and their distribution is stronger bi-modal with peaks at ~15nm and ~20 nm Most of the lateral sizes of the QDs grown on top of the GaAsSb buffer lie in-between This correlates well with the observation by PL in Fig 1 that the QDs grown on top of GaAsSb emit strongly at one wavelength (~1550nm) while the QDs on top of InGaAs emit at two wavelengths one slightly below and one slightly above this value 422 Spacings Between Nearest Quantum Dots The QDs on top of the GaAsSb buffer are more evenly and more closely spaced to each other compared to those grown on top of the InGaAs buffer Figure 8 shows the details of the distributions of the QD spacings If the quantum dots can be considered to be arranged on a perfect square array along both lt110gt in-plane directions then the measured average quantum dot spacing d can be converted into an areal density of NA=dndash2 which yields dot densities of 36x1010cmndash2 for VN666 and 54x1010cmndash2 for VN3315 This again agrees with the QDs on top of GaAsSb (VN3315) emitting light with higher intensity and more monochromatic than those on top of the InGaAs (VN666) buffer We note that for the InGaAs buffer layer the distances between the dislocations at the substratebuffer interface and the distances between the quantum dots grown on top are almost identical This may indicate that despite their rather large vertical separation and the insertion of 8 superlattices a significant amount of the strain field has coupled directly from the substratebuffer interface to the quantum dots over a vertical distance of ~25microm leading to plastic strain relaxation within at least some of the quantum dots grown in sample VN666 as shown in

268 Y Qiu et al

Fig 6 on the left This demonstrates that some fraction of strain is relaxed in the quantum dots rather than in the underlying InGaAs buffer layer For sample VN3315 with the GaAsSb buffer layer on the other hand the QD spacings differ significantly from the dislocation spacings demonstrating that strain has been relaxed sufficiently at this substratebuffer interface and within the buffer to allow subsequent growth of fully strained InAs quantum dots 5 Conclusion We have investigated by cross-sectional transmission electron microscopy two samples with InAs quantum dots grown via intermediate superlattices on InGaAs or GaAsSb buffer layers Most of the strain in the GaAsSb buffer is relaxed by plastic deformation in the form of dislocations at its lower interface while the InGaAs buffer still contains considerable strain Also the GaAsSb buffer surface is almost perfectly flat effectively providing an ideal surface for subsequent overgrowth The InGaAs buffer on the other hand is still rough after ~500nm of its growth and does not relax as much strain so subsequent layers still experience considerable compressive strain during their growth and some of the quantum dots observed exhibit contrast features typical of strain relaxation by dislocations The photoluminescence characteristics of the two samples are very different This we explain by a combination of size of and spacing between the quantum dots which for the GaAsSb buffer correspond to the dislocation spacing observed at the buffersubstrate interface and the corrugation wavelength of the buffer interface during growth For the InGaAs buffer these lengths seem to be rather uncorrelated which we explain by more of the plastic relaxation taking place in or near the quantum dots rather than in the buffer References 1 Liu H Y and Hopkinson M 2003 Appl Phys Lett 82 3644 2 Fang Z D et al 2005 J Infrared Millimeter Waves 24 324 3 Liu H Y Sellers I R Badcock T J Mowbray D J Skolnick M S Groom K M Gutierrez M

Hopkinson M Ng J S David J P R and Beanland R 2004 Appl Phys Lett 85 704 4 Tangring I et al 2005 Appl Phys Lett 86171902 5 Shi G X Xu B Jin P Ye X L Wang Y L and Wang Z G 2005 J Cryst Growth 276 77 6 Kim J S Lee J H Hong S U Han W S Kwack H-S Lee C W and Oh D K 2003 J Cryst

Growth 259 252

Structural and Compositional Properties of Strain-Symmetrized SiGeSi Heterostructures I M Ross M Gass2 T Walther1 A Bleloch2 A G Cullis1 L Lever3 Z Ikonic3 M Califano3 R W Kelsall3 J Zhang4 and D J Paul5 University of Sheffield Department of Engineering Materials Sheffield S1 3JD UK 1 University of Sheffield Department of Electronic and Electrical Engineering Sheffield S1 3JD UK 2 SuperSTEM Daresbury Laboratory Keckwick Lane Daresbury WA4 4AD UK 3 University of Leeds School of Electronic and Electrical Engineering Leeds LS2 9JT UK 4 Department of Physics Imperial College London SW7 2BZ UK 5 Cavendish Laboratory University of Cambridge Cambridge CB3 0HE UK Summary In this study we have utilised conventional and aberration corrected (scanning) transmission electron microscopy to examine the Ge concentration across a series of technologically significant SiGeSi prototype heterostructures Electron energy loss line profiles show that the Ge concentration within the SiGe quantum wells approaches the nominal values However the Ge concentration profile shows that the interfaces are not abrupt and that the narrow 08nm barrier layer does not reach the nominal pure Si composition Speculation as to the presence of Ge interdiffusion surface segregation or interface roughness is discussed 1 Introduction Moves towards the realisation of SiSiGe quantum cascade lasers (QCL) at far-infrared (Terahertz) frequencies have attracted significant interest in recent years Potential applications include medical imaging gas sensing and security monitoring Such devices offer a number of technological advantages including higher operating temperatures as well as reductions in manufacturing costs due to their compatibility with established silicon processing technology [1 2]

Effective development of SiGe QCLs for Terahertz emission is critically dependent on a sound understanding of the intersubband carrier dynamics in SiGeSi heterostructures and therefore structures were designed and grown for intersubband pump-probe spectroscopy [3] Consequently in this study we have applied transmission and scanning transmission electron microscopy (TEMSTEM) combined with energy dispersive X-ray spectroscopy (EDS) and electron energy loss spectroscopy (EELS) to investigate the structure and chemical composition of such pump-probe SiGeSi heterostructures in particular the narrow (08nm) Si barrier layers A series of strain-balanced Si1-xGex epitaxial layers with nominal x of 04 (Sample BF1503) were grown by gas source chemical vapour deposition on a Si08 Ge02 relaxed buffer [3] High spatial resolution electron energy loss elemental profiles and annular dark field (ADF) imaging was also obtained using aberration corrected scanning transmission electron microscopy [4] We compare the image contrast obtained from both aberration corrected and conventional ADF imaging and correlate this data with the more direct experimentally determined germanium distribution from spectroscopy 2 Experimental Cross-sections for examination in the TEMSTEM were prepared along the [110] direction by conventional mechanical polishing and thinning to electron transparency using Ar+ ion beam milling Initial measurement of the heterostructure layer thickness was performed using

270 I M Ross et al

conventional high resolution (HREM) phase contrast imaging in a JEOL 2010F field emission gun (FEG) TEM operating at 197kV Calibration of the HREM image was achieved by direct measurement of the lattice from the adjacent pure Si substrate Annular dark field (ADF) imaging was also performed in STEM mode using a ~04nm probe and an inner detector collection angle of 54mrads Quantification of the nominally 20 germanium buffer layers above and below the heterostructure layers was determined by EDS analysis Several EDS spectra were acquired in STEM mode using a ~50nm x 300nm letter box scan using a 50 second preset live time and quantified using the Cliff-Lorimer thin section rule

Identical regions of the sample were located and also examined in a dedicated Vacuum Generators STEM (UK SuperSTEM Daresbury) equipped with a Nion aberration corrector and Gatan (Enfina) electron energy loss spectrometer Care was taken to ensure that the sample thickness was as near as possible identical to the regions examined in the conventional TEMSTEM to allow direct comparison of the resulting ADF images This was achieved by recording the zero-loss peak at the area of interest and performing zero-loss deconvolution The principle motivation for the use of this instrument was the desire for high spatial resolution EELS analysis to assess the Ge distribution within the narrow heterostructure layers ADF images in this instance were acquired with an annular detector acceptance angle of 70 to 210 mrads while EELS spectrum image line scans were performed for the Ge L23 edge (1217eV) using a convergence and collection angle of 24 and 19mrads respectively Line scans were acquired using a nominal 015nm probe with a typical step size of 035nm Images were recorded before and after the acquisition of each spectrum image EELS line profile to assess specimen drift A background subtraction was performed on the component spectra within Digital Micrograph (Gatan Inc) and the line profile expressed as extracted Ge counts Due to limitations within the post spectrometer coupling optics it was not possible to record both the Ge L23 (1217eV) and Si K (1839eV) effectively Consequently the previously obtained EDS analysis of the nominal 20 Ge buffer layers was used to calibrate the EELS line profile in terms of Ge concentration For both instruments the ADF images were dark count corrected by subtraction of a respective image obtained through vacuum recorded with identical imaging conditions

3 Results and Discussion The successful growth of a series of asymmetrical coupled SiGeSi quantum wells is illustrated in the STEM HAADF image shown in Fig 1a in which the SiGe QWs appear in bright contrast and the Si barriers dark While in general the layers appear uniform and flat HREM imaging suggests some interfacial roughness may be present at the atomic scale (Fig 1b)

Fig 1 a) ADF-STEM image of the coupled asymmetric quantum well layers (20 periods) and b) Conventional HREM image showing detail of the quantum well structure

Si1-x Gex

Si1-x Gex

Si barrier

Structural amp Compositional Properties of Strain-Symmetrized SiGeSi Heterostructures 271

Fig 2 a) Aberration corrected STEM ADF image across the first period of the heterostructure showing the region selected for the EELS line scan (growth direction left to right) b) EELS concentration profile extracted from the Ge L23 edge c) Corresponding ADF contrast profile

Figure 2a shows an aberration corrected ADF STEM image of the first period of the

heterostructure The growth direction is from left to right showing the nominally Si08Ge02 buffer layer (grey contrast) followed by a 62nm (612plusmn01nm) Si barrier (dark contrast) the central 40 nm of which is modulation doped with B to a concentration of NA=16x1018 cm-3 the 44nm (53plusmn01nm) and 33nm (46plusmn01nm) SiGe wells with a nominal Ge content of 40 (bright contrast) separated by a 08nm (08plusmn01nm) Si barrier (dark contrast) The values shown in parentheses correspond to the direct measurements from HREM and STEM images The extracted Ge concentration profile from the EEL spectrum image line scan defined in Fig 2a is shown in Fig 2b and the corresponding ADF contrast profile across the same region given in Fig 2c It is clear from the ADF image and Ge concentration profile that the interfaces are not atomically abrupt and that the 08nm barrier layer does not reach the nominal pure Si composition This result may have profound implications since thin (lt1nm) barrier layers are expected to be an essential component of future successful SiSiGe quantum cascade laser devices Recent investigations have shown that inclusion of Ge interdiffusion into band-structure calculations for thin layer quantum confined hetrostructures can influence the calculated wavefunctions significantly Such calculated band-structures yield energies for subband states that are substantially closer to current experimental observations [5] However we also need to consider the impact of possible Ge surface segregation and interface roughness The presence of pure segregation in SiGe is usually identified by highly asymmetric Ge profiles not observed in this investigation The degree of interface roughness on the other hand is less easy to determine and all we can be sure of from imaging in this instance is that roughness greater than half the specimen thickness (~20nm) can be ruled out [6] This is not much help since roughness of the order of a few mono-layers would be enough to exhibit the observed Ge concentration profiles Further work is therefore needed to clarify this situation before any firm conclusions can be drawn

The ADF contrast intensity ratio in Fig 2c appears as a good fit to the experimentally derived Ge concentration profile from EELS analysis However use of ADF contrast as a means of quantification in general has limitations due to its dependence on many other factors such as specimen orientation thickness inner detection angle and dark current and detector gain [7] By

0 5 10 15 20

AD

F C

ontr

ast I

nten

sity

(Arb

Uni

ts)

Distance (nm)

0 5 10 15 200

10

20

30

40

Ge

conc

entr

atio

n (

)

Distance (nm)

(b)

(c)

(a)

Spectrum Image

272 I M Ross et al

careful control of these parameters and robust data extraction it has been shown that for binary systems such as SiGe it is however possible to extract quantitative information from such ADF images [7] although this treatment was beyond the scope of the current investigation None the less it was interesting to compare the ADF contrast intensity ratio of SiGe to Si obtained from both the aberration corrected STEM (100kV) and conventional FEG-STEM (200kV) The mean values obtained corresponding to the nominally 20 Ge buffer and 40 Ge QW regions is given in Table 1 Reassuringly if one plots the ratios as a function of Ge concentration a linear relationship is observed and the values from the two different instruments are in close agreement However the value of the ratios is significantly lower than that which may be expected for the proportionality relationship IpropZε where ε has been proposed to lie somewhere between 17 and 2 the latter being for pure Rutherford scattering [7]

ADF SiGeSi Contrast Ratio Simulated SiGeSi Contrast Experimental Ge Concentration from EELSEDS (JEOL 2010F) SuperSTEM (Zε) ε= 2 ε = 17 +oxide203 (plusmn19) 133 134 184 161 160 379 (plusmn19) 157 162 269 223 221

Table 1 Comparison of the ADF SiGeSi contrast ratios for the conventional FEG and aberration corrected STEM images (simulated SiGeSi contrast ratios are given for comparison including a consideration for the presence of a 2x2nm thick oxidised silicon surface layers [ε =17 plus oxide assuming total specimen thickness 40nm])

4 Conclusions Narrow barrier SiSiGe heterostructures have been successfully grown on SiGe virtual substrates The Ge fractions derived from EELS line profiles in an aberration corrected STEM approach the intended growth parameters However the interfaces are not abrupt and the 08nm barrier layer between the coupled asymmetric QWs does not appear to reach the nominal pure Si composition This may be due to Ge interdiffusion during growth but contributions from Ge surface segregation andor interface roughness cannot be ruled out ADF image contrast ratios from FEG-TEMSTEM and aberration corrected STEM where found to be in good agreement Nevertheless in each case the ADF image contrast ratios from the experimental data imply a significant under-estimate of the Ge concentration compared with that obtained directly from EELSEDS analysis Acknowledgement The authors gratefully acknowledge the support of the Engineering and Physical Sciences Research Council (EPSRC) (GRS27528) References 1 Paul D J 2004 Semicond Sci Technol 19 R75R108 2 Lynch S A Bates R Paul D J Norris D J Cullis A G Ikonic Z Kelsall R W Harrison P

Arnone D D and Pidgeon C R 2002 App Phys Lett 81 1543 3 Califano M Vinih N Q Philips P J Ikonic Z Kelsall R W Harrison P Pidgeon C R Murdin

B N Paul D J Townsend P Zhang J Ross I M and Cullis A G 2007 Phys Rev B 75 045338 4 Batson P E Dellby N and Krivanek O L 2002 Nature 418 617 5 Lever L Kelsall R W Ikonic Z Ross I M Zhang J Gass M Townsend P Paul D J Vinh N Q

and Pidgeon C R 2007 Proceedings of the Ninth International Conference on Intersubband Transitions in Quantum Wells

6 Walther T and Gerthsen D 1993 Appl Phys A 57 393 7 Walther T 2006 J Microsc 221 137

EELS and STEM Assessment of Composition Modulation in InAlAs Tensile Buffer Layers of InGaAs InAlAs (100)InP Structures S Estradeacute1 J Arbiol12 and F Peiroacute1 1 Electronics Department University of Barcelona c Marti Franques 1 08028 Barcelona Spain 2 TEM-MAT Serveis Serveis Cientificotegravecnics University of Barcelona c Marti Franques 1

08028 Barcelona Spain Summary In previous work InGaAs single quantum well structures had been grown on (100) InP 4deg misoriented towards (111) Transmission electron microscopy had shown that the misorientation of the substrate gave rise to a development of lateral contrast modulation that initiated on the InAlAs tensile buffer layer and it was suggested that contrast modulation could be related to In-rich or Al-rich regions In the present work contrast modulation has also been observed in high angle annular dark field imaging an electron energy loss spectroscopy study of the evolution of plasmon position also supports the hypothesis of compositional modulation 1 Introduction Many nanostructures of great fundamental and technological interest based on IIIV semiconductors have been recently reported to benefit from compositional modulation phenomena at the buffer layer for instance by improving the uniformity of the nanostructures [1] or by enabling better control of their positions [2] InGaAs InAlAs (100)InP HEMT devices with substrate 4deg misoriented towards (111) had been previously reported elsewhere [34] to display a quasiperiodic strong contrast modulation along the [011] direction with parallel dark bands oriented on [011] that initiated on the InAlAs tensile buffer layer and propagated across the structure suggesting compositional modulation In the present work we have observed the above mentioned quasiperiodic contrast modulation bands normal to [011] direction in high angle annular dark field (HAADF) imaging thus supporting the compositional modulation hypothesis We have further studied the local composition variation along the [011] direction by quantitative electron energy loss spectroscopy (EELS) analysis We have thus extended transmission electron microscopy (TEM) methods widely used in similar contexts such as the study of intentionally grown IIIV semiconductors superlattices [5] or the mapping of 1D nanostructures in IIIV compounds [6] to self organised composition modulation assessment 2 Experimental The general structure of the analyzed samples is 5 nm GaAs 50 nm In052Al048As 18 nm In053Ga047As 2 microm InyAl(1-y)As buffer layer InP substrate where yIn = 50 for sample I and yIn = 48 for sample II The samples were grown by molecular beam epitaxy on (100) InP substrates which were 4deg misoriented towards (111) The InP substrates were cleaned for 20 min in UV ozone which removes organic contaminants and results in a surface oxide Oxide desorption was accomplished by heating InP at 530 degC under an As2 flux of equivalent pressure 22x10-5 Torr The growth rates were about 1 micromh for all the layers and the As2 flux was fixed at 22x10-5 Torr Since composition variations in InAlAs appear at

274 S Estradeacute J Arbiol and F Peiroacute

high growth temperatures (Tg) the InAlAs buffer layers have been grown at 580 degC However in order to avoid problems related to As-P exchange at the interface we grew about the first 200 nm at Tg =530 degC 3 Previous Results Plan view observation had revealed a quasi-periodic contrast modulation along [011] These contrast fringes along [011] were observed in strong contrast for g=022 and the mean modulation wavelength had been determined to be Λ = 125-135 nm It is worth noting that there was an extinction of the contrast of the bands for g=02-2 (Fig 1) When observing the samples from the free surface towards the interface contrast vanished as the substrate was approached undulations were observed down to a distance of about 200nm from the InP No dislocation nucleation was found in the case of lower mismatch (y = 50) and reduced dislocation density was found for the higher mismatched (y = 48) sample The given results suggested that anisotropic undulation should act as an elastic strain relieving mechanism

In cross-sectional (XT) geometry white-dark domains were observed inside the InAlAs buffer under g=022 These domains started to appear at about 200 nm from the interface in the sample with yIn=50 (Fig 2a) In the more mismatched sample misfit dislocations were observed at the buffersubstrate interface and at 200 nm from the interface (Fig 2b) Some dislocation loops glided on 111 planes between both regions as observed in (100) plan views Contrast bands were oriented at 13-15ordm from the [100] direction The trace of these domains on the (100) plane is [011] The stereographic projection indicated that the domains were limited by 122 and 133 planes There was a correspondence of domains with ripples and valleys at the top surface

Fig 1 DF image of y = 48 structure in plan view with excitation a) g=02-2 and b) g=022

Fig 2 DF image in XT with excitation g=022 a) y = 50 structure and b) y = 48 structure

EELSampSTEM Assessment of CM in InAlAs TBL of InGaAsInAlAs(100)InP Structures 275

4 STEM Results Scanning TEM (STEM) imaging and EELS experiments have been carried out in a JEOL JEM2010F microscope with field emission gun operating at 200 keV EELS spectra have been obtained with a Gatan image filter (GIF) spectrometer In STEM BF images we can already observe the dark-light fringes as seen in conventional TEM especially for y = 50 As for HAADF contrast is enhanced and moreover it is not reversed with respect to the BF STEM corresponding images suggesting a composition contrast (Fig 3) Notice that In-rich regions will be seen with higher intensity than Al-rich regions When studying intensity profiles we conclude that intensity fringes observed by HAADF present an average spatial wavelength of about 130 nm which is in good agreement with the above mentioned wavelength figure as deduced from conventional TEM observation

In order to further confirm the compositional modulation hypothesis EELS spectra series have been obtained along the [011] direction in order to correlate contrast fringes with compositional data As the In M45 peak (443 eV) and the Al K peak at (1560eV) are separated by a great energy distance the relative quantification is seriously affected by the poor signalnoise ratio of the Al K peak when obtaining both peaks in the same spectrum thus it was decided to use the plasmon peak to carry out the EELS analysis Keeping in mind that the AlAs plasmon is found at 161 eV and the InAs plasmon is found at 138 eV we expect a periodic variation of the plasmon position along the [011] direction with a spatial wavelength of about 130 nm towards higher energies in Al-rich regions (darker contrast in HAADF) and towards lower energies in In-rich regions with a plasmon energy mean value which is expected to be 1495 eV for y = 50 and 1499 eV for y = 48 The latter values will be used as check figures in our experiment In order to find the plasmon maxima automatically both to speed the calculation process and to avoid ambiguities in the maximum location process a Matlab script has been written that adjusts a Gaussian function to the ZLP recalibrates the energy scale fits a second Gaussian function to the plasmon peak and returns its maximum as a function of the spectrum ordinal in the spectra series The plasmon maximum position as a function of the acquisition point in the sample has shown the expected variation coherent with contrast variation and again more pronounced for y = 50 (Fig 4) The mean plasmon maximum position has been found to be 1495 eV for y = 50 and 1500 eV for y = 48 which is in good agreement with the expected values

Fig 3 a) BF STEM and b) HAADF images of y = 50 structure Intensity profile along highlighted line (inset) c) BF STEM and d) HAADF images of y = 48 structure Intensity profile along highlighted line (inset)

50 nm 50 nm50 nm

(c) (d)

(a) (b)

yIn=48

yIn=50

000 005 010 01500

02

04

06

08

10

I [A

U]

Position [microm]

00 01 02 03 04 0500

02

04

06

08

10

Position [microm]

I [A

U]

276 S Estradeacute J Arbiol and F Peiroacute

Fig 4 a) HAADF image of y = 50 structure and b) plasmon energy evolution in c) the EELS spectra series acquired along the highlighted line d) BF STEM image of y = 48 structure and e) plasmon energy evolution in f) the EELS spectra series acquired along the highlighted line

5 Conclusion Examination by DFTEM of InGaAsInAlAs buffer (tensile)InP structures using the excitation g=022 revealed quasiperiodic strong contrast modulation fringes perpendicular to the (002) direction which seemed to indicate the presence of In-rich and Al-rich regions HAADF observation has shown the same quasiperiodic contrast thus confirming the hypothesis of composition-related contrast EELS spectra analysis has shown a plasmon position variation according to contrast variation as observed in HAADF and STEM BF more pronounced for yIn = 50 where contrast variation was also more evident Acknowledgements Studied samples were grown by A Georgakilas (Foundation for Research and Technology-Hellas) C Wood and A Christou (University of Maryland) References 1 Wang Y Jin P Ye X Zhang C Shi G Li R Chen Y and Wang Z 2006 Appl Phys Lett 88 123104 2 Bortoleto J Gutieacuterrez H Cotta M and Bettini J 2005 Appl Phys Lett 87 013105 3 Peiroacute F Cornet A and Morante J 1995 Inst Phys Conf Ser 146 p385 4 Peiroacute F Cornet A Morante J Georgakilas A Wood C and Christou A 1995 Appl Phys Lett

66 2391 5 Shiojiri M Čeh M Šturm S Chuo C Hsu J Yang J and Saijo H 2006 J Appl Phys 100 013110 6 Saacutenchez A Beanland R Gass M Papworth A and Goodhew P Hopkinson M 2005 Phys Rev

B 72 0753391 7 Tsuchiya M Petrov P and Coldren L 1989 Appl Phys Lett 54 1690 8 Guyer J and Voorhees P 1998 J Crystal Growth 187 150

000 005 010 015 020 025140

145

150

155

160

Pla

smon

ene

rgy

[eV

]

Position [microm]

yIn=48 Al-rich regions

In-rich regions

00 05 10 15140

145

150

155

160

Pla

smon

ene

rgy

[eV

]

Position [microm]

yIn=50 Al-rich regions

In-rich regions

0 20 40 60 80eV

00

01

02

microm

0

5000

10000

e-

microm

microm

0 20 40 60 80 eV

0 50 100 150 eV

00

01

02

00 05

10

e-

e-

(a)

(d)

(b)

(c)

(e) (f)

0

3000

6000

0

10000 5000

1)

2)

3)

X=0

Fig 1 Optical micrograph of a tungsten filament following in situ growth of tungsten oxide

In situ Observation of the Growth of Tungsten Oxide Nanostructures D C Cox V Stolojan G Chen and S R Silva Advanced Technology Institute University of Surrey Guildford Surrey GU2 7XH UK Summary This paper describes a method for producing tungsten oxide nanostructues in an environmental scanning electron microscope The growth is observed in real time and offers direct observation of the morphology of the tungsten oxide condensed onto the cooler part of a typical W scanning electron microscope filament We also find that the growth of nanostructures occurs on timescales much shorter than reported thus far Corresponding to increasing temperatures along the tungsten filament we find that the tungsten oxide deposits successively as nano-clusters nano-multirods lsquopine-treersquo-like structures and ultimately single nanowires 1 Introduction The research into tungsten oxide and its allotropes has been recently boosted by advances into the growth of one-dimensional tungsten oxide nanostructures and demonstration of their field-emission [1] gas-sensing [2] and electrochromic properties [3] The route to their implementation into devices though requires large-scale synthesis In the last five years however there has been a significant number of papers claiming facile synthesis of tungsten oxide nanowires either using a chemical vapor deposition route or a vapor-liquid-solid method of extracting solid nanowires out of a W-rich solution Chemical vapour deposition appears to offer more control over the growth process [4] both through the morphology and chemical composition of the end product as well as offering a route to introducing dopants such as P and K of interest to the superconductivity community [5] Here we show that the entire range of tungsten oxide nanostructures obtained so far can be produced within the same experimental set-up simply by varying the substrate temperature

2 Experimental and Results In our experimental set-up a tungsten scanning electron microscope (SEM) filament is electrically heated in a FEI Quanta 200 field-emission environmental SEM (ESEM) operated at 040 mbar pressure (water vapour) Ever since the advent of tungsten-based light bulbs it has been known that in the presence of air heated tungsten filaments etch and fail rapidly hence the requirement for low-pressure inert atmospheres in light bulbs Figure 1 shows an optical image of the filament taken after the experiment at 15x magnification identifying three key areas 1) the yellow-orange product on the support pillar and the wire hanging just

278 S Estradeacute J Arbiol and F Peiroacute

-500microm 1000microm 2500microm

3500microm 4500microm 5000microm

7000microm 8000microm 8500microm

1 2 3

4 5 6

7 8 9Fig 2 SEM micrographs of the observed tungsten deposits along the length of the wire (scale bar is 200nm)

outside the support pillar (left) 2) the blue-black deposit starting in the vicinity of the support pillar and extending for approximately 7mm and 3) the silvershiny central portion where the wire was at its hottest during the experiment Figure 2 shows a sequence of SEM images taken at steps along the wire starting just inside region 1 and ending just inside region 3 The origin of the position scale is taken to be the boundary between regions 1 and 2 just past the weld securing the wire to the post The orange product (Fig 21) appears with an amorphous shape typical of WO2 As we start looking to the right of the post into region 2 where the colour changes to blue-black we first encounter the same clustering as for WO2 but we also notice each cluster is composed of crystal platelets typical of W2O5 (Fig22) Moving along the wire (and corresponding to an increased substrate temperature) the next two panels show the transformation of the spherical cluster into multiple nanorods aggregated around a central core typical of W18O49 At 4500microm we can see that multiple nanorods surrounding the core no longer appear and that the central core appears to be composed of concentric shells with increasing diameters (Fig 25) Most importantly as the temperature increases further (4500 and 5000microm) the further nanorods no longer aggregate concentrically around the core but grow out of it giving it the lsquopine-treersquo appearance in a structure similar to the fractal crystallization of ice into snowflakes but in three dimensions A further increase in temperature results in short and thin nanowires growing in particular orientations that reveal the epitaxial relationship with the substrate (Fig 27) At 8000 microm only small islands are deposited revealing the initial stages of nucleation and growth of the nanowires (Fig 28) Lastly at 8500 microm the temperature is such that only etching occurs as

In situ Observation of the Growth of Tungsten Oxide Nanostructures 279

revealed by the typical faceting of the W wire (Fig 29) This also allows us to view the effect of temperature along the wire as the parameter primarily controlling the balance between etching and depositioncondensation with lower temperatures favoring faster condensation rates We therefore interpret the variance in nanostructure morphology and composition as temperature-induced phase transformations translating into a rate of condensation of the tungsten oxide gas

The series presented in Fig 2 is equivalent to a temperature-variable series of growths but an exact temperature scale is not easily attributable However there are a number of factors that helps us appreciate the temp-erature qualitatively Firstly we know that the base temperature does not go above 400K over the short time that the filament is heated (5-10minutes) The wire is run always 01A just below the current at which it emits which places the hottest part of the wire (its middle) at ~1700K Lastly tungsten oxide transforms to W2O5 at 1050K and to W19O48 at 1300K

3 Discussion and Conclusions As already suggested we can reveal the assembly process of the tungsten oxide nanostructures by interpreting the thermal series in Fig 2 through the consideration of the competition between etching and depositioncondensation with wire temperature By looking at the series in reverse order we conclude the following which is depicted in Fig 3 bull The nucleation of the wires occurs at specific sites on the tungsten substrate (step-edges

defects etc) with the deposition of small islands of tungsten oxide (~20-30nm in diameter) bull Depending on temperature further arrival of tungsten oxide leads to growth of nanowires or

develops into quasi-aligned clusters of W2O5 crystallites or the random WO2 bull Nanowires grow out of small tungsten oxide islands in a very short time of the order of a

minute bull The nanowires thicken in concentric layers (see Fig 25 arrow) up to what appears to be a

critical diameter (~250-300nm) from where they grow secondary and ternary wires at a

Fig 3 Illustration showing the growth of the tungsten oxide nanostructures

280 S Estradeacute J Arbiol and F Peiroacute

higher temperature whilst at the lower temperature further thickening is achieved by the outer layers lsquocollapsingrsquo into layers upon layers of single small rods (diameters ~30-50 nm) Figure 3 shows a diagram of the proposed model describing the thickening of the main nanowhisker up to a critical diameter after which further material arriving deposits either as dendritic whiskers or as concentric layers of tightly-packed whiskers

Hu et al [6] have observed hollow crystalline oxide fibers assembled from tightly-packed single whiskers and have explained the one-dimensional growth of the fibers as a structure that maintains the dissipation of heat along the c and a axes of a single whisker This may indicate that tungsten oxide exhibits anisotropic heat dissipation Our results support this theory explaining the observed concentric layer thickening followed by further development of either tightly-packed aligned whisker layers or dendritic whisker growth

This experiment also shows that the different nanostructures grow from the same gaseous species as opposed to different vapors leading to different tungsten oxide deposits Furthermore the etching of tungsten is more likely driven by the reaction with the moisture in the air rather than the oxygen itself Therefore we believe the chemical route to the tungsten oxide nanostructures here is W(s) + H2OrarrWOx(g)+H2

WOx(g) rarr

gtgt

234918

1252

12

TTOWTTOW

TWO

We have observed in situ the growth of tungsten oxide nanostructures The obtained

product has the full range of morphologies obtained thus far from amorphous WO2 to clusters of crystallites of W2O5 and ending with W18O49 nanowires in three types of formation a thick central core enveloped in single whisker sheaths dendritic ldquopine-treesrdquo and single quasi-aligned whiskers The observed growth supports the model where the one-dimensional growth is supported by the heat dissipation along the c and a axes of the single whiskers References 1 Seelaboyina R Huang J Park J et al 2006 Nanotechnology 17 4840 2 Kim YS Ha SC Kim K et al 2005 Appl Phys Lett 86 213105 3 Santanto C Ulmann M and Augustynski J J 2001 Phys Chem B 105 936 4 Gillet M Delamare R and Gillet E 2005 J Crystal Growth 279 93 5 Aird A Domeneghetti M C Mazzi F Tazzoli V and Salje EKH 1998 J Phys-Condensed

Matter 10 L569 6 Hu W B Zhu Y Q Hsu W K et al 2000 Appl Phys A-Materials Science amp Processing 70 231

Gas Sensing Properties of Vapour-Deposited Tungsten Oxide Nanostructures Y Tison V Stolojan P C P Watts D C Cox G Y Chen and S R P Silva Advanced Technology Institute University of Surrey Guildford GU2 7XH UK Summary Tungsten oxide nanostructures of the type WO3-x where x=01 show excellent promise for gas-sensing and electrochromic applications Here we determine the morphology and chemical composition of the deposited nanostructures using transmission electron microscopy and x-ray photoelectron spectroscopy We also show the gas sensing properties of the deposited nanostructures for the different structures and relate the observed behaviour to the oxygen vacancies present in the respective tungsten oxide allotrope 1 Introduction The properties of tungsten trioxide a wide bandgap semiconductor (Eg = 25-35 eV) [1] lead to a wide range of applications For instance its electrochromic properties can be used in optical devices such as display devices and smart windows [2] It has also been used as a catalyst for different chemical reactions such as the reduction of nitrogen oxides [3] Lately in order to improve these potential applications tungsten oxide nanowires have been intensively studied yielding a wide range of growth methods [1] In this paper we study vapour-deposited tungsten oxide nanostructures using electron microscopy and photoelectron spectroscopy and analyse their potential for gas sensing applications 2 Growth of Tungsten Oxide Nanowires 21 Growth in Vacuum Chamber

The set-up used to grow tungsten oxide nanowires is described in Fig 1 Two tungsten wires (038 mm diameter) with different lengths (one twice as long as the other) are placed in a vacuum chamber and connected to a DC power supply Voltage and current are slowly increased until the shorter wire is white hot (the longer wire is red hot) and the wires are then left to cool down in the chamber

Fig 1 Experimental set-up used for the growth of tungsten oxide nanostructures

Two different types of coverage are obtained during these experiments the hot wire is covered with a black thin oxide layer whereas the coverage of the cold wire is green-yellow in colour

10-3 mbars

Hot W wire (L)

Cold W wire (2L)

IV

282 Y Tison et al

22 Growth in Environmental Mode SEM Imaging of in situ growth of nanostructured tungsten oxide has been performed in an environmental scanning electron microscope and different types of nanowires were observed depending on the substrate temperature The results are presented in detail by Cox et al [4] 3 Morphology of the Tungsten Oxide Nanowires 31 Scanning Electron Microscopy Depending on the growth condition the tungsten substrate is covered with two different types of nanostructures presented in Fig 2

Fig 2 SEM micrograph of a) green tungsten oxide nanostructures grown on the cold wire and bc) black nanostructure grown on the hot wire

The nanostructures deposited on the cold wire are green in colour and an SEM image is shown in Fig 2a They appear to be large platelet-shaped crystallites (usually 1 to 4 microm long and 05 to 2 microm wide) and some of them are Y-branched On the hot tungsten substrate (Figs 2b and 2c the latter at higher magnification) the black coverage corresponds to a second type of nanostructures Long (up to 5-6 microm) and thin nanowires with diameters varying from 5 to 100 nm have been observed The SEM images presented in Figs 2b and 2c highlight the great variation in the diameter of these wires from 5-10 nm up to 100 nm 32 Transmission Electron Microscopy

Transmission electron microscope (TEM) images were recorded for the nanowires presented in Figs 2b and 2c one example is displayed in Fig 3 The width of this nanowire is approximately 9 nm which corresponds to the lower end of the range of diameters we estimated from the SEM results This image also exhibits fringes separated by 038 nm which correspond to the [001] planes found in either a monoclinic WO3 crystal [1] or a monoclinic oxygen defective W18O49 (WO3-x x=028) [5] Fig 3 TEM micrograph of a tungsten oxide nanowire similar to the ones observed in Fig 2bc the scale bar corresponds to 2 nm

2microm 5microm 1microm

2nm

Gas Sensing Properties of Vapour-Deposited Tungsten Oxide Nanostructures 283

4 XPS Analysis XPS analysis of the as-grown tungsten oxide nanowires has been undertaken in order to determine the chemical state of the tungsten atoms in the nanowires The apparatus used in this study is an Omicron instrument equipped with a dual anode X-ray source a hemispherical analyzer and a single channeltron detector The experiments were performed at room temperature and in ultra-high vacuum (8x10-10 mbars) The tungsten wires used as a base for the growth were directly mounted on a molybdenum sample plate using molybdenum clips The results obtained for the W 4f peaks of two different types of tungsten oxide nanowires are displayed in Fig 4

The spectrum obtained for the large platelets exhibits a single doublet at 365 eV and 378 eV This binding energy is typical of W6+ cations in an oxygen environment as observed in bulk WO3 [6] which shows that these platelets correspond to one of the different crystal structures of WO3

In the case of the nanowires the W4f peak cannot be convoluted by a single doublet associated with W6+ cations in an oxygen environment In order to obtain a satisfactory fit for the experimental curves we had to introduce a second doublet appearing at lower binding energies (342 and 367 eV) and representing approximately 15 of the total area As shown by Hollinger et al [6] the binding energies corresponding to this extra doublet can be attributed to W5+ ions in other words to W atoms in a defective oxygen environment Therefore the thin nanowires generated during the growth correspond to a WO3-x monoclinic phase 5 A Possible Application Gas Sensing

Fig 5 Results of the gas sensing measurements for the nanostructures presented in Fig 2 The response obtained during gas sensing experiments performed for both the large crystallites and the WO3-x nanowires are displayed in Fig 5 The gas used in this study is water vapor and the response is defined as ((Rv-Rf)Rf)x100 where Rv is the resist-ance of the sample during the experiment and Rf is the reference value measured in vacuum before the

Fig 4 XPS spectraof the nano-structures shownin Fig 2

284 Y Tison et al

experiment The two types of vertical dashed line correspond to the beginning and the end of the injections of water vapour into the system

The WO3 platelets show a change in resistance of about 5 when water is injected However one can observe that the curve does not fully recover the original value This is probably related to the presence of water molecules irreversibly trapped in the structure or to a chemical reaction between these platelets and the water during the gas-sensing process On the other hand in the case of the WO3-x nanowires the response is smaller the change in resistance being close to 07 when water is leaked into the system but the process is fully reversible and the initial resistance is recovered a few seconds after the water injection is stopped Therefore despite the poor response which may be due to a smaller quantity of nanowires compared to the platelets (experiments are in progress to confirm this hypothesis) and which needs to be improved the WO3-x nanowires show a better potential in terms of gas sensing 6 Discussion and Conclusion We have demonstrated a simple method to generate two different types of tungsten oxide nanostructures in the form of large platelets and nanowires The combination of electron microscopy (SEM TEM) and photoemission experiments allowed us to determine the morphologies the crystal structure and the chemical compositions for both the platelets and the nanowires In particular we have shown that the nanowires we obtained correspond to a WO3-x monoclinic structure The influence of the growth parameters (temperature pressure chemical composition of the atmosphere) on the nature and morphology of these nanostructures needs to be further analysed and we are currently performing experiments to clarify this point

The possibility of using these structures in gas-sensing devices has also been analysed In our opinion the monoclinic WO3-x nanowires seem to be a better choice as the process is reversible in their case and partially irreversible for the WO3 platelet-like crystallites Two parameters can be invoked in order to explain this reversibility Firstly due the presence of defects W5+ ions and oxygen vacancies this structure is more reactive than the WO3 platelets Secondly the open morphology of the WO3-x nanowires allows gas molecules to be adsorbed and desorbed more easily than in a closed structure such as the WO3 platelet-shaped crystallites These assumptions need to be confirmed and we are currently designing experiments for this purpose Acknowledgements The authors are grateful to EPSRC for funding this work through the portfolio partnership awards and to Mr D Mansfield for his help during the growth experiments References 1 Baek Y and Yong K 2007 J Phys Chem C 111 1213 2 Granqvist C G Avendano E and Azens A 2003 Thin Solid Films 442 201 3 Xu B Dong L Fan Y and Chen Y J 2000 Catalysis 193 88 4 Cox et al 2007 This Proceedings volume 5 Li Y Bando Y and Golberg D 2003 Adv Mater 15 1294 6 Hollinger G Duc T M and Deneuville A 1976 Phys Rev Lett 37 1564

Morphology of Semiconductor Nanoparticles J Deneen Nowak and C Barry Carter Department of Chemical Engineering and Materials Science University of Minnesota 421 Washington Avenue SE Minneapolis MN 55455 USA Summary This study investigates semiconductor nanoparticles using transmission electron microscopy The nanoparticles investigated were produced by a number of different plasma-processing methods and the geometries of the resulting nanoparticles are spheres cubes and cuboctahedra Anomalies such as planar defects and surface facets are investigated as these defects can shed light on the formation mechanisms The observation of nanoparticles with defects is instrumental in understanding how the nanoparticles form and how to tailor the production process This work illustrates the significance of microscopy studies for the investigation of particle formation 1 Introduction Nanoparticles are often found to exhibit properties different to those of bulk material [1 2] One explanation for these unique properties is the large surface to volume ratio of small particles The large fraction of surface atoms which have different coordination to those in the bulk can lead to particles with unique morphologies if this provides for lower-energy surfaces Theoretical models predict that small clusters of atoms can form structures which are distinct from those observed in macroscale materials For example tight-binding models predict an icosohedral to cuboctahedral transition for Rh and Pd clusters as the number of atoms is increased [3] Similarly thermodynamic models suggest that group-IV semiconductor nanoparticles are more stable as truncated octahedra than as cubes since this lowers the overall surface energy [4] Experimentally particles are commonly found which exhibit non-equilibrium morphologies The kinetics involved in the particle formation process is thought in part to dictate the morphology of the particles found Small particles have been observed to take on specific geometric shapes which are unlike their equilibrium morphologies Si and Ti nanospheres [5] Au truncated icosahedra [6 7] and Pt tetrahedra [8] have been reported in literature

As electronic devices are miniaturized semiconducting nanoparticles are proposed for use in a number of applications This requires the reliable and controllable production of particles for which plasma processing is particularly promising Plasma techniques can generally produce a large number of particles relatively quickly Before nanoparticles can find industrial use however it is crucial that the particle size and morphology is well understood This study uses transmission electron microscopy (TEM) to investigate the morphology of three types of nanoparticles produced by three different plasma methods 2 Experimental Semiconductor nanoparticles were produced using three different plasma-processing techniques The first hypersonic plasma particle deposition (HPPD) uses a DC arc-torch to generate a thermal plasma with temperatures approaching 4000 K at the torch exit [9 10] Vapor-phase reactants are introduced into the plasma and nanoparticles are formed as the reactants are rapidly cooled In the second a constricted-mode capacitive silane-argon plasma was used to create silicon nanocubes This method uses a low gas flow rate and inertially

286 J Deneen Nowak and C Barry Carter

deposits particles on a substrate [11] In the third a simple capacitively coupled plasma was used to produce germanium cuboctahedra [12] In all cases the particles were deposited on copper TEM grids with amorphous carbon support films and they were examined using a Tecnai G2 F30 TEM 3 Results

31 Silicon Nanospheres The rapid quenching of the gas precursors that occurs in the HPPD technique results in the formation of spherical nanoparticles a strong indication that they are quenched from a liquid state Figure 1 shows a single Si nanosphere The spherical morphology is suggested by the thickness fringes and can be verified by tilting the particle The particles are typically single crystal as indicated by the diffraction pattern The spherical morphology is unusual for silicon since the equilibrium shape extracted from the Wulff construction is a tetrakaidecahedron [13] The spherical shape only manifests due to the rapid cooling

While the large majority of the particles are similar to the one shown in Fig 1 particles are also found which deviate from this perfect sphere They generally fall into one of two extremes In some cases the particles do not have time to crystallize fully resulting in particles which are not completely crystalline and in partially coalesced particles [5] At the other extreme given sufficient time the particle surface can facet Figure 2 shows a silicon particle with three visible (111) surface facets Another effect of the rapid cooling is the formation of planar twin

boundaries like the one in the particle in Fig 2 These facets and planar defects not only provide insight into the formation mechanism they are also found to play an important role in

Fig 1 BF TEM image of a defect-free silicon nanosphere

Fig 2 BF TEM image of a silicon nano-sphere with surface facets and a twin boundary

Fig 3 BF TEM image of a silicon nanosphere with surface droplets on its (111) facets

Morphology of Semiconductor Nanoparticles 287

nanoparticle contacts [14 15] and they can provide a lower-energy surface for surface droplets like those shown in Fig 3 32 Highly Oriented Nanoparticles Silicon nanoparticles were produced using a constricted filamentary capacitively coupled low-pressure plasma This system produces Si nanocubes like those shown in Fig 4 The cube morphology is again unlikely for silicon but is predicted for hydrogen-passivated surfaces in somewhat smaller particles [4] These nanocubes are particularly desirable for use in semiconductor devices since they preferentially land on their (100) facets [16]

The silicon nanocubes are unusual in that they exhibit only (100) facets though (111) facets have lower surface energy In the case of the capacitively coupled plasma germanium cube-like particles are observed like the one shown in Fig 5 The defocused CBED pattern shows the orientation of the particle and identifies the 100 facets It is

also a unique example of the ldquomissingrdquo 200-type reflections for the diamond-cubic material

On closer inspection of the contrast in the particle it is clear that the cube corners appear lighter than the particle centre Tilting of the particle confirms that the cube corners are actually truncated and the true particle morphology is a cuboctahedron [12] The cube corners become truncated to reduce surface energy Given sufficient time and energy they would likely become true octahedra Silicon nanoparticles with this same morphology are now thought to be produced by an earlier version of the constricted-mode plasma [17]

4 Conclusions

The morphologies of nanoparticles give a unique insight into the kinetics involved in particle formation When rapidly cooled spherical particles can be formed though they occasionally form lower-energy facets In comparison given sufficient time and energy low-energy facets will form on the particle surface Under these circumstances highly oriented particles like the cubes and cuboctahedra are produced TEM studies are uniquely suited for determining particle morphology since diffraction can be used to determine which facets are present

Fig 4 BF TEM image of a single silicon nanocube

Fig 5 BF TEM image of a germanium cuboctahedron and the accompanying defocused CBED pattern

B=100

288 J Deneen Nowak and C Barry Carter

Acknowledgements This work is funded through NSF grants numbers IGERT-DGE-0114372 and NSF-DMI-0304211 We would like to thank Prof Girshick and our colleagues in the University of Minnesotarsquos HPPD program for provision of the spherical nanoparticles and Prof Kortshagen for the cubes and cuboctahedra We also acknowledge support from the 3M Heltzer Endowed Chair References 1 Buffat P and Borel J-P 1976 Phys Rev A 13 2287 2 Gerberich W W Mook W M Perrey C R Carter C B Baskes M I Mukherjee R Gidwani

A Heberlein J V R McMurry P H and Girshick S L 2003 J Mech Phys Solids 51 979 3 Barreteau C Desjonqueacuteres M C and Spanjaard D 2000 Eur Phys J D 11 395 4 Barnard A S and Zapol P 2004 J Chem Phys 121 4276 5 Perrey C R Deneen J and Carter C B 2005 Defects and Interfaces in Nanoparticles in

Microscopy of Semiconducting Materials (Springer Berlin) 6 Ascencio J A Perez M and Jose-Yacaman M 2000 Surf Sci 447 73 7 Dai Z R Sun S and Wang Z L 2002 Surf Sci 505 325 8 Wang Z L Ahmad T S and Ei-Sayed M A 1997 Surf Sci 380 302 9 Rao N P Lee H J Kelkar M Hansen D J Herberlein J V R McMurry P H and Girshick

S L 1997 NanoStr Mater 9 129 10 Rao N P Tymiak N Blum J Neuman A Lee H S Girshick S L McMurry P H and

Heberlein J 1998 J Aerosol Sci 29 707 11 Bapat A Anderson C Perrey C R Carter C B Campbell S A and Kortshagen U 2004

Plasma Phys Cont Fus 46 B97 12 Deneen J Cernetti P Gresback R Kortshagen U and Carter C B 2006 Microsc Microanal

12 612 13 Eaglesham D J White A E Feldman L C Moriya N and Jacobson D C 1993 Phys Rev

Lett 70 1643 14 Deneen J Mook W M Minor A Gerberich W W and Carter C B 2006 J Mater Sci 41

4477 15 Deneen J Mook W M Gerberich W W and Carter C B 2006 Microsc Microanal 12 948 16 Deneen J Perrey C R Ding Y Bapat A Campbell S A Kortshagen U and Carter C B

2005 Microscopy of Nanoparticles for Semiconductor Devices in Microscopy of Semiconducting Materials (Springer Berlin)

17 Bapat A Perrey C R Campbell S A Carter C B and Kortshagen U 2003 J Appl Phys 94 1969

Light Emission from Si Nanostructures F Priolo12 G Franzograve2 A Irrera2 F Iacona3 S Boninelli2 M Miritello12 A Canino12 C Bongiorno3 C Spinella3 D Sanfilippo4 G Di Stefano4 A Piana4 and G Fallica4 1 Dipartimento di Fisica e Astronomia Universitagrave di Catania Via S Sofia 64 95123 Catania Italy 2 MATIS CNR-INFM Via S Sofia 64 95123 Catania Italy 3 CNR-IMM Sezione di Catania Stradale Primosole 50 95121 Catania Italy 4 STMicroelectronics Stradale Primosole 50 95121 Catania Italy Summary In this paper we summarize our recent results on the structural and optical properties of silicon nanoclusters (nc) The structural properties have been investigated by energy-filtered transmission electron microscopy allowing one to determine the temperatures at which the nucleation of amorphous and crystalline Si nanoclusters starts Moreover devices based on both amorphous and crystalline Si nc are demonstrated These devices are extremely stable and robust resulting in an intense electroluminescence at around 900 nm Finally the integration of photonic crystals with Si nc light-emitting diodes will be demonstrated 1 Introduction Si nanocrystals embedded in a SiO2 matrix are currently attracting great interest as a candidate system to solve the physical inability of bulk Si due to its indirect energy band gap to act as an efficient light emitter Indeed the band gap of Si nanocrystals is enlarged with respect to the bulk material due to quantum confinement effects and an intense visible photoluminescence (PL) at room temperature is obtained Recently the interest towards this material has greatly increased due to the observation of light amplification in Si nanostructures [1-6] as well as the demonstration of the feasibility of efficient light emitting devices based on Si nanocrystals [7-15] Indeed both of these points open the route towards the development of Si-based optoelectronics

Si nanocrystals in SiO2 are produced by several different techniques [16-20] In particular the formation of Si nanocrystals embedded in SiO2 from high temperature annealed substoichiometric silicon oxide (SiOx) films prepared by plasma enhanced chemical vapor deposition exhibits a lot of advantages [21-23] Indeed the Si nanocrystal size can be controlled by optimizing the annealing temperature as well as the silicon excess in the SiOx film [23] it has been also observed that by increasing the Si nanocrystal mean size a red shift of the PL peak is obtained in qualitative agreement with the quantum confinement model

A key point for a full understanding of the optical properties of this system is the availability of a clear picture of its structural properties and their evolution upon thermal annealing Under this respect several techniques have been employed to characterize Si nanocrystals In particular very reliable determinations of the Si nanocrystal size have been obtained by transmission electron microscopy (TEM) analysis (from dark field or high resolution measurements) [17-20 22 23] but this technique is not able to give a full quantitative picture of the system including also fundamental information such as the Si nanocrystal density Furthermore this technique is almost blind to the presence of amorphous Si clusters As a consequence a good correlation between the density and the size of the Si nanoclusters present in a sample and the PL properties of the system is still lacking Furthermore the actual contribution given by amorphous clusters to the optical properties of the system is not understood yet

In this work we will review our study of the complete evolution of SiOx films prepared by plasma enhanced chemical vapor deposition from the as-deposited to the high temperature (1250 degC) annealed status In particular the early stages of the separation of the Si and SiO2 phases the formation of

292 F Priolo et al

amorphous Si clusters and their transition to the crystalline phase will be investigated in detail by energy-filtered transmission electron microscopy The presence of a relevant contribution of Si amorphous nanostructures not detectable by using the conventional dark field TEM technique will be demonstrated By taking into account also this contribution an accurate quantitative description of the nanostructure formation process has been accomplished Moreover in this paper we will present our recent results on the application of amorphous and crystalline Si nanoclusters for the fabrication of light-emitting devices The electrical and optical properties of each kind of device will be presented and extensively discussed with a particular attention to their potential for practical applications in silicon optoelectronics The integration of these devices with a bi-dimensional photonic crystal structure (fabricated by truly standard very-large-scale integration (VLSI) processes) will be shown and an enhancement of light extraction by a factor of 4 will be demonstrated 2 Experimental SiOx thin films have been prepared by using a parallel plate plasma enhanced chemical vapor deposition (PECVD) system Details of the deposition procedure can be found elsewhere [23] The source gases used are high purity (9999 or higher) SiH4 and N2O the N2OSiH4 flow ratio has been varied to give SiOx films with total Si concentrations in the range of 35 ndash 46 at After the deposition SiOx films were annealed at high temperature (in the range 900 ndash 1250 degC) for 1 h in a nitrogen atmosphere The high temperature annealing induces the separation of the Si and SiO2 phases with the formation of Si nanograins (amorphous or crystalline depending on the annealing temperature) embedded in SiO2 whose size is also determined by the excess Si amount

The Si-nanocluster films were also used as the dielectric layer in MOS devices To this aim an n-type polysilicon layer (resistivity 0001 Ω cm) was deposted on top of them to allow an electrical bias to be applied Finally a photolithographic process was used to define the active area of the devices (ranging from 09x10-3 to 10x10-3 cm2 Aluminium-based contacts were then made to the n-type polysilicon film and the p-type substrate These metallic contacts were defined as rings to provide a metral-free central area for the exit of the light With the aim of enhancing vertical lightextraction in some of the devices the top polysilicon layer has been etched with conventional lithography to produce a triangular two-dimensional photonic crystal The photonic crystal is composed of holes having a diameter of 400 nm and has a lattice constant of 900 nm The depth of the holes is only 200 nm hence leaving an uninterrupted 100 nm thick polysilicon layer for the electrical contact

The structural characterization was performed by using a field emission scanning electron microscope (SEM) Zeiss Supra 25 and a 200 kV energy-filtered transmission electron microscope a JEOL JEM 2010F equipped with a Gatan Image Filter The latter system consists of a conventional TEM coupled with an electron energy loss spectrometer With this method silicon nanograins (both crystalline and amorphous and independently of the crystal orientation) dispersed in a silica matrix can be detected

Electroluminescence (EL) spectra were taken by biasing the device with a square pulse EL signals were analyzed by a single-grating monochromator and detected by a photomultiplier tube Spectra were recorded with a lock-in amplifier synchronized to the voltage square wave generator) The electrical properties and the conduction mechanisms of the devices were investigated by using a Keithley 4200 semiconductor characterization system 3 Amorphous and Crystalline Si Nanoclusters 31 Structural Characterization In order to map the presence of Si clusters formed inside the SiOx layer by the annealing process we have performed energy-filtered TEM (EFTEM) measurements The EFTEM technique allows one to generate a TEM image by using only electrons that have lost a specific amount of energy

Light Emission from Si Nanostructures 293

due to the interaction with the sample This provides a chemical mapping of all the species present in a sample with the very high spatial resolution typical of TEM and therefore represents a particularly suitable method to detect silicon nanoclusters (both crystalline and amorphous and independently of the crystal orientation) dispersed in a silica matrix By using the EFTEM technique it is possible to build a TEM image by using an energy filter to select an energy window centered in correspondence of a given feature of the electron energy loss spectrum In our case we put an energy window (4 eV wide) in correspondence of the Si plasmon loss (16 eV) The high energy shift between the Si and SiO2 plasmon peaks (about 7 eV) allows the important discrimination of the Si contribution from that of the SiO2

Figure 1 reports the plan view EFTEM images obtained from SiOx samples (having a total Si content of 46 at) as deposited and annealed at 900 1000 1100 1150 and 1250 degC In such images the bright zones are associated to the presence of silicon The qualitative analysis of the images has been done by checking for any significant intensity contrast taken as an evidence for the occurrence of phase separation effects In order to do this each image has been analyzed by means of tridimensional surface plots reporting the pixel intensity as a function of the position To take into account also intensity fluctuations of the background only differences higher than 10 have been taken as evidence of phase separation Furthermore an additional criterion has been applied mainly consisting in the definition of a critical radius of about 07 nm (corresponding to about six times the spatial resolution of the technique) for the smallest significant feature present in the images

The analysis of the image reported in Fig 1a showing a uniform grey background without any appreciable intensity contrast has demonstrated the absence of any phase separation effects in the as-deposited samples On the other hand the analysis of the image reported in Fig 1b referring to a sample annealed at 900 degC demonstrates that the image does not consist of a uniform background but some brighter zones forming well defined structures can be clearly detected This means that clustering effects are already visible at 900 degC even if the analysis of the image seems to suggest the formation of a Si network instead of isolated clusters The occurrence of phase separation between Si and SiO2 becomes much more evident by increasing the annealing temperature and well defined Si clusters embedded in the oxide matrix are clearly visible in samples annealed at 1000 1100 1150 and 1250 degC (Figs 1c-1f respectively)

To gain better knowledge on the structural properties of these systems we have also employed the conventional dark field TEM (DFTEM) technique (not shown) to characterize the samples In

Fig 1 EFTEM plan view images obtained from a) as deposited SiOx films and SiOx films annealed at b) 900 degC c) 1000 degC d) 1100 degC e) 1150 degC and f) 1250 degC The bright zones are associated to the presence of Si clusters

294 F Priolo et al

this case the technique is sensitive only to the presence of crystalline planes and it is therefore able to map the system for the presence of crystalline Si clusters On the other hand the energy-filtered images reported in Fig 1 have to be considered as elemental maps being the Si clusters detected independently of their crystalline structures It was not possible to observe any diffraction pattern corresponding to the presence of a crystalline phase for samples as-deposited and annealed at 900 and 1000 degC In addition DFTEM images of these same samples were unable to show any detectable contrast Furthermore high resolution images taken on an area of about 1000 nm2 did not produce any evidence of silicon crystalline planes All of this evidence clearly demonstrate that the clusters shown in Figs 1b and 1c are fully amorphous On the other hand the sample annealed at 1100 degC exhibits the presence of a diffraction pattern mainly consisting of three well distinct rings corresponding to the (111) (220) and (311) planes of crystalline silicon so that it is possible to conclude that a significant fraction of the clusters shown in Fig 1d is crystalline

A first qualitative analysis of the TEM images shown in Fig 1 allows one to define some aspects of the Si nc nucleation from annealed SiOx films As deposited SiOx films are homogeneous and fully amorphous materials without any evidence of phase separation between Si and SiO2 The first stages of the phase separation between Si and SiO2 become visible at 900 degC but well defined and amorphous Si clusters are formed only at 1000degC At 1100 degC the amorphous nanoclusters begin to become crystalline More quantitative information on the annealed SiOx samples can be obtained by measuring the size of the detected Si nanoclusters for a large number of plan view images obtained by both energy-filtered and dark field techniques For samples in which the amorphous and crystalline phases coexist we have estimated the crystalline fraction present at a given temperature In order to do this the ratio between the number of nanocrystals (as detected by the dark field analysis) and the total number of clusters (as detected by EFTEM) has been evaluated at the different temperatures The cluster count has been performed in the same sample region for both techniques furthermore to avoid shadowing effects between clusters situated at different depths we have selected for the analysis very thin regions The data have been reported in Fig 2a and a clear trend has been found showing the progressive increase of the crystalline fraction with increase of the anneal temperature In the figure the crystalline fraction at 1250 degC has been set to 100 since the ratio between the total number of clusters detected by EFTEM and the nanocrystals detected by DFTEM is about 201 corresponding to the expected value for the fraction of crystals detected by DF in a fully crystalline sample by using our experimental conditions [24] Under the hypothesis that at 1250 degC

0

25

50

75

100

1000 1050 1100 1150 1200 1250

6x1017

8x1017

1x1018

0

1x1021

2x1021

3x1021

1000 1050 1100 1150 1200 12500

3x1017

6x1017

9x1017 (d)

(c)

(b)

Cry

stal

line

fract

ion

()

(a)

Clu

ster

den

sity

(cm

-3)

Clu

ster

ed S

i (at

cm

3 )

Temperature (degC)

crystalline Si nc amorphous Si nc

Clu

ster

den

sity

(cm

-3)

Temperature (degC)

Fig 2 a) Crystalline fraction b) density of Si nanoclusters c) concentration of clustered Si atoms and d) density of amorphous and crystalline nanoclusters as a function of the annealing temperature The lines are drawn to guide the eye

Light Emission from Si Nanostructures 295

all clusters are crystalline the estimation of the nanocrystal fraction at lower temperatures leads to values of about 30 at 1100 degC (the temperature at which the crystallization process starts) and 60 at 1150 degC clearly demonstrating that in this range the temperature plays a role not only in promoting the cluster growth (ie in the increase of their mean radius) but also in extensively promoting the amorphous to crystal transition [25 26]

More fundamental information that can be derived from the above shown plan view EFTEM images is the number of nanocrystals per unit volume at the different temperatures Indeed since this technique is able to show all the clusters present in the sample this information should be at least in principle accessible In order to gain this information however it is necessary to have a good estimation of the thickness sampled by the EFTEM experiment in order to accurately determine the analyzed volume This has been done [27] and the values for the Si nanocluster density we have obtained are reported in Fig 2b as a function of the annealing temperature The nanocluster density is almost constant in the 1000 - 1150 degC range (9times1017 cm-3) while it decreases (about 7times1017 cm-3) by increasing the annealing temperature up to 1250 degC This result coupled with the continuous increase in cluster size with annealing temperature suggests that nanocluster growth is not simply due to the inclusion of Si atoms diffusing from the oxide matrix but also to Ostwald ripening effects leading to the disappearance of small clusters

From the above reported data on the nanocluster density and mean radius the number of clustered Si atoms per unit volume at the different temperatures can be easily calculated The obtained data are reported in Fig 2c and demonstrate that the number of Si atoms forming detectable clusters increases remarkably with increasing annealing temperature In particular this value is about 2times1020 cm-3 at 1000 degC and it increases by more than one order of magnitude (3times1021 cm-3) by increasing the temperature up to 1250 degC while intermediate values are seen at 1100 and 1150 degC It is noteworthy that also at 1250 degC a relevant fraction of excess Si atoms not detectable by EFTEM exists Indeed the value of 3times1021 cm-3 has to be compared with an expected value for the excess Si content (by taking into account the total Si concentration of 46 at) of about 1times1022 cm-3 On the basis of the present data it is not possible to unambiguously determine the actual state of the missing Si atoms and in particular if they are embedded in clusters too small to be detected by TEM (Si clusters having a radius lower than 1 nm can be hardly detected also by using EFTEM) or if they are still dissolved in the SiOx matrix Finally we have used the data on the Si nanocluster density reported in Fig 2b and those on the crystalline fraction reported in Fig 2a to calculate the concentration of crystalline and amorphous Si nc as a function of the annealing temperature The obtained data are reported in Fig 2d and demonstrate that the temperature progressively induces the transformation of 9times1017 nano-amorphouscm3 into 7times1017 nanocrystalscm3 with the loss of about 20 of the clusters present at 1000 degC due to the occurrence of Ostwald ripening phenomena 32 Electroluminescent Devices Electroluminescent MOS devices whose insulating region consists of a SiOx thin layer grown by PECVD have been fabricated The structure of these devices based on Si nanostructures (both PECVD crystalline and amorphous) is illustrated in the SEM micrograph reported in Fig 3a The two metal rings provide the electrical contacts to the n-type polysilicon layer (acting as the top electrode) and to the p-type Si substrate (acting as the bottom electrode) allowing a central open area for the exit of the light

The electrical properties of the devices have been studied by current-voltage measurements SiOx layers show a very high conductivity if compared with stoichiometric SiO2 layers furthermore they exhibit a strong increase in the current that can pass through the film for a fixed applied electrical field with increasing Si concentration [28] In fact by increasing the silicon concentration the density and the mean radius of nanocrystals increase too Larger Si nanocrystals have a smaller band gap and therefore there are more allowed levels for the electrons moreover by increasing the density of nanocrystals their mean distance decreases and therefore the efficiency of

296 F Priolo et al

the injection of the carriers increases In Fig 3b a typical current density-voltage (J-V) characteristics in the accumulation and inversion regimes of devices having active layers based on amorphous or crystalline Si nanoclusters are reported Actually due to the very high doping of the substrate the deep inversion of the substrate will hardly be reached (a threshold voltage of about 70 V can be estimated) thus explaining the asymmetric conduction found in Fig 3b The two different active layers have been obtained by annealing SiOx films having the same silicon concentration (46 at) and the same thickness at two different temperatures (900 degC and 1100 C respectively) for 1 hour By comparing the two behaviors it is possible to note that for the same applied voltage the layer containing amorphous Si nanoclusters exhibits a current density several orders of magnitude higher than the active layer with crystalline Si clusters In fact as an example in the device with Si nanocrystals at -10 V a current density of about 7 microAcm2 is obtained while in the device with amorphous Si nanoclusters for the same polarization we observe a current density of about 01 Acm2 It is possible to explain this effect with the different structural properties of the active layers in fact the active layer with amorphous Si nanoclusters as shown in the EFTEM image of Fig 1b is characterized by a very high density of partially interconnected clusters with a relevant fraction of the excess Si still dissolved in the matrix and therefore favoring the injection of the carriers with respect to the system with well separated Si nanocrystals

Although tunnelling effects are probably the mechanism proposed by most of the papers dealing with the electrical properties of Si nanostructures [9 14 28-32] also different mechanisms have been considered incuding the coexistence of two different mechanicms In our systems a detailed analysis of the J-V data leads to the conclusion that electrical conduction may be due to a combination of more than one single mechanism More in detail although a clear dependence on temperature has been observed [33] strongly suggesting that the Poole-Frenkel mechanism has a relevant role only in a few cases it is possible to fully ascribe the conduction to this mechanism

We have studied the electroluminescent properties of these devices and a typical spectrum (for an active layer containing Si nanocrystals) is reported in the inset of Fig 4a The electroluminescence (EL) spectrum mainly consists of a peak centered at about 850 nm and is therefore very similar both in position and shape to the photoluminescence spectra measured in similar SiOx samples [28] It is therefore straightforward to attribute this emission to electron-hole pair recombination in the Si nanocrystals dispersed in the oxide layer Electron-hole pairs may be generated inside Si nanocrystals by impact excitation of energetic electrons alternatively electrons and holes may be separately injected in the nanostructures from the two electrodes under both forward and reverse bias conditions [33] None of the two mechanisms

Fig 3 a) SEM image of the device based on Si nanoclusters b) Current densityndashvoltage characteristics in the accumulation and inversion regimes of devices based on amorphous (closed circles) or crystalline (open circles) Si nc

Light Emission from Si Nanostructures 297

can be excluded in principle even if we believe that the impact excitation is more likely to occur in those materials characterized by well separated Si grains The EL spectrum of the device based on amorphous Si nanoclusters is very similar to that one relative to Si nanocrystals and reported in Fig 4a suggesting that the same radiative de-excitation mechanisms are operating [33]

The comparison of the EL properties of devices based on different Si nanostructures can be Found in Fig 4a where we report the EL intensity at 850 nm as a function of the applied

voltage under forward bias conditions In particular devices based on SiOx layers with 46 at of Si annealed at 900 (based on amorphous Si nanoclusters) and 1100 degC (based on Si nanocrystals) have been investigated For each device the EL intensity strongly increases by increasing the applied voltage Moreover it is noteworthy that amorphous Si nanoclusters exhibit an EL intensity very similar to that one observed in the device with Si nanocrystals by applying much lower voltages although the two systems have been obtained from SiOx layers with the same Si concentration

The EL properties of these devices have been investigated also by studying the EL emission as a function of the current density passing through the device In Fig 4b the EL intensity at 850 nm is reported as a function of the current density for the same devices of Fig 4a under forward bias conditions It is interesting to note that the devices based on amorphous Si nanoclusters exhibit an EL intensity per unit area about one order of magnitude lower than those based on Si nanocrystals

The analysis of Figs 4a and 4b allows us to draw some conclusions about the properties of electroluminescent devices based on the two different kinds of Si nanostructures

(i) the operating voltage strongly depends on the characteristics of the active layer and in particular it decreases by decreasing the annealing temperature of the SiOx layer These effects are strongly related with the structural properties of the active layer

(ii) Devices based on on amorphous nanostructures do not exhibit the same brightness with respect to their crystalline counterpart as a function of the current density Hence in spite of their high conductivity devices based on amorphous clusters are less efficient

(iii) The difference in efficiency between amorphous and crystalline nanocluster devices is however much smaller than what can be inferred from Fig 4 In fact if it is true that at the same current density the EL of Si nanocrystals is almost one order of magnitude higher it is also true that the voltage needed to sustain this current is about a factor of five higher (Fig 3b) This means that in principle amorphous clusters might have strong potentialities and further studies to optimize this relatively new material are all but useless

-70-60-50-40-30-20-100

102

103

104

600 700 800 900 1000 11000

50

100

150

200

250

300

EL

Inte

nsity

(au

)

Wavelength (nm)

46 at Si T= 900 degC 46 at Si T= 1100 degC

Voltage (V)

EL

inte

nsity

at 8

50 n

m (a

u)

(a)

10-4 10-3 10-2 10-1 100 101

101

102

103

104

46 at Si T= 900 degC 46 at Si T= 1100 degC

Current density (Acm2)

EL

Inte

nsity

at 8

50 n

m (a

u)

(b)

Fig 4 EL intensity at 850 nm as a function of a) the applied voltage and b) the current density passing through the device under forward bias conditions for devices based on amorphous (closed circles) or crystalline (open circles) Si nc The inset in (a) shows the EL spectrum measured in the device with Si nanocrystals

298 F Priolo et al

33 Photonic Crystal Devices

In order to improve the extraction efficiency in the Si-nc based devices described above we have implemented the device structure by integrating a bi-dimensonal photonic crystal fabricated by truly standard VLSI processes [34] In fact in a typical silicon light-emitting diode as a result of the high silicon refractive index (n=35) most of the emitted light remains trapped inside the device by total internal reflection thus severely limiting the overall performance

A schematic illustration of the proposed device is shown in Fig 5a The structure is a simple MOS-like one whose insulating region is composed by a SiO2 film containing amorphous Si nanoclusters The top electrode of the devices consisted of an As-doped polycrystalline silicon layer (300 nm thick) With the aim of enhancing vertical light extraction this polysilicon cap has been etched with conventional lithography (at a wavelength of 365 nm) to produce a triangular two-dimensional photonic crystal A SEM image of the photonic crystal (Fig 5b) shows the top view of the structure and the good uniformity of the hole shape and spacing The lattice constant of the photonic crystal has been tuned in order to introduce a fourth-order diffraction escape path at 860 nm (ie within the emission band of silicon nanostructures) for those light modes which are index-guided in the top Si layer Since the SiOx layer is very thin only weakly guided modes will exist in the structure Hereafter these devices will be referred to as PC-devices For comparison in some other devices the polysilicon layer was left totally unetched for reference (unpatterned devices)

In Fig 6a the ratio between the EL spectra measured in a PC-device and in an unpatterned one is reported A clear peak at around 860 nm (in resonance with the designed diffraction spot of the two dimensional photonic pattern) is present demonstrating an enhanced coupling to vertical radiation of the guided modes The enhancement achieved is by more than a factor of four The

Fig 5 a) Drawing of the photonic crystal device structure b) SEM of the photonic crystal fabricated on top of the device

Fig 6 a) Ratio between the El spectrum measured in a PC device and in an unpatterned one b) Polar distribution of the emitted radiation at 860 nm Tilt is along the ΓM direction

Light Emission from Si Nanostructures 299

coherent scattering of guided modes with a well defined in-plane momentum should produce a vertical extraction We hence characterised the EL intensity of the PC device as a function of observation angle In Fig 6b the experimental polar distribution of the emitted radiation at 860 nm taken by tilting the device along the ΓM direction is reported It is interesting to note that the radiation pattern of the PC device is extremely directional once more demonstrating the presence of diffraction effects The enhancement mechanism can be described as follows As a result of the low refractive index of the active SiOx layer (n=175 as measured by ellipsometry) some of the light will be confined and weakly index guided in the top polysilicon electrode (n=35) The interaction of this light with the photonic crystal periodic structure causes a coherent scattering of the modes and therefore there is a considerable enhancement of extraction efficiency in the vertical direction 4 Conclusions

In conclusion in this paper we have summarized the results of extensive work performed on the structural and optical properties of amorphous and crystalline Si nanoclusters embedded in SiO2 By using EFTEM analyses we have been able to elucidate some important aspects of the Si nanocluster nucleation in annealed SiOx films As-deposited SiOx films are homogeneous and fully amorphous materials without any evidence of phase separation the first steps of the phase separation between Si and SiO2 become visible at 900 degC but well defined amorphous Si clusters are formed only at 1000 degC At 1100 degC the amorphous clusters start to become crystalline and the crystalline fraction increases for a further increase of the annealing temperature Moreover the availability of a much more complete quantitative picture allowed the demonstration that amorphous Si clusters constitute a relevant fraction of the overall population in samples annealed at intermediate temperatures and play also a fundamental role in determining the luminescence properties of the system In particular the EL properties of devices based on amorphous and crystalline Si nanoclusters have been compared We have demonstrated that these light-emitting devices are very stable and can work continuously for several days without any sign of degradation While in amorphous Si nanograins the electrical conduction is favoured with respect to the crystalline counterpart (due to the fact that for low annealing temperatures the SiOx layer is characterized by a very high density of partially interconnected clusters with a relevant fraction of the excess Si still dissolved in the matrix) at the same time the high density of traps present in the matrix affects the optical performances and nonradiative processes compete with the radiative ones Therefore for the same current density passing through the device we observe lower EL signals in amorphous Si clusters with respect to the nanocrystals However as a result of the smaller bias voltage the power efficiencies are similar Extensive photo- and electro-luminescence measurements allowed us to conclude that the excitation mechanisms are the same (independent of the excitation type electrical or optical) for both amorphous and crystalline Si clusters Finally the extraction efficiency from these devices has been enhanced by a factor of 4 by coupling them with a photonic crystal structure fabricated by truly standard very-large-scale integration processes Having demonstrated that even simple photonic crystal structures are effective in improving the performance of nanocluster silicon light sources we expect that these results can open the way to a whole new generation of silicon devices in which photonic and electronic functions are integrated together References 1 Pavesi L Dal Negro L Mazzoleni C Franzograve G and Priolo F 2000 Nature 408 440 2 Khriachtchev L Rasanen M Novikov S and Sinkkonen J 2001 Appl Phys Lett 79 1249 3 Nayfeh M Rao S Barry N Therrien J Belomoin G Smith A and S Chaieb 2002 Appl Phys

Lett 80 121

300 F Priolo et al

4 Luterova K Pelant I Mikulskas I Tomasiunas R Muller D Grob J-J Rehspringer J-L and Honerlage B 2002 J Appl Phys 91 2896

5 Dal Negro L Cazzanelli M Daldosso N Gaburro Z Pavesi L Priolo F Pacifici D Franzograve G and Iacona F 2003 Physica E 16 297

6 Dal Negro L Cazzanelli M Pavesi L Ossicini S Pacifici D Franzograve G Priolo F and Iacona F 2003 Appl Phys Lett 82 4636

7 Qin G G Li A P Zhang B R and Li B-C 1995 J Appl Phys 78 2006 8 Hirschman K D Tsybeskov L Duttagupta S P and Fauchet P M 1996 Nature 384 338 9 Rebohle L von Borany J Yankov R A Skorupa W Tyschenko I E Frob H and Leo K 1997

Appl Phys Lett 71 2809 10 Fujita S and Sugiyama N 1999 Appl Phys Lett 74 308 11 Lalic N and Linnros J 1999 J Lumin 80 263 12 Photopoulos P and Nassiopoulou A G 2000 Appl Phys Lett 77 1816 13 Franzograve G Irrera A Moreira E C Miritello M Iacona F Sanfilippo D Di Stefano G Fallica P

G and Priolo F 2002 Appl Phys A Mater Sci Process 74 1 14 Irrera A Pacifici D Miritello M Franzograve G Priolo F Iacona F Sanfilippo D Di Stefano G and

Fallica P G 2002 Appl Phys Lett 81 1866 15 F Iacona Pacifici D Irrera A Miritello M Franzograve G Priolo F Sanfilippo D Di Stefano G

and Fallica P G 2002 Appl Phys Lett 81 3242 16 Shimizu-Iwayama T Fujita K Nakao S Saitoh K Fujita T and Itoh N 1994 J Appl Phys 75

7779 17 Zhu J G White C W Budai J D Withrow S P and Chen Y 1995 J Appl Phys 78 4386 18 Min K S Shcheglov K V Yang C M Atwater H A Brongersma M L and Polman A 1996

Appl Phys Lett 69 2033 19 Gourbilleau F Portier X Ternon C Voivenel P Madelon R and Rizk R 2001 Appl Phys Lett

78 3058 20 Werwa E Seraphin A A Chiu L A Zhou C and Kolenbrander K D 1994 Appl Phys Lett 64

1821 21 Kenyon A J Trwoga P F Pitt C W and Rehm G 1996 J Appl Phys 79 9291 22 Inokuma T Wakayama Y Muramoto T Aoki R Kurata Y and Hasegawa S 1998 J Appl

Phys 83 2228 23 Iacona F Franzograve G and Spinella C 2000 J Appl Phys 87 1295 24 Crupi I Lombardo S Spinella C Bongiorno C Liao Y Gerardi C Fazio B Vulpio M and

Privitera S 2001 J Appl Phys 89 5552 25 Zacharias M Blasing J Veit P Tsybeskov L Hirschman K and Fauchet P M 1999 Appl Phys

Lett 74 2614 26 Pacifici D Moreira E C Franzograve G Martorino V Priolo F and Iacona F 2002 Phys Rev B 65

144109 27 Iacona F Bongiorno C Spinella C Boninelli S and Priolo F 2004 J Appl Phys 95 3723 28 Franzograve G Irrera A Moreira E C Miritello M Iacona F Sanfilippo D Di Stefano G Fallica P

G and Priolo F 2002 Appl Phys A 74 1 29 Walters R J Bourianoff G I and Atwater H A 2005 Nat Mat 4 143 30 Cho K S Park NndashM kim TndashY Kim KndashH Sung G Y and Shin J H 2005 Appl Phys Lett 86

071909 31 Castagna M E Coffa S Monaco M Caristia L Messina A Mangano R and Bongiorno C 2003

Phys E 16 547 32 Nazarov A Sun J M Skorupa W Yankov R A Osiyuk I N Tjagulskii I P Lysenko V S and

Gebel T 2005 Appl Phys Lett 86 151914 33 Irrera A Iacona F Franzograve G Presti C D Crupi I Bongiorno C Sanfilippo D Di Stefano G

Piana A Fallica P G and Priolo F 2006 Nanotechnology 17 1428 34 Presti C D Irrera A Franzograve G Crupi I Priolo F Iacona F Di Stefano G Piana A Sanfilippo

D and Fallica P G 2006 Appl Phys Lett 88 033501

Hydrogenated Nanocrystalline Silicon Investigated by Conductive Atomic Force Microscopy A Cavallini D Cavalcoli M Rossi A Tomasi B Pichaud1 M Texier1 A Le Donne S Pizzini2 D Chrastina3 and G Isella3 Department of Physics and CNISM - University of Bologna viale Berti-Pichat 6II 40127 Bologna Italy 1 TECSEN UMR 6122 CNRS Universiteacute Paul Ceacutezanne Aix-Marseille III 13397 Marseille

cedex 20 France 2 Dipartimento di Scienza dei Materiali Universitagrave di Milano-Bicocca Via Cozzi 53 20126

Milano Italy 3 L-NESS Dipartimento di Fisica del Politecnico di Milano Polo Regionale di Como Via Anzani

52 Como Italy Summary Hydrogenated nanocrystalline silicon for photovoltaic applications has been investigated by using scanning force microscopy Morphological properties as well as electrical properties have been investigated with high spatial resolution by scanning force microscopy analyses Transmission electron microscopy studies have been also carried out for structural characterization The main problem regarding the electronic properties is to understand where the current flows Actually the question has remained unresolved due to conflicting literature data The present contribution aims to clarify which of the material phases mainly contributes to the conduction mechanisms 1 Introduction Hydrogenated nanocrystalline Si (nc-SiH) has attracted large interest in the past few years due to its very promising photovoltaic (PV) properties It is a good substitute for amorphous silicon (a-SiH) because of its stable electronic properties against light exposure high doping efficiency and high mobility [1] Moreover nc-SiH films can be easily grown at relatively low temperatures (100degCndash300degC) with the advantage of small energy consumption nc-SiH films are typically grown by plasma-enhanced chemical vapour deposition (PECVD) methods using either highly hydrogen diluted silane or a layer-by-layer deposition technique which repeatedly alternates the deposition of a-SiH film and then exposure to hydrogen plasma The resulting material is usually made of a columnar structure of nanocrystals embedded in a matrix of amorphous silicon The interest in PV applications has drawn attention to some basic physical issues in this system such as the competition between different electrical transport mechanisms nc-SiH presents a complicated heterogeneous microstructure which consists of a mixture of crystalline silicon (c-Si) grains grain boundaries andor a-SiH ldquotissuerdquo nc-SiH growth is strongly influenced by the growth conditions and by the substrates The heterogeneous microstructure possibly dependent on the layer thickness leads to complicated transport properties The main problem concerning electrical conduction is where the current flows Microscopic data by Rezek et al [2] have been interpreted as showing currents through the columns (ie through crystallites constituting them) while data from Azulay et al [3] indicate transport mainly in the disordered material surrounding the columns

302 A Cavallini et al

Fig 1 Topography of the sample 7662_2x obtained by AFM The measured height values are in the range [0-20] nm

The present contribution deals with morphological and electrical characterization of nc-SiH films grown by low-energy plasma enhanced chemical vapour deposition (LEPECVD) The films have been analysed by atomic force microscopy (AFM) conductive atomic force microscopy (C-AFM) and transmission electron microscopy (TEM) 2 Experimental nc-SiH films were grown on oxidized crystalline silicon substrates by the LEPECVD process This technique has been shown to present the advantage over other PECVD techniques in terms of higher growth rates and better surface and subsurface properties due to reduced ion

implantation damage [4] The films were deposited at temperatures in the range 200ndash400degC using SiH4 and H2 precursor gases The silane dilution ratio d = Φ (SiH4) [Φ (SiH4) + Φ (H2)] ranged from 1 to 55 in order to analyze the effect of dilution on the investigated material properties The growth rate ranged from 05 to 4 nms Different substrates were selected for the film growth Czochralski (CZ) Si and oxidized Cz Si The crystallinity fraction XC according to Raman measurements [45] was always higher than 50 and was dependent on the dilution factor The characteristics of the typical samples analyzed are reported in Table I Further details relevant to the growth processes can be found in refs 4 and 5 Table I

Sample Xc() Substrate T(degC) d () Thickness(microm) Rate (nms)

7365 706 SiO2Si(111) 208 1 172 095

7658_7x 505 Si(100) 280 50 109 354

7662_2x 55 Si(100) 280 55 172 354

AFM analyses were carried out in a Solver P47H-Pro instrument manufactured by NT-MDT

C-AFM allows for local electrical conductivity analyses at the nanoscale In our case a positive bias fixed at 3V is applied to the probe while the AFM operates in constant force mode (contact mode) At this bias value a good current signal could be obtained and electrical alterations of the tip-sample interface due to excessive current densities could be avoided The tip (curvature radius typically less than 7 nm) is made of Si covered by a 25 nm thick platinum-iridium conductive alloy (model PPP-CONTPt Nanosensors) The samples were grounded through both bottom and upper electrical contacts made with gallium-aluminium The former was made beneath the substrate and the latter on the thin film surface C-AFM maps obtained with both contacts or with just one of them showed no differences

Low magnification TEM observations were performed in planar view and cross section using a FEI Tecnai 200keV microscope High resolution analyses were carried out using a JEOL 2010F microscope equipped with an imaging filter (GIF) operating at 197keV

Hydrogenated Nanocrystalline Silicon Investigated by Conductive Atomic Force Microscopy 303

3 Results AFM and C-AFM studies did not show any significant difference between samples grown on different substrates in contrast to TEM analyses [6] Therefore typical results obtained on the samples of table I with Xc gt50 will be reported here Figure 1 shows an example of an AFM map on the sample 7662_2x The film presents a ldquogranularrdquo structure similarly to that observed in literature [2] grains (50-100 nm) and grain aggregates (100-200 nm) are clearly visible TEM analyses showed that the average size of the nanocrystals ranges from 5 to 30 nm [6] Each grain on the surface could thus be related to a cluster of small nanocrystallites

Figure 2 shows a C-AFM map obtained simultaneously with the topography (AFM) map It is to be noted that the grains present high conductivity while the surrounding tissue probably containing amorphous regions is less conductive Moreover not all the grains are conductive and also not all the grains present the same conductivity Possible interference effects between conductivity and topography have also been explored Usually such effects result in higher conductivity due to an increased contact area within the valleys with respect to the hillocks but the present results show the opposite behaviour and therefore interference with topography may be excluded The conductive grains shown in Fig 2 are almost homogeneously distributed in the map but this is not always the case Fig 3 shows an example of a C-AFM map of the sample 7365 This map clearly shows large non conductive regions that correspond to large hillocks in the corresponding topography map (not shown here) A similar behaviour has been observed in several samples mainly with large crystallinity values

Figure 4 shows a plan view low magnification TEM micrograph of the same sample 7365 Even if Fig 3 and Fig 4 do not refer to same area of the sample some common peculiar characteristics can be noted clusters of large grains (non conductive in Fig 3) are surrounded by smaller grains Higher magnification TEM analyses have shown that the nanocrystals contain numerous twins while the boundaries between the nanocrystals appear to be amorphous

Fig 2 AFM (left) and C-AFM (right) maps of the sample 7658_7x obtained simultaneously The measured height values (left) are in the range [0-20] nm the current values (right) are in the range [10-500] pA

10

500 pA

304 A Cavallini et al

4 Discussion and Conclusions nc-SiH is a composite material composed of nanocrystalline aggregates amorphous tissue grain boundaries voids impurities and defects Numerous papers have been published on macroscopic transport measurements in this system and essentially several different current flow scenarios have been proposed [3and refs therein] In the high crystallinity values regime two additional possible scenarios have been considered transport via the crystallites columns [2] and transport in the disordered tissue [3] that encapsulates them Therefore the main problem concerning electrical conduction is where the current flows The present microscopic analyses show that the current flows mainly through the nanocrystalline aggregates which constitute the electrical network

References 1 Shah A V Meier J Vallat-Sauvain E Wyrsch N Kroll U Droz C and Graf U 2003 Sol Energy

Mater Sol Cells 78 469 2 Rezek B Stuchlyacutek J Fejfar A and Kočka J 1999 Appl Phys Lett 74 1475 3 Azulay D Balberg I Chu V Conde J P and Millo O 2005 Phys Rev B 71 113304 4 Binetti S Acciarri M Bollani M Fumagalli L von Kaumlnel H and Pizzini S 2005 Thin Solid

Films 487 19 5 Pizzini S Acciarri M Binetti S Cavalcoli D Cavallini A Chrastina D Colombo L Grilli E

Isella G Lancin M Le Donne A Mattoni A Peter K Pichaud B Poliani E Rossi M Sanguinetti S Texier M and von Kaumlnel H 2006 Mater Sci Eng B 134 118

6 Texier M Acciarri M Binetti S Cavalcoli D Cavallini A Chrastina D Isella G Lancin M Tomasi A Pichaud B Pizzini S and Rossi M 2007 this Proceedings volume

Fig 3 C-AFM map of sample 7365with DC bias applied to the probe equal to 3V The current values are in the range [10-400] pA

Fig 4 Low magnification plan-viewTEM micrograph of sample 7365

10

400 pA

Structural Characterization of Nanocrystalline Silicon Layers Grown by LEPECVD for Optoelectronic Applications M Texier M Acciarri1 S Binetti1 D Cavalcoli2 A Cavallini2 D Chrastina3 G Isella3 M Lancin A Le Donne1 A Tomasi1 B Pichaud S Pizzini1 and M Rossi2

TECSEN UMR 6122 CNRS Universiteacute Paul Ceacutezanne Aix-Marseille III 13397 Marseille cedex 20 France 1 Dipartimento di Scienza dei Materiali Universitagrave di Milano-BicoccaVia Cozzi 53 Milano Italy 2 Dipartimento di Fisica Universitagrave di Bologna Viale Berti-Pichat 6a Bologna Italy 3 LNESS Dipartimento di Fisica del Politecnico di Milano Polo Regionale di Como Via Anzani 42 Como Italy Summary Structural analysis of nanocrystalline silicon layers deposited on oxidized and non-oxidized silicon substrates and on glass substrates by low-energy plasma-enhanced chemical vapour deposition was carried out by means of transmission electron microscopy Low magnification and high resolution observations of specimens performed in plan-view and cross section are described and discussed These results are compared to those obtained by atomic force microscopy Growth modes and relevant structural changes are tentatively correlated to experimental parameters 1 Introduction Nanocrystalline silicon (nc-Si) is considered as a promising material for optoelectronic applications [12] since nc-Si layers show high light absorption efficiency and may give rise to quantum confinement effects Recently such nc-Si layers have been deposited on oxidized and non-oxidized silicon substrates by low-energy plasma-enhanced chemical vapour deposition (LEPECVD) at different temperatures using various dilution ratios of the gaseous precursors [3] This technique allows high enough deposition rates (of a few nms) for mass production Nevertheless future industrial prospects require the determination of quantitative correlations between growth parameters and structural optical and electrical properties

This study is part of a 3-year project the purpose of which is the characterization and modelling of nc-Si layers deposited by LEPECVD Structural characterization was performed using transmission electron microscopy (TEM) and compared to results obtained by atomic force microscopy (AFM) 2 Experimental details Nanocrystalline Si layers have been grown on Czochralski (CZ) silicon and thermally oxidized CZ wafers and on glass substrates by means of LEPECVD using the apparatus already described in [45] Substrate nature deposition temperature and dilution ratio d=Φ(SiH4)[Φ(SiH4)+Φ(H2)] were variable experimental parameters adjusted for modifying the deposited layer structure TEM analyses have been performed on specimens coming from sets which were deposited at temperatures between 210degC and 280degC and whose dilution ratio d ranged from 1 to 40 Raman spectroscopy measurements were performed on each specimen prior to the TEM analyses showing that crystallinity regularly decreases as d increases

306 M Texier et al

a b

Fig 1 Plan view TEM images of the nc-Si layers a) Low magnification TEM micrograph The image is slightly underfocused which emphasizes the grain boundaries The diameter of the crystalline domains is estimated to be between 5 to 30 nm b) HRTEM micrograph Smaller disoriented areas may be distinguished within the domains

TEM thin foils for plan-view (PV) observations were prepared by mechanical thinning of the

substrate followed either by chemical etching using a HF(01)HNO3(09) solution or by ion milling using a Gatan Dual Ion Mill Mod 600 Sandwiches for cross-sectional observations were first mechanically thinned until a thickness of about 20microm was reached Then electron transparency was achieved by ion milling using a Gatan PIPS Mod 691

Low magnification TEM observations were performed with a FEI Tecnai G2 microscope operating at 200kV and high resolution TEM (HRTEM) images were obtained using a JEOL 2010F microscope operating at 198kV at the CP2M laboratory (Univ Paul Ceacutezanne) 3 Results 31 Nanocrystalline Columnar Growth Surface examination using scanning electron microscopy (SEM) of nc-Si layers deposited on oxidized (T=250degC and 280degC) and non-oxidized Si substrates (210degCltTlt240degC) indicates that the surface presents a grainy aspect the apparent grain size being estimated at between 10 and 50 nm These observations are confirmed by surface analysis using atomic force microscopy (AFM) and conductive atomic force microscopy (C-AFM) [6] which give grain size estimations of the same order (50-100 nm)

Low magnification TEM images of nc-Si layers seen in plan view show many small crystalline domains (see Fig 1a) separated by seemingly amorphous boundaries The size of the domains is quite heterogeneous ranging between 5 and 30 nm HRTEM images revealed the presence of nanometric disoriented subdomains within the nanocrystals (Fig 1b) These nanometric subdomains seem to be separated by crystalline boundaries ie undisordered boundaries

The microstructure of the deposited layer was investigated in cross-section by low magnification and high resolution TEM Low magnification TEM images clearly show that the growth is columnar (Fig 2a) The column diameter was found to be between 5 and 25 nm in good agreement with the nanograin size measured from plan view TEM observations Dimension of the columns in the growth direction is usually greater than a few hundred nanometres

Structural Characterization of Nanocrystalline Silicon Layers Grown by LEPECVD 307

a b

Fig 2 Examples of Si layers showing a columnar structure seen in cross section a) Low magnification TEM micrograph b) HRTEM image of a nanocrystalline column The white arrow indicates the growth direction Numerous twins are observed within the column

The HRTEM micrographs (see Fig 2b) also revealed the presence of numerous twins within the

columns Analysis of several twinned nanocrystals allowed us to determine the nature of the twin boundaries which are Σ3111 type boundaries From the various TEM analyses (HRTEM and SAED) it was not possible to ascertain a preferential crystallographic direction for the column growth axis

Nanocrystalline Si layers deposited on glass also contain numerous cylindrically-shaped nanocrystals elongated along the growth direction The diameter of the nanocrystals is usually smaller than 15 nanometres and the length may reach a few tens of nanometres However it must be emphasized that the length of the nanocrystals is significantly lower than the length of those observed in previously cited specimens (which may reach hundreds of nanometres) In addition no drastic microstructural changes have been observed between samples deposited using different dilution ratios d (ie different χc values) contrarily to the morphological changes which were evidenced from AFM and C-AFM analyses [6] 32 Polymorphous Growth The nc-Si layers deposited on non-oxidized Si substrates at 280degC present a morphology significantly different than those of the previously analyzed nc-Si layers grown on oxidized substrates A few significant features common to all these specimens can be distinguished - the initial growth stage of the nc-Si layer consists of an epitaxial layer as shown in Fig 3a - the morphology of the nc-Si layer gradually changes along the growth direction the structure of the layer initially monocrystalline near the interface evolves with the progressive occurrence of planar defects and amorphous areas The layer is progressively formed by numerous crystalline columns separated by amorphous areas as the observation area is moved away from the film-substrate interface The length of the columns is usually greater than a few tens of nanometres - the diameter of the columns decreases along the growth direction leading to their progressive disappearance Near the sample surface (ie far from the interface) only very small crystalline clusters embedded in an amorphous phase are observed the main part of the film becoming amorphous The small number of crystalline clusters in the superficial area of the samples is confirmed by the observations performed in plan view (Fig 3b) Finally the nc-Si layer microstructure may be sketched as follows (i) an epitaxial layer close to the interface containing numerous planar defects such as twins mainly located in 111 planes (ii) far away from the interface a transition zone which consists of many faulted crystalline columns separated by amorphous areas

308 M Texier et al

a b

Fig 3 HRTEM micrograph of the nc-Si layer deposited on non-oxydized Si substrate at 280degC a) Cross-section view of the microstructure close to the interface the growth is epitaxial Numerous defects are observed in the deposited layer b) Plan view HRTEM micrograph showing the superficial layer of the deposited nc-si film

(iii) close to the sample surface an amorphous matrix containing a few isolated nanocrystalline clusters

It must be noted that no abrupt boundaries separate these three domains the transition from one domain to another being very smooth However the respective thicknesses of the successive domains may be roughly estimated as about 100-200 nanometres for the epitaxial and the columnar sections The nanocrystalline domain appears to be quite homogeneous over long distances and seems to extend up to the sample surface 4 Conclusion The Raman spectroscopy TEM and AFM analyses performed on nc-Si layers deposited by the LEPECVD technique on various substrates allowed us to draw some correlations between growth parameters and layer structure In particular a regular crystallinity decrease with increasing dilution ratio was observed Deposition temperature also appears as a key point for controlling the growth modes that can lead to different nanostructures depending on the substrate nature The presence of numerous twins within the crystalline areas constitutes a common feature for all the studied specimens References 1 Roca i Cabarroscas P Fontcuberta i Morral A and Poissant Y 2002 Thin Solid Films 403-404 39 2 Gelloz B and Koshida N 2006 Thin Solid Films 508 406 3 Binetti S Acciarri M Bollani M Fumagalli L von Kaumlnel H and Pizzini S 2005 Thin Solid

Films 487 19 4 Rosenblad C Deller H R Dommann A Meyer T Schroeter P and von Kaumlnel H 1998 J Vac

Sci Technol A 16 2785 5 Pizzini S Acciarri M Binetti S Cavalcoli D Cavallini A Chrastina D Colombo L Grilli E

Isella G Lancin M Le Donne A Mattoni A Peter K Pichaud B Poliani E Rossi M Sanguinetti S Texier M and von Kaumlnel H 2006 Mat Sci Eng B 134 118

6 Cavallini A Cavalcoli D Rossi M Tomasi A Pichaud B Texier M Pizzini S Chrastina D and Isella G this Proceedings volume

Electron Tomography of Mesoporous Silica for Gas Sensor Applications E Rossinyol F Bohils F Cardoso H Montoacuten M Roldaacuten M Rosado A Saacutenchez-Chardi O Castell and M D Baroacute Microscopy Service Universitat Autogravenoma de Barcelona Edifici C Facultat de cliegravencies 08193 Bellaterra Spain Summary The complex 3-D structures of some materials must be visualized and analyzed for structural characterization often as part of quality control of a synthetic process In this work we applied electron tomography for the 3-D reconstruction of a mesoporous SBA-15 silica structure doped with platinum as a catalytic additive We used a 200kV JEOL 2011 transmission electron microscope with a plusmn60 degrees tilting holder Digital Micrograph software was used for automatic acquisitions and Imod software for the digital reconstruction Moreover we use a combination of microscopy techniques scanning electron microscopy and confocal scanner laser microscopy in reflection mode 1 Introduction Mesoporous nanomaterials have been widely studied in the development of catalytic systems due to their large controllable pore size and high surface area [12] They have very many potential applications including uses as nanosensors and as electronic photonic or magnetic nanodevices The complex 3-D structures of some of these materials must be visualized and analyzed using structural characterization often as part of quality control of a synthesis process [3] The physical and chemical properties of these materials can be dramatically modified by functionalizing their surfaces with noble metals or metal oxides [4-7] The use of SBA-15 mesoporous silica as a novel selective filter for gas sensors has been reported It has been demonstrated that a SnO2-based thick-film gas sensor showed higher selectivity to CH4 in CH4CO gas mixtures when it was covered with a layer of PtPd-loaded mesoporous silica [8 9]

Conventional electron microscopy provides only a two-dimensional projection of a three-dimensional object Electron tomography however is able to reveal the full three-dimensional structure by acquiring a series of images from different directions to obtain a real sample structure This imaging technique is now used both in the biological sciences and in material science to study complete 3D structures on the nanoscale

In this work we have used Electron Tomography for the understanding of silica SBA-15 structure with platinum loaded as a catalytic additive We have used a 200kV JEOL 2011 TEM with a plusmn60 degrees tilting holder Digital Micrograph software was used for automatic acquisitions and Imod software for the digital reconstruction [10] In addition to electron tomography we used a combination of microscopy techniques scanning electron microscopy (SEM) and confocal laser scanning microscopy (CSLM) working in reflection mode It was demonstrated how useful confocal microscopy in connection with 3-D image analysis can be for estimating different geometrical parameters of the microscopic structure of aggregated silica including its three-dimensional visualization

310 E Rossinyol et al

2 Experimental 21 Synthesis SBA-15 mesoporous silica was synthesized in acidic conditions using the Pluronic P123 triblock copolymer (EO20PO70EO20) as a template and tetraethyl orthosilicate (TEOS 98 Aldrich) as a silicon source [1112] A solution with 6 g of P123 was dissolved in 195 g distilled water and 30 g of concentrated HCl (35) was prepared and stirred for 6 h at 35 C 1249 g of TEOS was added at the mixture and stirred for 24 h at 35 degC and then heated at 100 degC for another 24 h as a hydrothermal treatment The solid product was filtered washed dried at room temperature in air atmosphere and calcined at 550 degC for 4 h Pt additive was introduced by impregnation of the SBA-15 silica with an aqueous solution of ammonium tetrachloroplatinate followed by slow evaporation of the water 22 Characterization CSLM was carried out using a Leica SPII instrument Wavelengths of the excitation laser beams were in the UV (351 and 364 Ar) blue (488 nm Ar) green (514 and 543 nm ArHeNe) and red (633 nm ArHeNe) ranges

SEM images have been obtained using an Hitachi S-570 and backscattered images have been recorded from a JEOL JSM-6300 TEM characterization has been carried out using a 200kV JEOL 2011 with a plusmn60 degree GATAN tilting holder for the acquisition of the tilt-series of images together with the IMOD software [10] for the computer reconstruction 3 Results and Discussion The structure of mesoporous silica has been studied from different points of view From the macroscopic point of view we have been working in reflection mode in order to analyze the compactness level of silica aggregates With this aim we have obtained series of selected sections ordered correlatively from the top to the bottom of the acquisition Each optical section details the particular depth at which it was imaged The total thickness of the analyzed region is about 187 microm and the voxel size is 290 by 290 by 814 nm3 A 3D extended focus projection is shown in

Fig 1 Extended focus (Left image) the image is divided into three frames that represent the maximum intensity projection for the x-y x-z and y-z planes Each image represents the maximum reflection emitted in the range of 480 to 490 nm when excited at 488 nm 70 optical sections 28 microm silica aggregated thickness The voxel size is 233 by 233 by 407 nm Right image Three-channel image of reflection created by the SFP method 70 x-y optical sections from a silica aggregate of 28 microm thickness

y-z plane

x-y plane

x-z plane

Electron Tomography of Mesoporous Silica for Gas Sensor Applications 311

Fig 1 Moreover we have also obtained images by the simulated fluorescence process (SFP) An SFP image is a three-dimensional simulation that enhances the fluorescence of surface layers and embosses all channels as if they were illuminated from an oblique angle With this technique we could check that silica structures are not forming compact clusters but rather small aggregates randomly dispersed inside the bulk material

Fig 2 a) and b) SEM images of SBA-15 mesoporous silica aggregates c) detailed backscattered image of a platinum loaded silica structure

a b c

Fig 3 Selected TEM images of the material particle at different orientations The last two images correspond to a z axis reconstruction with the pores of the silica clearly visible

+60 ordm +50 ordm +40 ordm

0 ordm -40 ordm -50 ordm

-60 ordm

500 nm

312 E Rossinyol et al

SEM images (Fig 2) show the typical hexagonal structure of SBA-15 silica powders Images obtained from backscattered electrons confirm the presence of platinum in the sample However it is impossible to determine the exact location of platinum clusters in the silica matrix Therefore TEM studies have been performed in order to analyze the platinum organizationWe have obtained a 3-D reconstruction of SBA-15 mesoporous silica by acquiring TEM BF micrographs at a large tilt angle range from 60ordm to -60ordm Each 2-D TEM micrograph will act as a projection slice of the final 3-D reconstruction Using this technique it has been possible to confirm the presence of the platinum in the surface and the inner pores of the silica template In Fig3 we present some TEM tilt slices From this series we can distinguish between particles placed on the surface of the silica which show a large radius rotation along the silica aggregate surface perimeter and particles placed in the inner pores which remain always in a similar position of the silica Finally in the last two images shown in Fig3 we can observe a z axis reconstruction which confirm the presence of platinum located in the inner pores of the silica A detailed image of the pore structure is also presented with the 9 nm pore structures clearly visible

4 Conclusions SEM and confocal microscopy are excellent techniques for the study of the distribution and three dimensional structure of silica aggregates CSLM has allowed us to confirm that the powders are composed of small aggregates randomly dispersed inside the bulk material and no compact material has been found to be present SEM images have shown the hexagonal structure of the silica and the presence of platinum

Finally we have also reported the use of electron tomography for the 3-D reconstruction of this mesoporous SBA-15 silica structure doped with platinum as a catalytic additive We have used a 200kV JEOL 2011 TEM with plusmn60 degree tilting holder for the acquisition of the tilt-series of images and also the IMOD software for the computer reconstruction This technique has made it possible to confirm the presence of the platinum in the surface and the inner pores of the silica template References 1 Joo S H Choi S J Oh I Kwak J Liu Z Terasaki O and Ryoo R 2001 Nature 412 169 2 Trong On D Desplantier-Giscard D Danumah C and Kaliaguine S 2001 Appl Catal A 222

299 3 Kageyama K Tamazawa J and Aida T 1999 Science 285 2113 4 Koster A J Ziese U Verkleij A J Janssen A H and de Jong K P 2000 J Phys Chem B 104

9368 5 Zhou W Thomas J M Shephard D S Johnson B F G Ozkaya D Maschmeyer T Bell R G and

Ge Q 1998 Science 280 705 6 Cai W Zhang Y Jia J and Zhang L 1998 Appl Phys Lett 73 2709 7 Ryan J V Berry A D Anderson M L Long J W Stroud R M Cepak V M Browning V M

Rolison D R and Merzbacher C I 2000 Nature 406 169 8 Cabot A Arbiol J Cornet A Morante J R Chen F and Liu M 2003 Thin Solid Films 436 64 9 Cabot A Arbiol J Rossinyol E Morante J R Chen F and Liu M 2004 Electrochem Solid-State

Lett 7 G93 10 Kremer JR Mastronarde D N and McIntosh J R 1996 J Struct Biol 116 71 11 Zhu K He H Xie S Zhang X Zhou W Jin S and Yue B 2003 Chem Phys Lett 377 317 12 Zhao D Feng J Huo Q Melosh N Fredrickson G H Chmelka B F and Stucky G D 1998

Science 279 548

Electron Energy-Loss Spectrum Imaging of an HfSiO High-k Dielectric Stack with a TaN Metal Gate M MacKenzie1 A J Craven1 D W McComb2 C M McGilvery12 S McFadzean1 and S De Gendt3 1Department of Physics amp Astronomy University of Glasgow Glasgow G12 8QQ 2Department of Materials Imperial College London London SW7 2AZ 3IMEC Kapeldreef 75 B-3001 Leuven Belgium Also at KU Leuven B-3001 Leuven Belgium Summary A Si(100)SiO2HfSiOTaNpoly-Si gate stack is investigated using analytical electron microscopy and in particular electron energy-loss spectroscopy Oxygen is shown to be present in the TaN layer and at the TaNpoly-Si interface in the form of oxidised TaN and SiO2 respectively Phase separation of the HfSiO into crystalline HfO2 and amorphous SiO2 is also observed with a resulting widening of the SiO2 layer 1 Introduction Hf-based systems are among the high-k materials currently being investigated for use as the gate dielectric to replace amorphous SiO2 and Si(ON) in Si MOSFETs Poly-inserted metal gates are being used to remove problems associated with poly-Si gate electrodes while facilitating integration [1-3] From electrical measurements it is becoming clear that the thermal budget required to process a device causes significant changes in the behavior of metal gate systems [4] As a general observation one can conclude that the work function of most materials shifts towards midgap position upon application of thermal budgets above ~700-900 ordmC Thus it is likely that there are significant interactions between the metal and the dielectric Interface interactions occurring at the metalpoly interface can also have an adverse effect on device performance

We are investigating a range of HfO2 and HfSiO based stacks with TiN or TaN inserted poly gates with transmission electron microscopy (TEM) The effect of different deposition methods and processing treatments on physical and chemical properties are probed In particular interface reactions occurring between the layers in the stack are investigated using the electron energy-loss near-edge structure (ELNES) in electron energy-loss spectroscopy (EELS) data to extract information on the phases present Since the local bonding and coordination of the atom determines the ELNES it is possible to separate out the contributions to an edge from atoms in different chemical environments This is achieved by modelling the edge shape as a linear combination of the ELNES from appropriate standards Spectrum imaging is a powerful technique in which one or more spectra are recorded at each point either along a line or in an area [5] Combined with EELS in scanning TEM (STEM) mode it allows the spatial distribution of different phases present to be profiled or mapped 2 Experimental The Si (100) wafer surface was etched by a HFH2O (1100) solution to remove the native oxide and subsequently exposed to an O3DI water cleaning sequence resulting in the growth of ~1 nm chemical oxide Approximately 4 nm of HfSiO (co-deposition of 70 HfO2 and 30 SiO2) was deposited by metal organic chemical vapour deposition (MOCVD) After a standard degas treatment at 330 degC for 40 s the wafer was covered with 10 nm of TaN by physical vapour

314 M MacKenzie et al

deposition (PVD) The wafer was capped additionally with 100 nm of amorphous Si Finally the stack was activated by annealing at 1000 degC for 10 s during which the amorphous Si crystallised into activated poly-Si In between depositions the wafer surface was exposed to the clean room atmosphere as it was moved between process tools and in particular after the HfSiO and TaN deposition steps There was no vacuum break between the degas and the TaN deposition

Cross-sectional TEM specimens were prepared from the wafer by standard grinding polishing dimpling and ion milling methods The single crystal Si substrate was used to orientate the sample such that the growth direction was perpendicular to the electron beam direction To aid interpretation of the data from the stack EELS analyses were also performed on a commercial monoclinic HfO2 powder TaOx data from an oxidized Ta layer in a magnetic multilayer stack were also used for comparison [6]

The specimens were examined in an FEI Tecnai F20 TEMSTEM operated at 200kV and equipped with a field emission gun a Gatan ENFINA electron spectrometer and a fast beam switch allowing dual energy EELS acquisition [7] Spectrum imaging was performed using Gatan DigiScan II and Digital Micrograph software Various spectrum images were recorded across the high-k dielectric stack covering the energy ranges of the low loss spectra and core loss edges from Si Hf Ta N and O However because of the space limitation only results from the N and O K-edges are discussed below A ~05 nm diameter probe with a convergence semi-angle of 9 mrad and a spectrometer collection semi-angle of 27 mrad were used for the data presented here A dispersion of 03eVch and an integration time of 5 sec per pixel were used

3 Results and Discussion Figures 1 and 2 are a conventional bright field TEM image and a high resolution TEM (HRTEM) image of the stack respectively Several observations can be made from these images The HfSiO layer appears non-uniform in thickness this is particularly obvious in Fig 1 but can also be observed in Fig 2 The crystallinity of the HfSiO layer seen in the HRTEM image indicates that the HfSiO has phase separated into crystalline HfO2 and amorphous SiO2 [8] The SiO2 layer is wider than the ~1nm chemical oxide most likely as a result of segregation of the SiO2 from the HfSiO to this region In the TaN layer some of the grains extend throughout the TaN layer but others do not There appears to be amorphous material present at the TaNpoly-Si interface and also between some of the TaN grains at the upper surface of the layer

Figure 3a shows a high angle annular dark field STEM (HAADF STEM) image of the sample The intensity in a HAADF image is approximately determined by the mean atomic number squared and the thickness of the material being imaged and is termed Z contrast Thus the TaN and HfSiO layers appear brighter than the Si SiO2 and poly-Si regions Dark notches can be seen to penetrate into the TaN layer from its upper surface These regions are at TaN grain boundaries and are likely to correspond to the amorphous material observed in HRTEM An EELS spectrum image covering the energy range of the N K-edge (~400 eV) and O K-edge (~532 eV) was acquired along the horizontal white line illustrated on the STEM image Background removal under each edge was performed by fitting a function AE-r to the background preceding the edge extrapolating it under the edge and then subtracting it N and O elemental distribution profiles were created from the spectrum image by integrating the counts in these background subtracted edges in ~25eV windows from the edge onsets The electron signal on the HAADF detector was also acquired pixel by pixel in the spectrum image [7] and is shown along

Fig 1 Bright field TEM image of the stack

Fig 2 HRTEM image of the stack

EELS Imaging of an HfSiO High-k Dielectric Stack with a TaN Metal Gate 315

with the elemental profiles in Fig 3b The N profile shows the expected presence of N in the TaN layer but the level decreases towards the upper surface In the O profile O is observed in the SiO2 and HfSiO regions as expected but is also present in the TaN layer the level of O in the TaN increases towards the TaNpoly-Si interface where it peaks and then decreases The PVD TaN layer is actually Ta rich with a composition close to Ta2N [9] and is therefore likely to oxidise with the possible formation of Ta2O5 or TaOxNy [10] We can use the O K-edge ELNES to investigate the phases in which O is present in the different parts of the stack

Figure 4a shows the O K-edge region of the spectrum image after background removal the intensity is directly related to that of the O K-edge at a particular position and energy-loss Thus the bright areas in Fig 4a correspond to the presence of O The horizontal axis corresponds to energy loss while the vertical axis is the position across the oxide layer The Si substrate is at the top and the poly-Si is at the bottom The differences in the relative intensity between O K-edges are indicative of O being present in more than one phase in the sample 5 distinct O containing regions marked by arrows are observed Figure 4b shows the O K-edges in these 5 different regions extracted by summing the spectra together It is clear from the different ELNES that O is present in more than one chemical environment The O K-edge in the TaN layer is noisy but is in reasonable agreement with that from oxidised Ta [6] Two different O K-edge shapes are found at the TaNpoly-Si interface The edge on the poly-Si side is an excellent match to that from the SiO2 region On the TaN side the edge has extra intensity at ~533eV This requires further investigation but is possibly indicative of oxygen containing beam sensitive material - perhaps some residual fully oxidised Ta which has damaged in the electron beam during acquisition The edge from the HfSiO layer can be modelled by multiple linear least squares (MLLS) fitting using a combination of O K-edges from HfO2 and amorphous SiO2 [8]

(a)

(b)

0 10 20 30distance (nm)

NOHAADF

Si TaN poly-SiHfSiOSiO

Fig 3 (a) HAADF STEM image of the stack (b) O and N elemental profiles and HAADF signal extracted from a spectrum image acquired along the white line in (a) These have been scaled to the same maximum height for display

(a)

(b)

525 550 575 600Energy-loss (eV)

HfSiO

TaNpoly-Si

TaNpoly-Si

SiO2

oxTaN

(c)

0 10 20 30distance (nm)

total OSiOHfOoxTaN

2

2

Si TaN poly-SiHfSiOSiO

Fig 4 (a) Background subtracted O K-edge region of the spectrum image (b) O K-edge ELNES observed in different regions marked by arrows in (a) (c) Oxygen elemental profile with results of MLLS fitting of O K-edge ELNES

316 M MacKenzie et al

Applying MLLS fitting to all the O K-edges in the spectrum image using O K-edge shapes from the SiO2 layer the HfO2 reference powder and the oxidised TaN normalised to the same intensity gives the distribution of these O environments across the stack The data was fitted in the range 525-550eV and the resulting weights from the fits are shown in Fig 4c One can see that SiO2 is mainly present in the SiO2 region and at the TaNpoly-Si interface The SiO2 profile gradually decreases through the HfSiO layer which mainly consists of HfO2 in this part of the sample The SiO2 part of the HfSiO layer appears to have mainly segregated to the SiO2HfSiO interface thereby increasing the width of the SiO2 layer While segregation of the HfSiO along the layer may also occur no evidence of this has been observed in this sample in either the HAADF STEM images or in the EELS analysis performed to date The HfO2 profile peaks in the HfSiO layer and again at the TaN surface This second smaller peak is not a real HfO2 signal but a result of a missing fit component As we have not included an O K-edge shape from the damaged phase in the MLLS fit the routine has used a combination of the HfO2 edge with the oxidised TaN edge to fit the shape in this region

It is likely that TaN oxidation occurred during a vacuum break in the wafer processing Oxidation of TaN can result in the formation of a surface layer of Ta2O5 or TaOxNy [10] Possibly during the amorphous Si deposition this oxidised material reacts with the Si to form SiO2 Studies on the dark notches in the HAADF images of the TaN layer are currently underway EELS low loss spectra and data from other core loss edges are also being investigated 4 Conclusions EELS spectrum imaging across the high-k dielectric stack showed the presence of O in the TaN layer and at the TaNpoly-Si interface The O K-edge shapes in the TaN layer and interface match those of oxidised Ta and SiO2 respectively Formation of a dielectric layer between the TaN metal electrode and the poly-Si connecting electrode reduces the junction capacitance and hence device performance The observed phase separation of the HfSiO and resulting widening of the SiO2 layer are also undesirable Thus better control of both the layer materials and the interfaces is important if the desired performance is to be achieved Hence it is imperative that high-k dielectric stacks to be used in future CMOS technologies are investigated with techniques such as the ones used here in order to improve understanding of the work function behaviour Acknowledgements

The authors would like to thank B Miller for technical assistance and the University of Glasgow and EPSRC for financial support References 1 Chau R et al 2005 Microelectron Eng 80 1 2 Hobbs C et al 2003 Symp VLSI Technol Digest 9 3 Cho H-J et al 2004 IEDM Tech Digest 503 4 Schaeffer J K et al 2003 J Vac Sci Technol B 21 11 5 Jeanguillaume C and Colliex C 1989 Ultramicroscopy 28 252 6 MacKenzie M et al P 2006 J Phys Conf Series 26 169 7 Craven A J et al 2006 Proc 16th Int Microsc Cong eds H Ichinose and T Sasaki (Sapporo

Japan) p 1135 8 McComb D W et al 2004 Appl Phys Lett 84 4523 9 Trojman L et al 2005 Microelectron Eng 80 86 10 Ibidunni A O 1993 Oxid Met 40 5

Elemental Profiling of III-V MOSFET High-k Dielectric Gate Stacks Using EELS Spectrum Imaging P Longo A J Craven J Scott M Holland1 and I Thayne1 Dept of Physics and Astronomy University of Glasgow Glasgow G12 8QQ UK 1 Dept of Electronics and Electrical Engineering University of Glasgow Glasgow G12 8LT UK Summary In this paper a quantitative determination of the elemental distribution across a GaAsGa2O3GGO dielectric gate stack is presented and the analysis discussed The EELS spectrum imaging technique is described and the data analysis discussed 1 Introduction Up to now increased MOSFET performance has been obtained essentially by the reduction of all dimensions of the basic MOSFET structure As a result the thickness of the gate dielectric is now approaching the point where further reduction will lead to a dramatic increase in the gate leakage current and solutions involving high-k dielectrics on silicon are being considered for the 45nm node [1] As the 22nm node is approached further problems are envisaged and GaAs n-type MOSFETs offer a potential way forward as part of a solution in tandem with a p-type MOSFET on a different substrate GaAs offers a five times advantage of n-type mobility over Si along with the band-gap engineering that is possible in III-V systems However pinning of the Fermi level by interface states has prevented successful MOSFET devices being made until recently Passlack et al [2] have shown that a template layer of Ga2O3 grown on an As-terminated GaAs surface by molecular beam epitaxy (MBE) unpins the Fermi level Using Ga2O3 alone leads to a large leakage current This can be suppressed by incorporating Gd into the dielectric after the first 15nm giving gadolinium gallium oxide (GGO) Using this technology the Glasgow Group has made GaAs MOSFETs with the best reported performance to date [3] This dielectric stack is usually grown by MBE using 3 different fluxes on the GaAs substrate Initially the Ga2O3 template layer is grown by Ga2O and oxygen fluxes and after there is an additional flux of Gd in order to create the amorphous GGO The quality of the resulting gate stack is then evaluated using a variety of methods including photoluminescence C-V and magneto-transport measurements The structure and chemistry are investigated by (scanning) transmission electron microscopy ((S)TEM) and electron energy loss spectroscopy (EELS)

The combination of EELS and STEM allows spectrum imaging (SI) ie the acquisition of one or more spectra at each spatial pixel Potentially sub-nm resolution can be obtained but the sensitivity of the GGO to the electron beam imposes a limit on spatial resolution in this system Nonetheless SI offers substantially improved lateral spatial resolution and similar depth resolution for investigating composition and chemistry when compared to techniques such as secondary ion mass spectrometry (SIMS) X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectroscopy (RBS) In this paper we describe a method for mapping the distribution of all the elements across the dielectric stack using EELS SI 2 Experimental Method Material growth was carried out by MBE on a 4rdquo semi-insulating GaAs substrate using a dual chamber system The Ga2O3GGO gate stack consists of three layers a crystalline Ga2O template layer which unpins the GaAs surface an amorphous Ga2O3 layer which protects the interface from Gd migration and an amorphous GaGdO layer which controls leakage current [4] TEM specimens were prepared by conventional cross-sectioning involving cutting grinding dimpling

318 P Longo et al

and ion milling using a GATAN PIPS EELS data were acquired utilising a Gatan Enfina 1000 EELS spectrometer attached to an FEI Tecnai F20 equipped with a Schottky field emission gun (FEG) and STEM capability Figure 1 shows an annular dark field (ADF) image of the GGO layer on the GaAs substrate The region selected for the spectrum image is shown in the rectangular box The pixel size within the spectrum image is normally chosen to give the required spatial resolution However GGO crystallises under the electron beam and this may involve segregation between phases Thus the pixel size is chosen as 25nm to give the best compromise between spatial resolution and signal to noise ratio (SNR) while remaining at a dose below that causing significant changes in the GGO Since the probe size is much smaller than the pixel size the probe is rastered over the pixel during acquisition a technique defined as sub-pixel scanning This spreads the electron dose more or less uniformly across the pixel rather than concentrating it at the position of the stationary probe

The detailed shape of the ionisation edges gives information on the chemical environment of the elements but this requires both good energy resolution and good SNR Given the dose sensitivity of the GGO determination of the elemental ratios is the first objective By recording a large energy range at lower dispersion it is possible to include the ionisation edges from all the elements of interest

Figure 2 shows one energy slice of the SI The signal to noise ratio in each pixel is rather low for the desired analysis and so the spectra in lines of pixels parallel to the interface can be summed to improve the SNR while essentially maintaining the spatial resolution normal to the interface showing one of the advantages of the SI technique

As said above in principle the use of the EELS SI technique allows us to collect information on Ga As Gd and O in a single dataset One possible set of edges occurs in the energy range 100-550eV which contains the Ga M23-edges at 103eV the Gd N45-edges at 140eV the As M23-edges at 140eV and the O K-edge at 532 as well as the Ga and As M1-edges This set of edges is shown in Fig 3 While the signal levels are high for this set of edges there is considerable overlap and the background shape is severely perturbed by lower lying edges This makes it very difficult if not impossible to use them

The alternative is to use the set lying at higher energies where the overlap and background problems are much reduced but the signals are much lower This set of edges comprise the O K-edge at 532eV the Ga L23-edges at 1115eV the Gd M45-edges at 1184eV and the As L23-edges at 1323eV and is shown in Fig 4

Fig 1 STEM ADFsurvey image used forthe acquisition of EELSspectrum images Theblack box indicates thearea of the SI

20 nm

G aAs

Ga 2O 3

GG O

Fig 2 One energy slice of a 25 x 12 pixel SI By summing the spectra in the pixels in the box parallel to the interface the signal to noise ratio can be improved while maintaining the spatial resolution normal to the interface

20 nm

Fig 3 Background subtracted EELS low-energy edge set

50 150 250 350 450 550eV

inte

nsity

Gd N45-edge

Ga M23-edge

O K-edge

Fig 4 Background subtracted EELS high-energy edge set

500 1000 1500eV

inte

nsity

O K-edge

Ga L23-edge

Gd M45-edge

stray emission

Elemental Profiling of III-V MOSFET High-k Dielectric Gate Stacks Using EELS SI 319

Care needs to be taken to choose the gun operating conditions so that the features in the spectra resulting from stray scattering in the Schottky gun fall sufficiently before Ga L23-edges that they do not perturb the background

The remaining overlap problem is that Gd M45-edges lie on top of Ga L23-edges However the full shape of the Ga L23-edges can be obtained from the spectra from the GaAs in the substrate region By scaling this shape to fit the region prior to the Gd M45-edges in the spectra from the GGO it is possible to extract Gd M45-edges as shown in Fig 5 3 Results By using the high energy dataset in this way it is possible to extract the intensities of the edges Gd O Ga and As edges A 60eV integration was used for each edge Figure 6 shows the GdO GdGa and GaO intensity ratios GdGa and GdO decrease on moving away from the substrate suggesting a decrease in the Gd concentration as the GGO is grown A step in the GaO curve at the left hand side shows the transition region from the Ga2O3 template layer to the GGO

To convert the intensities to atomic fractions or ratios either suitable cross-sections or a suitable standard is required Accurate cross-sections for the Gd M45-edges are not available Thus the first quantitative analysis was performed by calibrating to the composition of one wafer as determined by RBS As RBS is not particularly sensitive to light elements such as O the O concentration is assumed to be 60 throughout the GGO layer in the RBS analysis Figure 7 shows a comparison the Gd concentrations obtained by EELS with those obtained by RBS for a range of wafers using one of them as the calibration The agreement is excellent

However the use of RBS to provide a calibration standard based on the assumption of a 60 O concentration does not allow an independent determination of the O concentration

Thus gadolinium gallium garnet (Gd3Ga5O12) was investigated as a standard with the GaAs substrate allowing the As to be included where necessary Figure 8 shows a comparison between the elemental concentrations determined using the RBS standard and those using the Gd3Ga5O12 standard The Gd concentration obtained from the two standards is in excellent agreement right across the film Near the substrate there is good agreement between RBS and Gd3Ga5O12 results for the Ga and O concentrations as well The fluctuations of the points about a smooth curve suggest a random error on the points of ~05at

However there appear to be systematic changes in the O and Ga concentrations as the top surface of the GGO is approached The O concentration appears to vary from a minimum of 593 near the substrate up to a maximum of 626 at the edge However there is also the possibility that there may be a small systematic error present in the analysis The TEM sample could have surface layers with a modified composition resulting from the TEM specimen preparation Such layers

Fig 5 Ga M23-edges from GaAs scaled to those from GGO in the region before the Gd M45-edges

1110 1210 1310 1410 1510 eV

inte

nsity

GGO

GaAs

Fig 6 Elemental intensity ratios across the oxide layer

0

3

6

9

12

0 10 20 30 40 50 nm

inte

nsity

ratio

GdOGdGa

GaO

x20

x50

Fig 7 Comparison between EELS SI and RBS results for the Gd concentration The dashed line indicates perfect agreement

0

10

20

30

0 10 20 30At Gd by EELS

At

Gd

by R

BS

320 P Longo et al

would have a bigger effect in the thin regions close to the edge of the specimen Multiple scattering could change the shape of the L23-edges as the specimen thickness changes Since the shape from the GaAs is taken adjacent to the GGO the multiple scattering effects would be the same for the regions of GGO close to the substrate but change as the thinner edge is approached Finally the contribution from the stray scattering in the gun becomes more significant as the specimen becomes thinner and this could cause a systematic change of the background under the O K-edge Work is in progress to try to identify such small systematic errors and if found to correct them 4 Conclusions Recording spectra over the energy range from the O K-edge to the As L23-edges allows intensities of characteristic edges from O Ga As and Gd to be obtained with good precision provided the operating conditions are chosen to minimise the interference from the stray scattering arising in the gun The Ga and Gd intensities can be separated successfully using the shape of Ga edges in the GaAs The intensity can be converted to atomic fractions using either calibration to a composition obtained by RBS or directly to a standard of known composition here gadolinium gallium garnet The agreement between the GdO ratios obtained by RBS and those obtained by EELS calibrated to one RBS data point is excellent The random error in the O concentration is ~05 The agreement between the RBS calibration and the garnet calibration is good but there are deviations as the thinner edge of the sample is approached Near the substrate the O fraction is 593plusmn05 and shifted in the direction expected if there is an O deficient surface layer and this increases to 626plusmn05 at the edge of the specimen

Having established a reliable and accurate technique the next step is to determine what spatial resolution normal to the substrate can be achieved in this radiation sensitive system and to explore whether it is possible to gain chemical information about the interface from either chemical shifts or edge shapes

Acknowledgements We would like to thank B Miller and S McFadzean of the University of Glasgow for technical support M MacKenzie of Glasgow University for advice on optimising conditions R Gregory of Freescale Seminconductor Inc for the RBS analysis and The Engineering and Physical Sciences Research Council (EPSRC) Freescale Semiconductor Inc and Scottish Funding Council for funding this work References 1 httpwwwelectronicsweeklycomARTICLES2007013040617Intel+IBM+embrace+high-k

+metal+gates+for+45nmhtm 2 Passlack M Yu Z Droopad R Bowers B Overgaard C Abrokwah J and Kummel A C 1999

J Vac Sci Technol B 17 49 3 Hill R Holland M Li X McIntyre D Moran D A J Stanley C Thoms S Zhou H and

Thayne I G 8th International Conference on Ultimate Integration on Silicon (ULIS) accepted for the proceedings

4 Holland M Stanley C R Reid W Patterson G W Long A R Longo P Scott J Craven A J and Thayne I G J Vac Sci Technol B in press

Fig 8 Comparison between the elemental concentrations determined by using an RBS standard and by using Gd3Ga5O12standard

0102030405060

0 10 20 30 40 50nm

elem

ents

O

Gd

Ga

RBS

Gd3Ga5O12

Low-Energy Ion-Beam-Synthesis of Semiconductor Nanocrystals in Very Thin High-k Layers for Memory Applications C Bonafos S Schamm A Mouti P Dimitrakis1 V Ioannou-Sougleridis1 G Ben Assayag B Schmidt2 J Becker3 and P Normand1 CEMESCNRS 28 rue Jeanne Marvig 31055 Toulouse France 1 IMELNCSRD Aghia Paraskevi Greece 2 FZR POB 510119 01314 Dresden Germany 3 Cambridge NanoTech Inc Cambridge MA USA Summary The fabrication of nanocrystals (NCs) into high-k dielectric matrices instead of SiO2 has retained particular attention for achieving NC memories with low programming voltages and improved charge retention We present here an approach to face the challenge of NC formation into very thin (5-10 nm) high-k dielectrics related to the fabrication by low-energy ion-beam-synthesis of semiconductor (Si Ge) NCs in thin oxide nitride oxide and Al2O3 films The resulting structures were examined by coupling high resolution electron microscopy energy filtered transmission electron microscopy and electron energy loss spectroscopy 1 Introduction Nanocrystal memory (NCM) devices-are competitive candidates for extending further the scalability of Flash-type memories [1-3] Various processmaterials alternatives have been suggested recently to establish a proven NCM technology in the timeframe required by the industry roadmap In this direction the fabrication of NCs into high-k dielectric matrices instead of SiO2 materials has retained particular interest for achieving NCMs with low programming voltages and improved data retention Promising device results using Si or Ge NCs embedded in HfO2 or HfAlO gate dielectrics have been recently presented [4 5]

We present here an approach to face the challenge of NC formation into very thin (5-10 nm) high-k dielectrics This approach relates to the fabrication by ultra low-energy ion-beam-synthesis (ULE-IBS) of semiconductor (Si Ge) NCs in thin oxide nitride oxide (ONO) and Al2O3 films After implantation all samples are annealed at high temperature for the purpose of NC formation The resulting structures were examined by high resolution transmission electron microscopy (HRTEM) in cross-section (XS) to reveal the phase separation as well as to determine the crystallographic nature of the NCs and the degree of crystallization of the surrounding matrix Energy filtered TEM is performed in plan-view to measure the NC size-distribution and surface density Electron energy loss spectroscopy (EELS) is used to analyze the interfacial layer between the high-k film and the Si substrate

Capacitance-to-voltage characteristics of the MIS capacitors with NCs revealed strong hysteresis in terms of flat-band voltage shift after application of gate-voltage round-sweeps These results suggest charge trapping and storage related to the formation of the NCs through the implantedannealed high-k layers 2 Experimental Details For fabricating the ONO stacks double layer dielectric stacks consisting of SiO2 and Si3N4 were initially formed on n-type (100) Si substrates At first a tunnel oxide layer was thermally grown at 850 oC to a thickness of 28 nm Then a Si3N4 film was deposited by low-pressure chemical vapor

322 C Bonafos et al

deposition (LPCVD) The deposition temperature was 800 degC at 200 mTorr resulting to a stoechiometric silicon nitride film having a thickness around 6 nm Low-energy Si+ implantation was performed into these dielectric stacks at 1 keV for a dose of 15x1016 cm-2 Following the implantation step a 6 nm high temperature SiO2 layer was deposited by LPCVD on top of the stack serving as control oxide and subsequently the structure was annealed at 950 degC for 30 min in N2 ambient

The second approach relates to the fabrication by ULE-IBS of Ge NCs into thin Al2O3 films (5-7nm) obtained by atomic layer deposition (ALD) Ge has been implanted at 1keV for a dose of 1016 cm-2 After implantation an additional 10 nm-thick ALD Al2O3 layer was deposited onto all samples and subsequent thermal annealing at 800oC for 20 minutes was performed for the purpose of Ge-NC formation

Specimens were prepared in both cross-section and plan-view for TEM observations using the standard procedure involving mechanical polishing and ion milling Images were taken using a field emission TEM FEI Tecnaitrade F20 microscope operating at 200 kV equipped with a corrector for spherical aberration and the last generation of the Gatan imaging filter series the TRIDIEM The spherical aberration corrector allows high quality HRTEM images with an increased signalnoise ratio and nearly no delocalization effect HRTEM was used to identify the nature and crystallography of the different phases The thickness of the different layers were measured with an accuracy of +-05 nm by using Fresnel imaging i e strongly defocused Bright Field on XS specimen following an original method described in ref [6] For the system consisting of Si NCs embedded within Si3N4 for which the difference in atomic number and density is weak between the particles and the matrix energy filtered TEM (EFTEM) was used to reveal the presence of the particles EFTEM images are formed with the electrons that are selected by a slit placed in the energy-dispersive plane of the spectrometer with a width of 4 eV centered at an energy position of 17 eV (Si plasmon energy) In plan-view EFTEM images the parameters characteristics of the NC population (size-distribution surface density and coverage) can be measured EELS has also be used for a chemical analysis of the high-k layers at the nanometre scale

Generic MONOS (metal-oxide-nitride-oxide-semiconductor) Al gate capacitors were finally fabricated by photolithography and wet etching Control capacitor structures without undergoing the implantation step were also fabricated using the same processes as the implanted samples and examined for comparison purposes 3 Experimental Results and Discussion 31 Si-Implanted ONO Layers Figure 1a shows a XTEM image in defocused bright field conditions of the implanted and annealed ONO sample The thickness of the gate stack is thicker than that of the unimplanted reference sample (not shown) This thickness increase or swelling is well known to occur in implanted thin dielectric layers and here arises mainly from the incorporation and interaction of the implanted Si atoms with the host matrixes [3] HRTEM images (see inset of Fig 1a) reveal the presence of a 2D array of Si crystalline nanoparticles located approximately at 87+-05 nm from the Si substrate Cross-sectional EFTEM analysis (see Fig 1b) shows that these NCs are within a 21 nm-thick band inside the nitride layer The center of this band is located at about 21+-05 nm from the upper silicon nitride-control oxide interface This is in agreement with TRIM simulation predicting a Si ion projected range of 22 nm [7] Plan-view EFTEM examination (Fig 1c) indicates that the NCs are 3-6 nm in size with some of them larger (20 nm) and interconnected A surface density of 2+-06 x1012 particlescm2 corresponding to a coverage of 36 has been evaluated on these images

Figure 2 shows typical C-V characteristics of the reference and implanted samples Measurements were performed in a double sweep mode starting from inversion to accumulation and back to inversion with a bias step of 1 Vs When the bias margins of the double sweep are kept low all examined samples show no hysteresis indicating that injection and trapping within the dielectric stack do not take place (open symbols) Increasing the double sweep gate voltage margins results to charge trapping leading to hysteresis effects in the C-V characteristics (filled symbols)

LE-IBS of Semiconductor NCs in Very Thin High-k Layers for Memory Applications 323

Fig 1 a) Cross-sectional (XS) defocused bright-field TEM image of the implanted sample (1keV 15x1016 Si cm-2) capped with a high temperature oxide and subsequently annealed at 950 oC for 30 min in N2 Inset HRTEM from the same sample showing a Si NC located in the nitride layer b) XS-EFTEM images (at zero loss and 17 eV) showing the location of the Si NC band in the nitride layer c) Associated plan-view EFTEM image after contrast enhancement

For a sweep voltage range of -6 to 6V the reference ONO sample shows a small clockwise

hysteresis of 04 V width corresponding to electron trapping in the nitride layer The implanted sample shows a hysteresis of 22 V for the same double sweep It is therefore evident that the ONO structures are characterized by an increased electron trapping efficiency in the low bias regime

Fig 2 C-V characteristics under gate voltage sweeping conditions of the reference ONO implanted samples

32 Ge-Implanted Al2O3 Layers After annealing at 800degC HRTEM revealed the presence of Ge NCs of 5 nm in diameter occupying the whole Al2O3 layer and located at tunnel distances (1 to 3 nm) from the channel (see Fig 3a) At this annealing temperature the alumina matrix is crystalline (γ-cubic phase) and a thin (1 nm) amorphous interfacial layer separates the Si substrate and the high-k film EELS studies (Fig 3b) in the low loss region as a function of the coordinate perpendicular to the filmsubstrate interface confirm the chemical nature of the NCS and allows to determine the chemical nature of interfacial layer (SiO2-rich) C-V characteristics of the MIS capacitors with NCs revealed strong hysteresis in terms of flat-band voltage Vfb shift after application of gate-voltage round-sweeps (see Fig 4)

No significant hysteresis was detected for the unimplanted reference samples as well as in the case of as-implanted samples These results suggest that charge trapping and storage are related not only to the formation but also to the distribution of the Ge-NCs through the implantedannealed Al2O3 layer

324 C Bonafos et al

Fig 3 HRTEM image of the Ge implanted Al2O3 layer after annealing at 800oC for 20 min and EELS measurements in the low loss region as a function of the coordinate perpendicular to the filmsubstrate interface

Fig 4 C-V characteristics under gate voltage sweeping conditions of the references and of the sample with Ge NCs

4 Conclusions Coupling TEM EELS is mandatory for a full structural characterization of high-k based NC memories HRTEM allows one to reveal the phase separation the crystallography of the NCs and degree of crystallization of the surrounding matrix EELS filtering is needed to show the presence of NCs when the difference in atomic number and densities of the NCsmatrix is too weak and for measuring in plan-view the NC size-distribution and surface density EELS local analysis is used to identify the nature of the interfacial layer between the high-k film and the Si substrate In both the cases studied here (Si and Ge NCs produced by ULE ion beam synthesis within respectively ONO stacks and Al2O3 thin layers) C-V measurements give evidence of charge trapping and storage related to the formation of the NCs through the implantedannealed high-k layers References 1 Tiwari S Rana F Hanafi H Hartstein A Crabbe E F and Chan K 1996 Appl Phys Lett 68 1377 2 Hanafi H I et al 1996 IEEE Trans Electron Devices ED-43 1553 3 Bonafos C et al 2005 Solid-State Electronics 49 1734 4 Cheng J H et al 2004 IEEE Trans Electron Devices 51 1840 5 Lu J Kuo Y Yan J and Lin C 2006 Japan J Appl Phys 34 L901 6 Ben Assayag G Bonafos C Carrada et al 2003 Appl Phys Lett 82 200 7 Biersack J P and Haggmark L G 1980 Nucl Instrum Meth 174 257 httpwwwsrimorg

Si

SiO2

Al2O3

Ge

EELSplasmon

Ge NCs

Si

Al2O3

ILSi

SiO2

Al2O3

Ge

EELSplasmon

Ge NCs

Si

Al2O3

Si

SiO2

Al2O3

Ge

EELSplasmon

Si

SiO2

Al2O3

Ge

EELSplasmon

Ge NCs

Si

Al2O3

IL

Nucleation Crystallisation and Phase Segregation in HfO2 and HfSiO C M McGilvery S McFadzean1 M MacKenzie1 F T Docherty1 A J Craven1 D W McComb and S De Gendt2 Department of Materials Imperial College London London SW7 2AZ UK 1Department of Physics and Astronomy University of Glasgow Glasgow G12 8QQ UK 2IMEC Kapeldreef 75 B-3001 Leuven Belgium also at KU Leuven B-3001 Leuven Belgium Summary Hafnia and hafnium silicate thin films and bulk powders were analysed using thermal analysis X-ray diffraction and transmission electron microscope techniques to improve understanding of the crystallisation mechanism of the dielectric material It was found that thin films exhibit instability under device processing conditions Starting precursors greatly affect the crystallisation pathway in the bulk materials By studying these phenomena a better understanding of the chemistry involved during crystallisation can be gained 1 Introduction As CMOS device scaling reaches atomic limits it is necessary to look for a replacement material for the Si(ON) dielectric layer currently used in the gate stack High-κ dielectric materials such as metal oxides oxynitrides and silicates are being considered for this role but due to the high thermal budget required during device processing concerns remain regarding the stability of the dielectric in contact with silicon Crystallisation within the layer can result in grain boundary formation and diffusion across the layer reducing device performance A key area of concern is with silica and other interfacial structures that form at the silicondielectric and dielectricgate interfaces lowering the overall dielectric of the gate stack Hafnia and hafnium silicate are leading candidates as replacement gate dielectrics Here we investigate the effects of annealing on the dielectric layer in the gate stack To further understand the crystallisation occurring in the gate stack we have prepared and characterised bulk HfO2 and lsquoHfSiOrsquo powders using x-ray diffraction (XRD) thermal analysis and transmission electron microscope (TEM) imaging 2 Experimental Both as-deposited and fully processed thin films were prepared by IMEC using a metal-organic chemical vapour deposition (MOCVD) technique The precursors are TDEAH (tetrakis diethyl amino Hf) and TDMAS (tetrakis dimethyl amino Si) respectively As-deposited films consist of Si(100)SiO2HfSiO and the fully processed films Si(100)SiO2HfSiOpoly-Si materials The target HfSiO layer width was 20nm grown at 650degC and poly-Si 100nm In the fully processed films 100nm of amorphous Si was deposited at 610degC The films were then exposed to an activation thermal anneal at 1000degC for 10s TEM cross-sections were prepared by grinding polishing dimpling and ion milling TEM imaging was carried out in an FEI Tecnai F20 TEMSTEM operating at 200kV Typical imaging conditions were 38keV extraction voltage C1=2000microm C2=70microm objective aperture 100microm and magnification 450kx to minimise electron beam induced damage to the specimens

Amorphous HfSiO powders were prepared by a sol-gel technique using both hafnium oxychloride and hafnium butoxide routes The powders were then furnace heated to different temperatures and analysed using ambient XRD thermal analysis and TEM study

326 C M McGilvery et al

3 Results 31 HfSiO Thin Films The effect of processing on the high-κ layer is considered by looking at as-deposited and fully processed films of varying HfO2SiO2 molar ratio compositions Some of the results are shown in Fig 1 All the as-deposited samples are amorphous before any processing is carried out All samples have a silica layer of 1-2nm in size The width of the HfSiO plus the silica layers is 18-19nm slightly less than the target deposition width of 20nm

Fig 1 TEM images of as-deposited a-c) and fully-processed d-f) samples of varying HfO2SiO2 compositions

The fully processed samples contain a number of differences We observe repeatedly for the

5050 and 7030 HfO2SiO2 samples that after processing the high-κ layer has crystallised For the 3070 HfO2SiO2 sample the HfSiO layer has separated into silica and hafnia rich light and dark regions (~2-5nm) (Fig 1d) This sample has the greatest silica content which is known to increase the crystallisation temperature resistance of the material [1] After processing the HfSiO layer width has narrowed by 1-2nm For the HfSiO layer this may be explained as a result of crystallisation of the layer which causes a reduction in the volume of the material hence causing the layer width to decrease in size [2] However this argument does not work as well for the 3070 sample where we see phase separation but not crystallisation within the layer It is also noted that in both the as-deposited and fully-processed samples as the silica content decreases the roughness of the HfSiOglue or HfSiOpoly-Si increases In the fully-processed samples this may be attributed to the grain size of the crystalline film which increases as hafnium content increases

(a) (b) (c)

(d) (e) (f)

Nucleation Crystallisation and Phase Segregation in HfO2 and HfSiO 327

32 Sol-Gel Powders In the HfSiO thin films there are a number of issues relating to the metastability of the high-κ layer These seem to be linked to the silica content in the layer and need to be further investigated To gain a better understanding of the crystallisation mechanism HfSiO powders were analysed Furnace heated samples were analysed by XRD The results for the HfSiO samples are displayed in Figs 2a and 2b for the oxychloride and butoxide routes respectively Firstly a change in starting materials greatly changes the crystallisation temperature of the powder This has also been observed by differential thermal analysis where the crystallisation temperature for the oxychloride route is 546degC and the butoxide route 947degC (results not shown here) This is also evident by comparing the 800degC scans in Fig 2 where one is crystalline and the other amorphous Secondly the initial product of crystallisation is different in the two cases ndash in Fig 2a it is monoclinic hafnia the stable room temperature phase and in Fig 2b it is tetragonal hafnia This tetragonal hafnia transforms into monoclinic hafnia via a martensitic transformation [3] No tetragonal phase is observed at higher temperatures On the other hand in the oxychloride route tetragonal hafnia forms by 1400degC At this temperature because the monoclinic peak continues to increase in intensity it is thought that the tetragonal hafnia does not transform from the monoclinic phase but forms directly from remaining amorphous material It then forms along with monoclinic hafnia SiO2 and amorphous material HfSiO4 by 1700degC

Fig 2 Samples heated in a furnace to temperature for 24hr then analysed by XRD at room temperature (a) oxychloride prepared HfSiO (b) butoxide prepared HfSiO m = monoclinic hafnia t = tetragonal hafnia c = cristobalite (SiO2)

TEM images give greater insight into the crystallisation mechanisms that are taking place for

the tetragonal hafnia Figure 3a shows that large crystals have formed (~50-100nm) indicative of monoclinic hafnia It is noted that around the edges of the monoclinic particles a thin region containing small crystalline particles embedded in an amorphous matrix exist The particle sizes agree with those of tetragonal hafnia obtained from XRD (~2-7nm) Images of the butoxide sample heated to 1000degC show clearly that phase separation into hafnia and silica rich regions has taken place The hafnia rich regions are crystalline and from their small particle size are thought to be of the tetragonal phase This is in agreement with the XRD results in Fig 2b

From these results it is thought that in the oxychloride route the sample initially crystallises into the monoclinic phase When these particles grow they leave behind some amorphous material which has a small number of hafnium atoms dispersed in it At some higher temperature it becomes favourable for these hafnium atoms along with oxygen to nucleate and grow into small crystals which are the tetragonal phase of HfO2 These are eventually consumed to make HfSiO4 In the butoxide case (Fig 3b) the sample phase separates homogeneously and forms small tetragonal crystals As these crystals continue to grow they reach an optimum size whereby it is

328 C M McGilvery et al

more thermodynamically favourable for them to transform into HfO2 and SiO2 where they continue to grow until consumed to make HfSiO4

Fig 3 Images from a) 1400degC oxychloride sample and b) 1000degC butoxide sample

4 Conclusions In conclusion we observe from thin film studies that there is a great deal of metastability in the dielectric layer resulting in significant changes during processing This manifests itself in the decrease of the HfSiO layer width phase separation and crystallisation in the dielectric layer and roughening of the HfSiOpoly-Si interface Investigation of bulk powders revealed that the crystallisation temperature and mechanism were dependent on the starting materials Tetragonal hafnia forms from different routes in the different samples TEM imaging gives further insight to the crystallisation process involved in tetragonal phase formation and the difference between fully formed monoclinic hafnia and tetragonal hafnia is clearly observed Much remains to be understood We are investigating in more detail the HfSiO layer and the interfaces using electron energy-loss spectroscopy to gain detailed information on the local chemistry structure and bonding from the energy-loss near-edge structure (ELNES) on the ionisation edges We are also investigating the effect of different layer thicknesses on the gate stack properties To complement the work discussed above non-stoichiometric powders are also being prepared and characterised References 1 Maria J P Wickaksana D Parrette J and Kingon A I 2001 J Mater Res 17 1571 2 Triyoso D Ramon M Hegde R I Roan D Garcia R Baker J Wang X D Fejes P White B E

and Tobin P J 2005 J Electrochem Soc 152 G203 3 Tang J Zhang F Zoogman P Fabbri J Chan S Zhu Y Brus L E and Steigerwald M L 2005

Adv Funct Mat 15 1595

(a) (b)

High Accuracy and Resolution for the Separation of Nickel Silicide Polymorphs by Improved Analyses of EELS Spectra K Asayama N Hashikawa M Kawakami and H Mori1 Process amp Device Analysis Engineering Development Dept Renesas Technology Corp 1 Research Center for Ultra-High Voltage Electron Microscopy Osaka University Summary Low loss EELS is a simple method which may be used to discriminate the polymorphs of nickel silicides however it has an energy resolution problem because of the instability of the hardware This problem can be overcome by the use of the well-calibrated plasmon loss peak of Si and zero loss peak as references We determined the low loss plasmon energies for each polymorph of nickel silicide within 01eV The higher spatial resolution for discriminating three polymorphs of Ni silicide as narrow as 05nm can be obtained by using the branching ratio of the core loss EELS spectrum 1 Introduction Nickel silicide is used in CMOS transistors beyond 65nm node generation to further lower the internal resistance of transistors [1] Three polymorphs of Ni silicide appear in the device fabrication process two of them are used to control the resistivity of the interconnects and the device threshold voltage the process should be precisely controlled to get the appropriate polymorphs An area of more than 100microm2 is necessary to discriminate the polymorphs by X-ray diffraction For the failure analyses of the transistors that suffer from high resistance or for process development polymorph discrimination on nanometer scale is necessary To get enough energy and spatial resolution highly accurate measurements are required by analytical transmission electron microscopy The polymorphs of Ni silicides can be discriminated by the chemical binding information between nickel and silicon However the measurement accuracy of these EELS edge energies is limited by the instabilities of the hardware accelerating voltage spectroscopic errors and mechanical vibration of TEM systems The instability is comparable to the energy resolution needed for polymorph discrimination Therefore conventional edge energy determination cannot be used to determine the polymorphs of Ni silicide in device structures and new methods or algorithms are required New methods of polymorph discrimination for nickel silicides were developed by the authors [2] This report will introduce the outline of these new methods and some examples are described 2 Experimental Three polymorphs of Ni silicide can be formed using different annealing temperatures after deposition First Ni was sputtered on the Si substrate (p-type) and it was processed at 320degC for the first anneal Ni2Si was grown in this process When the second anneal was added at 550degC or 850degC the Ni2Si phase converted into NiSi and NiSi2 respectively This process is called two-step annealing These three polymorphs of Ni silicide can be confirmed by X-ray diffraction We used a cold type field-emission transmission electron microscope (TEM Hitachi HF-3000) operating at an acceleration voltage of 300kV with an energy filter (Gatan GIF2000) TEM samples were prepared by focused ion beam (FIB Hitachi FB-2100) milling The TEM was equipped with a slit to allow the production of spatially resolved spectra of the sample The EELS spectrum of different places on a sample along the slit can be acquired simultaneously The silicon plasmon peak and the zero loss peak can be employed

330 K Asayama et al

as energy standards and the plasmon peaks of Ni silicides can be calibrated by extrapolation With this energy calibration by standards (ECS) method the plasmon peaks of different Ni silicides can be compared despite the instability in the hardware For high spatial resolution applications the branching ratio of the core loss spectrum is defined as the ratio of the area of the L3 core loss peak to the sum of the areas of the L2 and L3 peaks ([3] and see below) experiment is compared with the results of first principles calculations 3 Results and Discussion Low loss spectra of three known polymorphs of Ni silicide were taken by spatially resolved TEM-EELS as shown in Fig 1 By the ECS method the low loss plasmon energies for Ni2Si NiSi and NiSi2 are determined to be 216plusmn01eV 205plusmn01eV and 199plusmn01eV respectively As there are no overlaps in the low loss energies for the three polymorphs of Ni silicide we can uniquely discriminate the polymorphs of Ni silicide in device structures using low loss edge energies with this proposed ECS method

However the low loss method still has a problem of low spatial resolution (~4nm)[4] for our application target of modern device analyses To analyse the device structure spatial resolution of better than 1nm is required due to delocalization this cannot be achieved with low loss EELS To overcome this problem we adopted the core loss energy measurement of nickel in nickel silicides using EELS that has high spatial resolution of around 05nm [4] The core loss energy of pure nickel L23 is 8550eV The chemical shifts of the Ni L23 edge between nickel

and Ni silicides are known to be 05-2eV [5] The energy instability can be removed by calibration as in the case of the above-mentioned ECS method if we could find good energy standards in our target system However in our device structures there is no nickel layer to be used as a calibration standard Therefore Ni silicide polymorphs should be discriminated by algorithms other than the ECS method

The branching ratio of the Ni L23 edge is a candidate to be considered The idea of the branching method was first applied in X-ray analyses We applied the idea to EELS core loss edge spectrum analyses The branching ratio can be calculated from the transition probability of 2p12 and 2p32 electrons to 3d orbits and Fig 3 The relation between experiment

and calculation of branching ratio

Fig 2 The branching ratio of three nickel silicide polymorphs

Fig 1 The low loss edges of three nickel silicide polymorphs

High Accuracy amp Resolution for the Separation of Nickel Silicide Polymorphs 331

these probabilities are sensitive to the crystal fields in the Ni silicides [6] Therefore Ni silicides can be discriminated by using the branching ratio of the core loss edges even if the chemical shift of the core loss edges cannot be measured accurately The measured core loss spectra of three polymorphs of Ni silicides are shown in Fig 2 Branching ratios obtained from experimental values plotted against values from first principles calculations are shown in Fig 3 A good correlation between the theoretical calculation and experiment is confirmed Therefore three polymorphs of Ni silicides can be discriminated with high spatial resolution by using the branching ratio of the Ni L23 edge in EELS 4 Applications for Semiconductor Devices The application to polymorph discrimination for nickel silicide in semiconductor device structures is shown

Polymorphs of nickel silicide films applied in actual devices of the 90nm node or further can be discriminated with EELS low loss spectra The nickel film is deposited on the polycrystalline gate electrode on the active area of p-type SRAM pull-up MOS (metal oxide semiconductor) transistors Deposited nickel films were processed by two-step annealing to form nickel silicides Part of the Ni layer that did not undergo silicide formation was removed by wet processing Cross sectional TEM observation images of the Ni silicide film and the device structure are shown in Fig 4 The polymorphs of Ni silicides were investigated on gate electrodes and active areas of the p-type MOS transistors Simultaneously spatially-resolved low loss spectra were obtained from inside the white squares in the TEM image The Ni silicide at the gate electrodes was determined to be NiSi and the active area of the MOS transistor to be Ni2Si Even though these Ni silicides are processed at the same time different polymorphs are generated in the gate electrode and active area of the p-type MOS transistor The polymorph of Ni silicides on highly impurity implanted p-type Si substrate area has a tendency to become NiSi2 with a crystallographic orientation of (110) [7]

Narrow line wiring made by Ni silicide is widely used in semiconductor devices The basic structure is the same as the gate electrode of the MOS transistors except for the loss of the active area as shown in Fig 5a This wiring becomes high resistance if unwanted polymorphs of Ni silicide are generated The polymorphs of the Ni silicide wiring were measured by using the branching ratio method because the structures were very narrow The simple step-like function

Fig 4 Discrimination of nickel silicide polymorphs using the low loss spectrum

332 K Asayama et al

background subtraction was adopted in this work After subtraction the branching ratio was calculated from the areas of the L2 and L3 peaks as shown in Fig 5b this was 075 and therefore this Ni silicide wiring was determined to be NiSi

5 Conclusion To discriminate the polymorphs of Ni silicides using plasmon low loss edge energies we used the Si plasmon energy as a reference to overcome the machine hardware instabilities The authors developed the energy calibration by standards method and the comparison of the absolute values of low loss spectra of Ni silicides then the material discrimination became possible To obtain a spatial resolution of less than 1nm we evaluated the discrimination method for the polymorphs of the Ni silicides by use of the branching ratio of core loss edges We calculated the branching ratio of Ni silicides by a first principles calculation and obtained a good agreement with the experimental data These techniques were applied to actual semiconductor device analyses References 1 Lauwers A Steegen A Potter M Lindsay R Satta A Bender H and Maex K 2001 J Vac Sci

Technol B19 2026 2 Asayama K Hashikawa N Kawakami M Yamaguchi T Yonamoto Y and Mori H 2007

submitted to Jpn J Appl Phys 3 Sipr O and Ebert H 2005 Phys Rev B 72 134406 4 Krivanek O L Kundmann M K and Kimoto K 1995 J Microsc 180 277 5 Cheynet M C and Pantel R 2005 International EELS Workshop Grundlsee Austria 6 Pearson D H Fultz B and Ahn C C 1988 Appl Phys Lett 53 1405 7 Chen L J Doland C M Wu W Chu J J and Lu S W 1987 J Appl Phys 62 2789

Fig 5 Discrimination of nickel silicide polymorphs by branching ratio of core spectrum

TEM Study of Ytterbium Silicide Thin Films J Deneen Nowak S H Song1 S A Campbell1 and C B Carter2 Department of Chemical Engineering and Materials Science University of Minnesota 421 Washington Avenue SE Minneapolis MN 55455 USA 1 Department of Electrical and Computer Engineering University of Minnesota 200 Union Street

SE Minneapolis MN 55455 USA 2 Now at Department of Chemical Materials and Biomolecular Engineering University of

Connecticut 191 Auditorium Road Storrs CT 06269 USA Summary In this study ytterbium thin films of various thicknesses were deposited on (100) silicon substrates Transmission electron microscopy (TEM) was used to characterize the structure and composition of the thin films and the interface between the thin film and the silicon substrate which was found to contain an amorphous interlayer TEM samples were prepared using a small-angle cleavage technique to avoid any artifacts induced by ion milling The preparation of ytterbium silicide thin films and the effect of annealing on the structure and composition of the films are discussed

1 Introduction Rare-earth metal silicides are of interest because of their unique properties which make them potentially useful for a broad range of applications Many rare-earth silicides can be produced at low temperatures and they form layers on n-type Si with amongst the lowest known Schottky barrier heights making them potentially useful in infrared detectors and as contact materials in microelectronics Ytterbium silicide has gained particular interest in recent years because it can be grown into interesting nanostructures and can be grown epitaxially on silicon [1-3] Also the Yb-Si phase diagram is not complete intermediate phases are still being discovered crystal structures investigated and the thermodynamics explored [4-6]

The ytterbium silicide films investigated in this work are of specific interest for use as contacts in nanoscale transistors which are described elsewhere [7] As with any device component understanding the structure and chemistry of the films would be useful in optimizing the processing conditions It is particularly important in this case that the film surface is a Si-rich silicide such that Si nanoparticles deposited on the film surface are not consumed as a silicide In this work transmission electron microscopy (TEM) was used to investigate thin films of ytterbium silicides and the effect of annealing on their structure and chemistry

2 Experimental 21 Ytterbium Silicide Films Ytterbium silicide films of various thicknesses were deposited on (100) Si substrates The native oxide on the silicon substrate was first removed using an HF dip The films were then deposited either by sputtering Yb or by co-depositing both Yb and Si The films were deposited at room temperature in an argon atmosphere They were subsequently annealed under nitrogen at 450degC for 300 seconds Non-annealed samples were also investigated for comparison The film thicknesses and annealing conditions were selected based on the electronic properties thermal stability and surface roughness of the films

334 J Deneen Nowak et al

22 TEM Sample Preparation Cross-section TEM samples of the YbSi films were prepared using the small-angle cleavage technique (SACT) This technique is notably beneficial for TEM-sample preparation because it does not require further ion milling to thin the sample [8 9] It is particularly useful for samples that contain amorphous material These samples could not be prepared using ion-assisted methods due to the severe effect ion implantation can have on amorphous materials [10] SACT works well for thin-film samples in which the film of interest can be deposited on and adheres well to a substrate that cleaves easily and predictably (such as silicon) [1112] In this method the sample is carefully back-thinned using hand lapping tools polishing such that the scratches created by the polishing media are at angle of about 15deg to one of the intrinsic cleavage directions of the material

Using the polishing scratches as a guide a diamond scribe is used to induce cleavage along this direction A fine scribe is then used to cleave the sample along the known cleavage direction creating a wedge The tip of the wedge is an electron transparent cross-section of the sample The final sample is prepared by mounting the wedge onto a tabbed TEM washer with a conductive epoxy Figure 1

shows a visible light image of a SACT sample At the very tip of the wedge the sample is thin enough for high-resolution imaging shown in Fig 2 The samples were then examined using a Tecnai G2 F30 TEM

3 Results One of the benefits of preparing the TEM samples using SACT is the ease with which the layer thickness can be measured The graph in Fig 3 shows the film thickness in films of three different thicknesses as measured from TEM images in which the interface is oriented edge-on For all three deposition conditions the thickness of an unannealed sample was also measured Samples 1 and 3 were films of only Yb while sample 2 was YbSi co-deposited In samples 1 and 2 the film thickness increased by roughly 25 (21 and 27 respectively) The relatively small change in thickness for the co-deposited film can be attributed to the initial Si content in the as-deposited film The change in film thickness on annealing was not as pronounced as would be expected for a pure Yb

Fig 1 Visible - light microscopy image of an SACT sample The washer diameter is 3 mm

Fig 2 Si wedge with a silicide film The inset is an HRTEM image of the substrate

Fig 3 Change in thickness on annealing for three silicide films For each sample the + indicates the thickness of the as-deposited film and the times indicates the film thickness after a 300 second anneal at 450degC

TEM Study of Ytterbium Silicide Thin Films 335

film of the same thickness In sample 3 a thicker film prior to annealing the thickness increased by nearly 50 This is likely to occur because the film becomes more Si-rich on annealing as more of the Si substrate is incorporated into the film

In the as-deposited films an amorphous interlayer is often present between the film and the substrate [13] After annealing the amorphous region crystallizes and the interface is no longer atomically flat The uneven interface is consistent with film growth as the result of the Si substrate being consumed as the sample is annealed Similarly the change in thickness also upon annealing suggests that the films became a more Si-rich phase In the Yb-Si equilibrium phase diagram there are six known silicide phases found between pure Si and alpha-Yb [4] All six phases have either hexagonal or orthorhombic crystal structures In the thickest film (sample 3) only Yb was deposited After annealing the film thickness increases by nearly 50 Even at this film thickness the film chemistry is still not entirely uniform after annealing The interface region is still more Si-rich than the film surface as indicated by the cross-sectional energy dispersive spectroscopy (XEDS) maps in Fig 4 The maps were taken from a region of the sample where the substrate had cleaved away during sample preparation so the maps are only from the film In the HRTEM image in Fig 5 the

spacing of the Yb columns is consistent with the Si-rich YbSi2-x phase viewed along the [ 2110 ] direction In the image the horizontal planes of atoms are (0001) planes and the vertical planes are (01 1 0) planes According to the equilibrium phase diagram this phase is one of the most Si-rich phases though it is not expected to form at temperatures below 770degC However the film examined in the present study is not expected to be an equilibrium phase The kinetics involved in the formation of silicides from the deposition of thin metal films is not well understood [1415] Films deposited on (111) and (100)Si under conditions similar to those in this study have reportedly formed the YbSi2-x phase despite the low processing temperatures [31617]

Rare earth metals are known to react particularly well with oxygen so it is important to note that the samples contained no measurable Yb2O3

4 Conclusions This work illustrates the use of HRTEM in investigating thin films of ytterbium silicides and the effect of annealing on their structure and chemistry The sample preparation technique which requires no ion thinning produces thin samples for HRTEM without jeopardizing amorphous

Fig 4 XEDS maps of the Yb and Si content in a film

Fig 5 HRTEM image of a YbSi2-x region of a film which is located at the filmsubstrate interface

336 J Deneen Nowak et al

layers The polycrystalline nature of the films coupled with the number and complexity of the phases involved make phase determination somewhat difficult It is hoped that future EELS studies will address this more intensively Acknowledgements This work was supported in part by the IGERT Program of the National Science Foundation under Award Number DGE-0114372 It was also funded by the NSF under grant number NSF-DMI-0304211 JDN and CBC also gratefully acknowledge support from the 3M Heltzer Endowed Chair References 1 Kuzmin M Laukkanen P Peraumllauml R E Vaara R-L and Vaumlyrynen I J 2004 Appl Surf Sci 222

394 2 Tsai W C Chi K S and Chen L J 2004 J Appl Phys 96 5353 3 Wigren C Anderson J N Nyholm R and Karlsson U O 1991 J Vac Sci Technol A 9 1942 4 Palenzona A Manfrinetti P Brutti S and Balducci G 2003 J Alloys Compd 348 100 5 Brutti S Galducci G Ciccioli A Gigli G Manfrinetti P and Palenzona A 2003 Intermetallics

11 1153 6 Kubata C Krumeich F Worle M and Nesper R 2005 Z Anorg Allg Chem 631 546 7 Deneen J Perrey C R Ding Y Bapat A Campbell S A Kortshagen U and Carter C B 2005 in

Microscopy of Semiconducting Materials AG Cullis and JL Hutchinson Editors (Springer Berlin) p 323

8 Rubanov S and Munroe P R 2001 J Mater Sci Lett 20 1181 9 Ishitani T Koike H Yaguchi T and Kamino T 1998 J Vac Sci Technol B 16 1907 10 Walck S D and McCaffery J P 1997 Thin Solid Films 308-309 399 11 McCaffrey J P 1991 Ultramicroscopy 38 149 12 McCaffrey J P 1993 Microsc Res Tech 24 180 13 Nowak J D Song S H Campbell S A and Carter C B 2007 Microsc Microanal 13 in press 14 Molnar G Peto G Horvath Z E and Zsoldos E 1994 Appl Phys Lett 64 1679 15 Grytsiv A Kaczorowski D Leithe-Jasper A Tran V H Pikul A Rogl P Potel M Noel H

Bohn M and Velikanova T 2002 J Sol Stat Chem 163 178 16 Chi K S Tsai W C and Chen L J 2003 J Appl Phys 93 153 17 Chi K S and Chen L J 2001 Mater Sci Semicon Proc 4 269

TEM Study of the Silicidation Process in PtSi and IrSi Structures A Łaszcz J Ratajczak A Czerwinski J Kątcki N Breil12 G Larrieu1 and E Dubois1

Institute of Electron Technology Al Lotnikoacutew 3246 02-668 Warsaw Poland 1IEMNISEN UMRS CNRS 8520 Avenue Poincare Cite Scientifique BP 69 59652 Villeneuve drsquoAscq Cedex France 2STMicroelectronics 850 rue Jean Monnet 38926 Crolles France Summary The annealing of PtSi and IrSi structures (300 400 and 500degC) leads to the formation of platinum or iridium silicides respectively However the silicidation process proceeds in different ways in both structures In the PtSi structure the silicidation process is completed at each temperature Annealing of the IrSi structure at 300 and 400degC causes only a partial reaction and the formation of a very thin amorphous iridium silicide layer at the IrSi interface At 500degC the reaction is completed and forms a crystalline silicide layer which consists of two phases 1 Introduction Due to interesting properties of metal silicides such as the thermal stability the low resistivity and the reduced silicidesilicon specific contact resistance metal-silicidesilicon structures are widely used in semiconductor technology Metal silicides based on platinum and iridium silicides show the lowest Schottky barrier to p-type silicon For this reason such silicides have been applied as sourcedrain (SD) contacts in low Schottky barrier MOSFETs on SOI In order to obtain a metal silicide layer the metalsilicon structure must be annealed This technology demonstrates the advantage of low Schottky SD over conventional technologies of implanted SD because it is easier to manufacture due to avoiding the highly doped SD regions [1] In this paper we report transmission electron microscopy (TEM) investigations of the silicidation process in the metalSi structures based on platinum and iridium The studies were focused on the analysis of silicide layer morphology and phase composition as well as the determination of the silicide layer thickness and silicideSi interface quality 2 Experimental Silicide layers were formed by the rapid-thermal-annealing (RTA) of 15 nm thick platinum or iridium deposited on p-type Si substrates for 120 s at 300 400 and 500degC The formation of platinum or iridium silicides was investigated by means of cross-sections transmission electron microscopy (XTEM) Specimens were studied in the JEM-200CX microscope operating at 200kV TEM electron diffraction techniques were used to identify the silicide phases 3 Results and Discussion 31 PtSi Structures As a result of annealing the Pt layer has already transformed into the PtSi layer at 300degC The formation of the PtSi phase at this temperature is confirmed by the analysis of TEM diffraction pattern The diffraction pattern revealed poly-rings which correspond to the PtSi

338 A Łaszcz et al

orthorhombic phase (Fig 1b) The grains in the PtSi layer have various shapes however grains are big enough to form the silicide layer as a single layer of the silicide grains placed side by side (Fig 1a) The higher annealing temperature (400degC) caused an increase of PtSi grain sizes Shapes of most PtSi grains are regular They are observed as squares or rectangles in a cross-section (Fig 1c) At the highest temperature (500degC) mostly elongated grains with rounded shapes of the bottom grain-boundaries can be observed in the PtSi layer (Fig 1d) In the samples annealed at 300 and 400degC the PtSiSi interface is rough (Fig 1a and 1c) The thickness of the newly formed PtSi layer for these samples is about 28-30 nm It is almost the double growth with reference to the initial Pt thickness At 500degC the thickness of the silicide layer close to the grain boundaries is significantly smaller (27-28 nm) while far from the boundaries (in the middle of grains) it is the largest (32-35 nm) The PtSiSi interface is very rough for these conditions (Fig 1d)

Fig 1 TEM cross-sections of the PtSi structure annealed at a) 300degC c) 400degC d) 500degC and b) electron diffraction pattern from the structure annealed at 300degC [011]-orientated Si reflections and poly-rings of the PtSi orthorhombic phase are revealed in the diffraction pattern

The formation of PtSi occurs in two steps Firstly Pt atoms diffuse into the Si to form an intermediate Pt2Si phase Secondly Si atoms diffuse into Pt2Si to form a stable PtSi layer For the conventional furnace annealing the first reaction PtrarrPt2Si takes place at the 245-258degC range [2] or at 261degC [3] while the second reaction Pt2SirarrPtSi ends in the 324-338degC range [2] [3] These two reactions occur sequentially ie the first reaction must be completed before the second reaction occurs However it was also reported in [2] that the Pt2Si formation takes less than 3 s at 300degC for RTA annealing of a 15 nm Pt layer while the full silicidation into PtSi phase requires less than 120 s This result confirms our observations that above 300degC only the PtSi phase exists

TEM Study of the Silicidation Process in PtSi and IrSi Structures 339

32 IrSi Structures The formation of iridium silicide in the IrSi structure is more complicated than the formation of platinum silicide in the PtSi structure Annealing of IrSi structure at 300 and 400degC causes only a partial reaction between Ir and Si and the formation of the amorphous iridium silicide layer at the IrSi interface (Fig 2a and 2b) The thickness of the newly formed silicide layer is about 5 and 7 nm after annealing at 300 and 400degC respectively The IrIr-SiSi interface in both cases is smooth but the top surface of the Ir layer is rough (Fig 2a and 2b) After annealing of the IrSi structure at 500degC (Fig 2c) all the Ir atoms from the initially deposited layer reacted with silicon In consequence a crystalline iridium silicide layer was formed The thickness of this layer is about 28-30 nm while the Ir layer before reaction was only 15 nm thick It demonstrates that the ratio of the iridium silicide layer thickness to the initial iridium layer thickness is very close to two Irregular grains were visible in this layer The top surface of the iridium silicide layer is similarly rough as the top surface of the Ir layer at lower annealing temperatures (300 and 400degC) The silicideSi interface is slightly rough It can be observed that the silicide layer consists of two phases in the form of continuous layers (Fig 2c) The thicknesses of the upper phase and of the phase located below equal about 21-23 nm and about 7 nm respectively An analysis of the electron diffraction pattern revealed clear polycrystalline rings corresponding to the IrSi orthorhombic phase and some reflections (marked by black lines) which can correspond to another IrSix phase (Fig 2d) These reflections are close to some lines corresponding to the IrSi15 monoclinic phase (see Table III in [4])

Fig 2 TEM cross-sections of the IrSi structure annealed at a) 300degC b) 400degC c) 500degC and d) electron diffraction analysis of the structure annealed at 500degC [001]-orientated Si reflections and poly-rings of the IrSi orthorhombic phase (white circles) and IrSix phase (black circles) are revealed in the diffraction pattern

340 A Łaszcz et al

The formation of an amorphous silicide layer in the first stage of the solid-state reaction is caused by a negative enthalpy of metal and silicon atoms mixing in the amorphous phase and is observed in many metal-silicon systems [5] The amorphous layer grows to a maximum thickness about several nanometers When the annealing is prolonged the crystalline phase nucleates and consumes the amorphous phase In our case annealing at 500degC for 120 s (with 15 nm thick initial Ir layer) is sufficient for the formation of the crystalline iridium silicide layer which consists of IrSi and other IrSix (supposedly IrSi15) phase which is placed between IrSi and Si Similar results were obtained in [6] The 20 nm thick Ir film completely reacted with silicon during the annealing at 500degC for 1 h The reaction formed IrSi and a very small amount of the Ir2Si3 (ie IrSi15) phase located between IrSi and Si This result confirms our suggestion that IrSi15 phase can be formed in the sample annealed at 500degC 4 Conclusions The silicidation process in PtSi and IrSi structures was studied by means of TEM In the case of annealing of the PtSi structure the silicidation process is completed at each annealing temperature (300 400 and 500degC) and forms homogeneous platinum silicide layers The silicide layers for all investigated samples consist of single layers of PtSi grains placed side by side These results demonstrated that PtSi is the thermally stable phase in the 300-500degC temperatures range and can be applied successfully as Schottky contacts

A very promising candidate for Schottky contacts potentially even more attractive than PtSi is iridium silicide because it demonstrates a lower Schottky barrier to holes However its formation process is very difficult to optimize because annealing at 300 and 400degC for 120 s causes only a partial reaction between Ir and Si and the formation of the very thin and amorphous iridium silicide layer at the IrSi interface At 500degC the reaction is completed and forms a crystalline silicide layer however the silicide layer is inhomogeneous and consists of two phases It is known that various phases of iridium silicide show different Schottky barrier heights on silicon It is related to the phase composition and microstructure difference between various phases of iridium silicide In order to obtain a homogeneous iridium silicide layer with high quality microstructure and interfaces an optimal temperature for its formation should be found In our previous work we showed that the reaction above 600degC was very intensive and produced iridium silicide layers of a very low quality and electrical performance [7] It can be expected that in the temperature range from 400 to 500degC an iridium silicide layer with good features can be formed The other solution can be annealing for a longer time at 400degC Acknowledgements This publication is based on the research partly supported by the European Commission under the project ldquoMetallic SourceDrain Architecture for Advanced MOS Technologyrdquo (IST-016677 METAMOS) References 1 Dubois E and Larrieu G 2002 Solid-State Electronics 46 997 2 Larrieu G Dubois E Wallart X Baie X and Kątcki J 2003 J Appl Phys 94 7801 3 Stark T Grunleitner H Hundhausen M and Ley L 2000 Thin Solid Films 358 73 4 Petersson S Baglin J Hammer W DrsquoHeurle F Kuan T S Ohdomari I De Sousa Pires J and

Tove P 1979 J Appl Phys 50 3357 5 Demuth V Strunk H P Woumlrle D Kumpf C Burkel E and Schulz M 1999 Appl Phys A 68 451 6 Ohdomari I Kuan T S and Tu K N 1979 J Appl Phys 50 7020 7 Łaszcz A Kątcki J Ratajczak J Larrieu G Dubois E and Wallart X 2004 J Alloys Comp 382

24

The Dielectric Properties of Co-Implanted SiO2 Investigated Using Spatially-Resolved EELS V Stolojan W Tsang and S R P Silva Advanced Technology Institute University of Surrey Guildford GU2 7XH UK Summary Metallic quantum dots implanted in a silicon dioxide thin layer grown on a silicon substrate show promising field emission properties giving an emission current of 1nA at electric fields as low as 5Vmicrom This value is achieved for an implantation dose of 5x1016 ionscm2 compared to 120 Vmicrom for the lowest dose Electron microscopy reveals that the Co dots form metallic spheres with a narrow diameter distribution (1-5nm) Increasing the dose to 7x1016 ionscm2 increases the field emission threshold Using energy loss spectroscopy with high spatial resolution we analyse the dielectric properties across the implanted layers in terms of effective media theories Finally repeatable staircase current-field characteristics were observed in field emission measurements of the higher dose samples when compared to the characteristic Fowler-Nordheim behaviour in the lower dose samples 1 Introduction Nanostructured materials show excellent and interesting electron field emission (FE) properties Although the FE mechanisms of nanostructured materials are still under investigation their physically-confined structures play an important role Ultra-thin dielectric coatings on the emitter and quantum well FE structures show resonant tunnelling characteristics in their FE measurements attributed to two-dimensional electron confinement [1] Furthermore one-dimensional nano-structures such as carbon nanotubes and various types of nanowires achieve an emission current at extremely low applied electric fields (typically less than 5 Vmicrom) [2] Hence it is of great practical and scientific interest to study the FE properties of zero-dimension materials

Metallic quantum dots embedded in dielectric matrices exhibit promising nonlinear optical properties particularly the enhancement of the optical Kerr susceptibility and interesting magnetic properties such as super-paramagnetic effect because of their zero dimensional physical structure [3] Ion implantation is an attractive technique for the synthesis of metallic nanoclusters in dielectric matrices due to the possibility of being able to introduce virtually any metallic element into any dielectric matrix in accurate quantities and at fixed depths In this work Co ions were implanted onto thermally oxidised SiO2 layers on silicon substrates to synthesise Co quantum dots The Co ion does not react chemically with the SiO2 matrix and has a small diffusion coefficient in SiO2 as compared to the Ag ion [4] thus small-sized Co nanoclusters with a narrow size dispersion are obtained Excellent FE properties with threshold fields as low as 5 Vmicrom and repeatable staircase-like I-F characteristics are achieved in these samples 2 Experimental Details 21 Sample Preparation SiO2 layers were grown on n-type phosphorus-doped (100) Si wafers using dry thermal oxidation at 1000 degC for 25 hours The resulting layers were subsequently implanted with 50 keV Co+ ions at room temperature using a 200 kV ion implanter The projected range of 50 keV Co+ ions in a 150 nm-thick SiO2 layer on a Si substrate is found using static Stopping and Range of Ions in Matter (SRIM) simulations to be 43 nm The doses ranged from 1times1016 Co+cm2 to 7times1016 Co+cm2 and

342 V Stolojan W Tsang and S R P Silva

0 2 4 6 8 10 120

10

20

30

40

507x1016 Co+cm2(c)

Frac

tion

[]

d [nm]

0 2 4 6 8 10 120

10

20

30

40

505x1016 Co+cm2(b)

Frac

tion

[]

d [nm]

0 2 4 6 8 10 120

10

20

30

40

503x1016 Co+cm-2(a)

Freq

uenc

y

d [nm]

50 nm

50 nm

50 nm

were confirmed by Rutherford backscattering spectrometry (RBS) measurements with a 156 MeV 4He+ beam Cross-sectional electron microscopy samples were prepared by mechanical grinding and polishing followed by ion beam thinning using a Gatan precision ion beam polisher 22 Instrumentation and Analysis The samples were analysed using a Philips CM200 TEM (LaB6 filament 200keV) fitted with a Gatan imaging filter employing energy loss spectroscopic profiling (ELSP) and in a dedicated scanning transmission electron microscope (STEM- Hitachi HD2300A Schottky field emission 200keV) fitted with a Gatan Enfina spectrometer Low loss spectra were collected across the SiO2 layers and the plasmon energy was measured as a function of position across the implanted layers using a modified Lorentzian function Experimental dielectric functions were extracted from spectra collected from pure SiO2 and Co samples using Kramers-Kronig analysis The FE properties of the samples were studied in a high vacuum chamber with a base pressure better than 5times10-4 Pa The current-electric field (I-F) characteristics were measured using a ldquosphere-to-planerdquo electrode config-uration with a 5 mm diameter stainless-steel ball anode The threshold field Fth is defined as the field strength where the emission current reaches 1 nA 3 Results 31 Characteristics and Field Emiss-ion Properties of the Implanted Layers Figure 1 shows histograms representing the distribution of Co particle diameters in the implanted layers The distributions are

relatively narrow when compared to the other material of choice for implantation for field emission applications Ag The average diameter increases from 18 nm at 3times1016 Co+cm-2 to 36 nm at 5times1016 Co+cm-2 and to 57 nm at 7times1016 Co+cm-2 As the surface of these Co implanted SiO2 layers is atomically smooth as verified from AFM measurements surface-geometry field enhancement can be neglected For the sample implanted at a dose of 1times1016 Co+cm-2 field emission is obtained after high-voltage conditioning which creates conductive channels through dielectric breakdown this was not necessary for the higher dose samples The measured threshold field was 120 Vmicrom As the dose

Fig 1 Statistical distribution of Co particle diameters for three implantation doses Insets Energy-filtered images of the Co-implanted SiO2 at the specified doses

The Dielectric Properties of Co-Implanted SiO2 Investigated Using Spatially-Resolved EELS 343

increases to 3times1016 Co+cm-2 the threshold field drops to 50 Vmicrom and further drops to 5 Vmicrom for the 5times1016 Co+cm-2 implantation dose Increasing the dose again to 7times1016 Co+cm-2 slightly raises the threshold field to 12 Vmicrom [5] In order to understand the relationship between the threshold field and the diameter and concentration of Co particles we look to spatially-resolved low-energy loss spectra in order to assess changes in the dielectric function caused by the quantum confinement of the Co clusters and the screening between adjacent clusters with increasing dose 32 Effective Media Dielectric Theories These theories are based on the derivation of an effective dielectric function (εeff) that describes the behaviour of the composite medium [6] The first of these theories is simple averaging of the dielectric functions for a medium composed from two dielectrics A and B This works well for alloys at least to a first approximation Accounting for some inter-particle interactions the Maxwell-Garnett theory is restricted to small particles of the dielectric A (εA) dissolved in B(εB) (Eq 1)

BA

BABeff )f2()f1(

)f1(2)f21(ε++εminusεminus+ε+

ε=ε (1) where f is the fraction of small particles A

embedded in medium B

This theory however does not predict a percolation threshold for higher values of f and does not treat the media A and B symmetrically

Walsh and Howie [6] improved on the early work of Fujimoto and Komaki [7] to develop an excitation theory based on electron trajectories which accounts also for the excitation of interface plasmon modes at the embedded cluster-embedding medium interface (Eq 2)

( )

ε

minusminus

ε+ε

minus+

ε

minusminus+

+

ε

minusminus

ε+ε

minus+

ε

minus=

ε

minus

BBAext

b

ABAint

Aeff

1Im2

3Img1Imf1

1Im2

3Img1Imf1Im

(2)

Equation 2 is written in terms of the two bulk loss functions Im(-1 εA) and Im(-1 εB) and the interface loss function Im(-3 (εA+ 2εB)) with gint and gext accounting for the interface contribution and the concomitant reduction in the bulk contribution (ie the interface contribution does not superimpose on the bulk contribution but replaces it with an appropriate factor that depends on the impact parameter) The factors gint and gext can be expressed in terms of the filling fraction f the particle diameter d the velocity of the incident electron v and angular frequency ω of the excitation for small spheres and filling fractions

( ) ( ) 1int

1ext vd31gf211g minusminus ω+=+= (3)

For our experimental set-up gintasymp0230d[nm] 4 Results and Discussion Figure 2 displays the bulk plasmon energy traces across the implanted layers with the curves for the first three doses displaced upwards for visibility and comparison The bulk plasmon energy does not show changes associated with Co until the last two doses (5 and 7times1016 Co+cm-2) where there is in the region closest to the field emitting surface a 025 and 02meV decrease in the plasmon energy respectively due to the increased weighting of the Co dielectric function with a bulk plasmon at 209eV both through the filling fraction and the particle diameter We also note that the highest dose sample has a further plasmon energy fluctuation of ~03eV at the bottom of the

344 V Stolojan W Tsang and S R P Silva

implanted layer Figure 3 shows the simulated change in the bulk plasmon energy as a function of the filling fraction (Equations 2 and 3) for the average diameters measured in Fig 1 The slightly larger diameter of Co clusters for the highest dose sample can be responsible for the slightly lower decrease (~200meV) in the bulk plasmon energy for the highest dose sample as the interface plasmons contribute less to the overall spectra This indicates that the highest dose sample does not have a uniform Co implantation layer but rather two regions appear to form at the top and the bottom of the implantation layer with a relatively depleted layer in the middle this could account for

the slight reduction in the good field emitting properties of the highest dose sample and not going over the percolation threshold 5 Conclusion Valence electron energy loss spectroscopy is able to provide information about the structure and electronic properties of Co-implanted SiO2 layers for field emission applications We find that the bulk plasmon energy changes as the implantation dose changes correlated with both the filling fraction and the cluster diameter We observed a non-uniform implantation region appearing for the highest implantation dose which could explain the slight increase in its field emission threshold References 1 Wang R Z et al 2005 Phys Rev B 72 125310 2 Rinzler A G et al 1995 Science 269 1550 3 Townsend P D 1987 Rep Prog Phys 50 (1987) 501 4 McBrayer J F Swanson R M Sigmon T W and Bravman J 1983 Appl Phys Lett 43 653 5 Tsang W M Stolojan V Sealy B J Silva S R P and Wong S P 2007 Ultramicroscopy

Programming ndash Fundamental Theory (London Watts) ch 2 6 Howie A and Walsh C 1991 Microsc Microanal Microstr 2 171 7 Fujimoto F and Komaki K 1968 J Phys Soc Jpn 25 1679

Fig 3 The simulated change in the bulk plasmon energy of Co clusters of two diameters embedded in SiO2 as a function of the filling fraction

Implanted depth

Fig 2 The bulk plasmon energy across the implanted SiO2 layers The spectra have been displaced upwards from the Co7 curve for visibility The simulated implantation depth is ~43nm

Removing Relativistic Effects in EELS for the Determination of Optical Properties M Stoumlger-Pollach1 A Laister2 P Schattschneider12 P Potapov3 and H J Engelmann3 1 University Service Centre for TEM Vienna University of Technology Vienna Austria 2 Institute of Solid State Physics Vienna University of Technology Vienna Austria 3 Materials Analysis Lab AMD Saxony LLC and Co KG Dresden Germany Summary Obtaining correct optical properties from low loss electron energy loss spectrometry data is impossible as long as retardation effects are not taken into account In the present work we discuss three methods of removal of Čerenkov and wave guide losses in order to improve the accuracy of the Kramers-Kronig Analysis of semiconducting materials 1 Introduction Kramers-Kronig Analysis (KKA) is widely used for determination of optical properties both from optical data and from electron energy loss spectrometry (EELS) data of the very low loss region (0-50 eV) ndash which is often called the valence EELS (VEELS) region The advantage of VEELS in combination with transmission electron microscopy (TEM) is the high spatial resolution combined with acceptable energy resolution which is usually 07 eV for thermal Schottky field emitters and down to 01 eV with monochromators If optical properties are to be determined by use of conventional KKA one has to ensure that no relativistic effects alter the low loss signal [1]

Retardation effects such as Čerenkov losses and wave guide modes alter the valence electron energy loss spectrum of semiconductors and insulators as soon as the speed of the probing electron exceeds the speed of light inside the probed medium This leads to the problem that optical properties from these media cannot be determined correctly using EELS if no corrections are applied In this work we present three techniques for solving this problem (i) a reduction of the beam energy can help for some materials by avoiding the excitation of retardation losses (ii) also applying an off-line correction is very reliable and (iii) we use the difference method [2] We demonstrate that Kramers-Kronig Analysis can be applied without experiments on standards if thickness determination using TEM and EELS is accurate enough 2 Čerenkov Losses and Kramers-Kronig Analysis 21 Three Techniques for Solving the Problem of Relativistic Losses in VEELS As soon as the speed of the electron probe ve- is larger than the speed of light within the probed medium (ve- gt c0n) with c0 as the vacuum speed of light and n as the refractive index (which is also dependent on the wave length or the energy loss n = n(∆E)) the Čerenkov radiation emitted by the fast electron shows up in the VEELS spectrum If now the optical properties should be determined by an electron beam technique such as EELS a relativistic correction of the low loss spectrum must be applied in order to retrieve accurate results We therefore suggest three methods for removing any structure not stemming directly from the band structure of the probed material One of those is an iterative routine based on calculating the Čerenkov losses and the surface losses using the equation obtained by Kroumlger [3] which will just be called the lsquoKroumlger

346 M Stoumlger-Pollach et al

equationrsquo below It is the relativistic extension of the ldquoRitchie equationrdquo [4] connecting the energy loss of a probe electron with the loss function of the medium

with S(E) as the single scattering probability per energy D the sample thickness ln[] the angular correction term including the collection semi-angle β and the loss dependent characteristic scattering angle ΘE and I(-1ε) is the loss function The loss function is therefore obtained from the single scattering distribution divided by the angular term and a normalization factor containing the sample thickness and some other constants

The second method is simply a reduction of the beam energy in order not to fulfill the conditions for Čerenkov excitation For the investigated SiNxH material 60 keV is sufficient Last but not least we compare the results with the difference method described in [2] 22 Normalization During the Kramers-Kronig Analysis The most critical step during KKA is the normalization of the loss function obtained after angular correction This normalization is crucial because it defines the accuracy of all optical properties obtained via KKA This means that a wrong normalization leads to wrong optical data In principle one has four parameters for normalization a) a known refractive index b) the free electron plasma energy (which in general differs from the maximum in the VEELS spectrum because the free electron approximation is not valid in a semiconductor or insulator ndash even in metals it is only a rough approximation) c) the sample thickness (which can be determined by using EELS with an accuracy of about 10) and d) the mean free path for inelastic electron scattering at the given incident beam energy (which in general is also not well known)

In the present work we determined the normalization constant using the sample thickness The results were compared with optical data and are in perfect agreement 3 Experimental 31 Off-Line Removal Routine

Fig 1 Left Experimentally obtained single scattering distribution for 60 kV (continuous) and 200 kV (dashed) electrons of a 46 nm thick SiNxH film The insert shows the respective simulation using the Kroumlger equation and optical data from [5] Right Loss function of the 60 kV experiment compared with the one from the 200 kV experiment Retardation effects were iteratively removed in the latter case The insert demonstrates the high concordance in the bandgap region

Removing Relativistic Effects in EELS for the Determination of Optical Properties 347

The off-line removal routine is based on the Kroumlger equation starting with the optical properties obtained from a spectrum altered by retardation losses Feeding them into the Kroumlger equation leads to an even worse altered spectrum which is used to calculate the difference from the original one This difference is then subtracted from the experimentally obtained single scattering distribution KKA is performed giving new optical data fed into the Kroumlger equation This routine runs as long as the stopping criterion is not fulfilled which says that the total integral over the whole spectrum after the iteration cycle must not differ by larger than 001 from the original one This is usually reached after three to five iterations depending on the accuracy of the normalization 32 Reduction of Incident Beam Energy As shown in Figs 1 and 2 decreasing the incident beam energy can prevent retardation losses unless the condition for their excitation is not fulfilled In the case of SiNxH 60 kV is sufficient as the calculation in Fig 1 shows For this calculation optical data [5] are used Although the optical data were obtained from polycrystalline stoichiometric Si3N4 whereas the investigated material is amorphous and hydrogenated the agreement with the experiment is excellent 33 The Difference Method Due to the fact that Čerenkov losses (ČL) and wave guide modes (WGM) are restricted to small scattering angles q there is a possibility to blank them out For this purpose two spectra are recorded under the same conditions except of the collection semi-angle β If now the spectrum recorded using the smaller β is subtracted from the one obtained by using the larger β the center of the q-E diagram is blanked out and so are the ČL and WGM

Fig 2 Comparison of the difference method with an experiment at 60 keV beam energy and an experiment at 200 kV using a camera length of 200 mm (β = 088 mrd) Insert Schematic drawing of the geometry used in the difference method

For the presented experiment the camera lengths of the transmission electron microscope were chosen to be 100 mm and 200 mm in order to realize β2 = 176 mrd and β1 = 088 mrd respectively As shown in Fig 2 an excellent agreement between the difference spectrum and the recording using 60 keV beam energy can be found 4 Optical Properties The single scattering distribution after removal of retardation effects can directly be used for KKA in order to determine the optical properties of the SiNxH sample For the normalization we determined the sample thickness very carefully by using EELS Then the mean free path for inelastic scattering can be calculated easily and used for all further normalizations of

348 M Stoumlger-Pollach et al

measurements on the same specimen In order to prove the accuracy of the thickness determination we compared the resulting refractive index (Fig 3) with an optical measurement using 630 nm wave length (asymp 197 eV) As shown in Fig 3 both the 60 kV and 200 kV experiment show a good agreement over nearly the whole energy range The only discrepancy can be found at the interband transition onset where the iterative removal routine added some noise into the loss function The small fluctuation in the loss function is shown in detail in Fig 1 (right)

Fig 3 Refractive index obtained from the 60 kV experiment after classical surface loss removal compared with the iteratively corrected 200 kV experiment The agreement over nearly the whole energy range is very good thus proving the reliability of the iterative removal routine The normalization was performed using the sample thickness

5 Conclusions Using the Kroumlger equation retardation effects can be calculated very accurately This knowledge can either be used for setting up an iterative routine which enables one to remove the retardation losses off-line during data treatment Further on one can calculate the maximum incident beam energy at which no retardation effects appear For the present case 60 kV is sufficient Last but not least the result of the Kroumlger equation if plotted in an E-q diagram (as is shown in Fig 4) gives information about which collection semi-angles should best be chosen for the difference method in order to minimize alteration of the low loss spectrum by all the retardation effects and wave guide modes In general one can say that the smaller collection semi-angle shall be not smaller than 002 mrd When using the difference method care has to be taken during the angular correction within the KKA [2] Finally one should point out that neither the optical properties nor the band gap can be determined accurately from VEELS spectra of semiconductors if retardation losses are not eliminated precisely

References 1 Stoumlger-Pollach M et al 2006 Micron 34 396 2 Stoumlger-Pollach M and Schattschneider P Ultramicroscopy in Press 3 Kroumlger E 1968 Zeitschrift f Physik 216 115 4 Ritchie R H 1957 Phys Rev 106 874 5 Palik E D 1985-1991 Handbook of optical constants of solids (Orlando FL US)

Fig 4 E-q diagram of 46 nm thick SiNxH at 200 kV

Analytical STEM Comparative Study of the Incorporation of Covalent (Ge) or Heterovalent (As) Atoms in Silicon Crystal R Pantel L Clement1 L Rubaldo G Borot and D Dutartre STMicroelectronics 850 Rue Jean Monnet F-38926 Crolles France 1NXP semiconductors 860 Rue Jean Monnet F-38926 Crolles France Summary In this paper we use STEM analytical techniques to compare the incorporation of Ge and As atoms in silicon First we show that STEM EDX EELS and HAADF imaging can give 2D quantitative mapping with nanometre resolution and 1019 cm-3 detection limit In parallel STEM CBED can give locally the lattice parameter change induced by the incorporated atoms As expected we found that in SiGe alloys the lattice parameter increases On the contrary and surprisingly inside highly As doped silicon areas the lattice parameter decreases This could be due to the interaction or clustering of arsenic vacancies 1 Introduction The alloying of germanium with silicon is more and more used for gap modulation in BiCMOS or to create strained structures in CMOS to improve electron mobility In parallel highly doped ultra thin junctions must be developed for short channel MOS or FET transistors Measurement of 2D distribution and atomic scale properties (type of site of incorporated atoms) become critical to improve the technology In this paper we evaluate four STEM analytical techniques to extract this kind of information The work concentrates on a comparative study of the incorporation of Ge (homovalent) and As (heterovalent) atoms in silicon 2 Experimental Set-Up The Si(Ge) Si(As) samples fabricated by CVD epitaxy were thinned using a gallium focused ion beam (FIB) in a dual beam FEI STRATA 400 with a final cleaning at low energy (5 keV) The analytical STEM was carried out at 200 keV using a TECNAI F20 microscope equipped with FEG EDX (EDAX) energy filter (Gatan GIF 2000) and STEM HAADF (Fischione) detector 3 STEM Quantitative Mapping Techniques (EDX EELS Z-contrast) In analytical STEM two spectroscopy techniques energy dispersive X-ray (EDX) and electron energy loss spectroscopy (EELS) are currently used for point line profile or map analysis STEM imaging with large angle detection (HAADF) is known to give Z-contrast but is thought to be more qualitative In this study we demonstrate that the three techniques can be used for precise quantification of Si(Ge) and Si(As) Figure 1 shows EDX EELS spectra and STEM Z-contrast profiles acquired in buried Si(Ge 3) and Si(As 16) layers (50 and 40 nm thick) The high signalnoise ratio of EELS spectra or Z-contrast profiles and the low EDX spectrum background suggests that the three techniques have potentially a detection limit below 01 (ie in the low 1019 cm-3 range) For reproducible quantification the methods should be clearly defined with fixed parameters In the case of EELS we use a 170 eV energy loss window width for the ionisation edge extraction and fitting by Hartree Slater model cross sections An example of such fit is shown in Fig 2 The cross section model is satisfying for Si-K but not totally for Ge-L and As-L Therefore we correct the results by a KE factor (KE = 133) deduced from a reference sample

350 R Pantel et al

presented in Fig 3 For EDX we use the Ge-KSi-K and As-KSi-K ratio (total counts in EDX peaks) and a KX factor multiplication (KX = 086 deduced from reference) This raw processing is far less noisy than the quantification in the EDAX software

Fig 1 EDX EELS spectra and STEM Z-contrast profiles a) Si(Ge 3) b) Si(As 16) in Si

Fig 2 Ionisation edges cross section fitting using Hartree Slater models for Ge As and Si The STEM DF images are acquired using the annular detector at 80 mm camera length (ie collection angle between 60 and 180 mRad) The signal obtained is sensitive to the atomic number of the atoms in the lamella because the scattering cross sections are strongly Z dependent We assume (thin sample case) that Zcont [Si] = Si and Zcont [Si(1-x)Gex] = (1-x) Si + x Ge From the SiGe reference sample we obtain Ge Si = 49 which gives a Z192 law for the Z-contrast dependence This is mainly Rutherford scattering (Z2 law at high angle) rather than thermal diffuse scattering (Z32 law at lower angle)

Figure 3 presents EDX and EELS analyses of the reference sample (SiGe multilayer stack calibrated using SIMS and X Rays diffraction) The perfect linearity of the plot confirms the reference quality and suggests that EDX and EELS quantification precision is better than 01

Table 1 summarises the quantification results using EDX EELS Z-contrast applied to Si(Ge) and Si(As) layers The good agreement between the three techniques confirms the precision (about 01 ) of the quantifications This suggests also that Ge and As have similar properties (cross sections K factors) in spectroscopy (EDX or EELS) experiments

Analytical STEM Study of the Incorporation of Ge or As Atoms in Silicon Crystal 351

Fig 3 EDX Ge-KSi-K and EELS Ge-LSi-K experimental plot versus expected ratio obtained on the reference sample (SiGe multilayer calibrated using SIMS and X Ray diffraction)

Technique Sample

EDX Quanti Si(1-x)Gex (Asx)

EELS Quanti Si(1-x)Gex (Asx)

Z-contrast Quanti

Z-contrast cross sections

Z-contrast Law Zn

SiGe layer1 reference

x = 00389 +- 00010

x = 00389 +- 00010

x = 00389

σGe σSi = 490 +- 010

Z192

Si(Ge) x = 00315 +- 00010

x = 00310 +- 00010

x = 00320

σGe σSi = 495 +- 010

Z192

Si(As) x = 00160 +- 00005

x = 00155 +- 00005

x = 00157

σAs σSi = 519 +- 010

Z192

Table 1 Summary of EDX EELS and Z-contrast quantification results of three samples SiGe layer 1 reference (389 Ge) SiGe(3) and highly As doped SiAs(16)

4 Lattice Parameter Measurement Using CBED The introduction of impurities into a perfect crystal generates local lattice change In the case of relaxed Si(1-x)Gex alloys the monovalent Ge atoms replace the Si atoms (substitutional site) and induce a parameter increase proportional to the concentration x (∆aa = + 00418 x misfit 418) When the Si(1-x)Gex layer is bi-axially strained by the Si bulk the cubic lattice is elongated (tetragonal) Parallel to the interface a = b = aSi perpendicularly ∆cc = + 00746 x (Poisson correction) [1] This strain and the lattice parameters can be precisely measured using the convergent electron beam diffraction (CBED) technique [2] Figures 4a and 4b present the CBED patterns acquired along the [230] axis in Si and in the buried SiGe(3) layer The narrow black lines (HOLZ lines) correspond to (hkl) lattice planes in the exact Bragg condition [2] Therefore their relative positions reflect the crystal lattice 3D geometry By comparing the Figs 4a and 4b one observes HOLZ line shifts revealing a crystal strain The modelling of these Si and SiGe patterns [2] shows that in the SiGe a = b = 05431 nm and c = 05443 nm (ie εzz = + 0221 ) This is exactly coherent with a bi-axially strained SiGe(3) layer and demonstrates that the Ge atoms are almost totally placed in substitutional sites

The case of As (heterovalent) in Si is less studied than that of Ge The dopant is only active if it is placed in substitutional sites The misfit induced by such active As atoms is not known Is it comparable to Ge in SiGe Also for highly As doped silicon most of the As atoms can be inactive and their atomic sites are not known Figures 4c and 4d show the [230] axis CBED patterns acquired in Si and Si As doped (about 16 ) It is clear that the HOLZ line shift directions are opposite compared to the SiGe CBED pattern (see in particular the arrows in Fig 4b and Fig 4d)

352 R Pantel et al

Fig 4 CBED patterns acquired along [230] axis in a) Si b) buried SiGe(3) c) Si d) buried Si(As) layers The arrows show a shift in the opposite direction in SiGe compared to in SiAs The modelling of these CBED patterns shows that in the Si(As) layer a = b = 05431 nm and c = 05422 nm (ie εzz = - 0166 ) Clearly the lattice shrinks compared to pure Si At equivalent AsGe concentration the lattice change in Si(As) is larger but opposite to that in Si(Ge) Similar lattice shrinkage observation (by XRD) in As implanted silicon was already reported [3] and the interpretation given was a lattice compression induced by the conduction electrons Another possible origin could be AsnVm complexes (V vacancies) predicted in the literature [4] by energy formation calculation or indirectly observed by positron annihilation [5] In [5] V-As3 is pointed to as the most thermally stable defect complex These clusters which cannot be directly observed by microscopy may explain the lattice shrinkage and the dopant deactivation Other STEM studies with variable As concentration and annealing should be carried out to discriminate between active dopants and inactive species The objective should be the identification of AsnVm complexes through lattice parameter change and electrical activation measurements 5 Conclusion This study shows that STEM techniques (EDX EELS Z-contrast) allow nanometre mapping of Ge or As dopants with about 1019 cm-3 concentration limit Moreover CBED gives information about site localisation and lattice parameter change Surprisingly when comparing GeSi alloys and highly As doped silicon one observes an opposite lattice parameter change The origin could be the As dopant inactivation by clustering and interaction with vacancies References 1 Hornstra J and Bartels W J 1978 J Cryst Growth 44 513 2 Senez V Armigliato A et al 2003 J Appl Phys 94 5574 3 Cargill G S et al 1988 Phys Rev Lett 61 1748 4 Ramamoorthy M and Pantelides S T 1996 Phys Rev Lett 76 4753 5 Ranki V and Saarinen K 2004 Phys Rev Lett 93 255502

Lattice Location Determination of Ge in SiC by ALCHEMI T Kups M Voelskow1 W Skorupa1 M Soueidan2 G Ferro2 and J Pezoldt3 FG Werkstoffe der Elektrotechnik Institut fuumlr Mikro- und Nanotechnologien TU Ilmenau PO Box 100565 98684 Ilmenau Germany 1FWIM Institut fuumlr Ionenstrahlphysik und Materialforschung FZ Dresden Postfach 510119 01314 Dresden Germany 2Laboratoire des Multimateacuteriaux et Interfaces UMR-CNRS 5615 Bacirct Berthollet UCB Lyon 1 43 Bd du 11 Nov 1918 69622 Villeurbanne Cedex France 3FG Nanotechnologie Institut fuumlr Mikro und Nanotechnologien TU Ilmenau PO Box 100565 98684 Ilmenau Germany Summary The incorporation of Ge into cubic and hexagonal silicon carbide is compared for three different doping methods ion-implantation molecular beam epitaxy and liquid phase epitaxy The lattice location of Ge was determined by the transmission electron microscopy based method ALCHEMI (atomic location by channelling enhanced microanalysis) Depending on the method used for incorporation Ge is able to occupy different silicon carbide lattice locations 1 Introduction Impurity incorporation allows tuning of the properties of semiconductor materials Depending on the concentration and the type of the incorporated impurities the carrier concentration the absorption coefficient the lattice constant and band structure can be changed The last effect can be achieved by forming solid solutions Combining materials with different band structures and similar lattice constants heterostructures can be fabricated allowing the manufacture of advanced electronic optoelectronic and sensor devices

In the case of silicon carbide (SiC) the incorporation of foreign atoms has been studied for group II III and V impurities to achieve p- and n-type doping [1] [2] [3] Doping with other impurities like vanadium was also investigated in order to achieve highly resistive or semi-insulating SiC [2] [4] Up to now less attention was paid to the role of group IV elements in SiC These elements belong to the group of isoelectronic impurities and exhibit a relatively high solid solubility of approximately 1020 cm-3 at 2500 K in SiC [5] In [6] it was shown that Ge may change the incorporation and the lattice site location of nitrogen Furthermore the doping of silicon carbide with Ge increases the conductivity and the carrier concentration [7] and reduces the contact resistivity [8]

During epitaxial growth group IV elements affect the polytype stability At high temperatures in the sublimation growth environment the stabilization of 4H-SiC was observed when a group IV elements were added to the vapour phase [9] In the case of liquid phase epitaxy at low growth temperatures Ge addition supports the formation of 3C-SiC [10] In heteroepitaxial growth of SiC on Si the incorporation of Ge into the heterointerface reduces the residual stress [11] changes the SiC stoichiometry [12] and improves the electronic properties of the heterojunction [13]

In compound semiconductors the impact of the impurity depends not only on the concentration but also on the lattice site [1] Unfortunately there are only a few studies on the lattice site incorporation of the group IV elements In the case of Ge this atom seems to occupy preferentially the Si site [5] [14] [15] From this point of view it is important to know which lattice site will be occupied and if the lattice site can be influenced by the incorporation method For this reason lattice site occupation of Ge as a representative element of group IV isoelectronic dopants was investigated The lattice site occupation for three different incorporation techniques

354 T Kups et al

was studied namely low temperature solid source molecular beam epitaxial growth (SSMBE) liquid phase epitaxy in a Ge-Si melt using the vapour-liquid-solid (VLS) technique at higher temperature than SS-MBE and ion implantation 2 Experimental 21 Sample Preparation The low temperature epitaxial growth was carried out at a substrate temperature of 950degC using Balzers SSMBE equipment Electron beam evaporators were used for Si and C whereas Ge was supplied by an effusion cell The epitaxial growth was carried out on a (3x3)-Si reconstructed 4H-SiC surface with Si-face polarity This reconstructed surface supports two dimensional epitaxial growth exhibiting a 139 ML Si coverage [16] and high adatom mobility [17 18] As a first step carbon was deposited at the beginning of the growth process The duration of the carbon deposition was controlled by the intensity of (3x3)-Si reconstruction streaks and interrupted when the Si mediated surface reconstruction vanished completely After an interruption of 10 s the sample was exposed to simultaneous Ge and Si fluxes The Ge to Si flux ratio was 006 The duration of the 4H-SiC surface exposure to the Ge and Si beams was continued up to the moment when the (13 13) order reconstruction streaks of the (3x3)-Si surface reconstruction reached their maximum Subsequent to an interruption of 10 s the deposition was continued with the next C and SiGe cycle The final thickness of the grown 3C-(Si1-xC1-y)Gex+y was approximately 100 nm

The high temperature epitaxial VLS growth was realized in a vertical cold wall reactor described in [10] High purity Ar was used as the vector gas and propane 5 diluted in H2 was used as the reactant The substrate was a Si face oriented on axis (0001) 6H-SiC crystal To improve the wetting at the alloy melting temperature a Si layer was deposited on the substrate [19] The Ge and Si pieces were stacked on top of the seed inside a 2 mm deep graphite crucible The crucible was then heated under 5000 sccm (standard cubic centimetre per minute) Ar up to the temperature of 1530degC At this point 3 sccm propane was introduced in the reactor for 5 min This high temperature nucleation step was followed by 1h growth at lower temperature (1220degC) in order to limit the melt evaporation After finishing the epitaxy the propane was removed from the reactor and the melt was sucked in by dipping into the melt a graphite tube connected to a vacuum pump The melt composition was Si25Ge75 The final thickness of the Ge doped 3C-SiC layer was 1 microm

A three-fold ion implantation of Ge at 600degC was carried out so that a box like Ge distribution was formed The implantation sequence consists of the following implantation steps (1) 200 keV (2) 85 keV and (3) 30 keV The implantation doses were 603x1015 cm-2 241x1015 cm-2 and 121x1015 cm-2 respectively 4H-SiC was used as a substrate material 22 Method of Investigation ALCHEMI (atomic location by channelling enhanced microanalysis) was used to determine the site occupancy of dopants in the SiC matrix ALCHEMI is a method which permits one to determine the site occupancy [20] [21] [22] [23] when the dopant concentration in the samples is in the range of 02 to 5at For higher dopant concentration the amount of dopants in the crystalline lattice can influence and disturb the channelling conditions

The ALCHEMI method is based on the following electron ndash solid state interaction If high energy electrons propagate through a single crystal they undergo channelling ie electron density appears concentrated either along atomic columns or in interstitials depending on crystal thickness and orientation This effect is most naturally described by thicknessorientation dependent excitation of Bloch waves The propagating electron wave is then represented as a superposition of all Bloch states If the orientation of the sample approaches a special direction (close to a Bragg reflection or to a low index zone axis) where crystal atoms can be separated in projection only a

Lattice Location Determination of Ge in SiC by ALCHEMI 355

few Bloch states dominate the wave function resulting in an inhomogeneous illumination of the unit cell Under such conditions atoms at one crystallographic site are ionized with higher probability compared with those at another site and consequently the ratios between the intensities of the emitted characteristic X-ray lines change This orientation dependent behaviour of the energy dispersive X-ray analysis (EDX) signal complicates the quantitative interpretation of EDX spectra ALCHEMI turns this drawback into an asset by measuring these altered line intensities and deduces the position of a minority component in the unit cell from the magnitude of this change compared with the change in the X-ray intensities of the main components which are used as internal standards [21] [24] The stimulated X-ray emission depends on the relative excitation of different Bloch states which represents the formation of periodic electron waves inside the crystal due to the periodic structure of the crystal

The zone axis [01-10] of 4H-SiC (for the sample prepared by ion-implantation) and [110] of 3C-SiC (for the samples grown by solid source molecular beam epitaxy and liquid phase epitaxy) were chosen for the experiments because at this zone axis the lattice sites of Si C and interstitials are separated in projection so that only rows of the same element in beam direction are formed This elemental separation allows additionally the separation of Bloch states of the Si C and interstitial sites Parallel beam conditions are very important to get accurate results Si C and Ge EDX-signals were recorded at these zone axes for tilts of 05g up to 25g with g = 0004 (ion-implanted 4H-SiC) g = 220 (for MBE grown 3C-SiC) and g = 002 (for VLS grown 3C-SiC)

Bloch wave calculations for ALCHEMI were performed using the program ldquombfitrdquo of Tsuda and Tanaka [25] to determine the dispersion surfaces and excitation of Bloch states This program package incorporates the scattering factors of Doyle and Turner [26] The periodicity of Bloch waves leads to a periodic electron density distribution which results in a higher X-ray excitation probability which can be measured as EDX-signal

For the investigation of the lattice site occupation of Ge in SiC the following algorithm has been applied measurement of tilt dependence of EDX signal Bloch wave calculation of tilt dependence of the excitation of selected Bloch states and comparison of measured and simulated dependencies For statistical reasons every measurement was carried out three times For the experimental investigation the analytical TECHNAI S20 TEM equipped with a LaB6 cathode was used at a voltage of 200 kV with an EDAX EDX system with a Si detector Because of the strong thickness dependency of the Bloch state excitation the same specimen thickness in calculation and experiment has to be observed The calculations were performed for a specimen thickness of 150 nm The experimental thickness was adjusted by comparison of thickness fringes appearing in the background of the central disk in CBED patterns with simulated patterns 3 Results and Discussion Figure 1 shows the results of Bloch wave calculations They were carried out to determine the excitations of atoms on lattice and interstitial sites for ALCHEMI if the beam was tilted in the denoted way for a calculated specimen thickness of 150 nm The Bloch state excitation curves [110] 3C-SiC for tilts along g220 and [01-10] 4H-SiC for tilts along g0004 are similar They differ only in the amplitude of the Bloch state excitation For this reason only the graph for [01-10] 4H-SiC is shown

The accuracy of the measurement was increased by analysing and comparing only relative changes to the strong Si signal This approach avoids the influence of the statistical fluctuations of the absolute count numbers The C signal cannot be analysed using this approach because of the carbon contamination during the measurement which led to a continuous change in the carbon signal For this reason the X-ray signal of Ge was measured and divided by the X-ray signal of Si for all chosen tilt conditions eg for [01-10] 4H-SiC with g0004 and [110] 3C-SiC with g220 respectively As an alternative tilting direction for [110] 3C-SiC the ALCHEMI investigation was undertaken for g002

356 T Kups et al

To verify the interpretation of the measurement results simulations of the Bloch state excitation which are directly correlated to the X-ray emission were carried out These simulated excitation amplitudes are presented in Fig 1a in the case of tilt conditions for [01-10] 4H-SiC with g0004 and [110] 3C-SiC with g220 Figure 1b represents the relative excitation amplitudes with respect to the Si signal The curves of the Bloch state excitation amplitudes are clearly separated depending on the tilt conditions The relative excitation amplitude for the carbon lattice site shows a maximum around 19 g0004 and 19 g220 in the case of [01-10] 4H and [110] 3C-SiC respectively whereas for the interstitial site the relative excitation amplitude displays a maximum at 28 g0004 and 19 g220 Due to the normalisation procedure the Si site excitation is independent of the tilt

Figures 2a and 2b display the calculated graphs for the excitation amplitude and the relative

normalised excitation amplitude of the [110] 3C-SiC tilt dependence in the direction of g002 As in the case of the reciprocal lattice vector g220 the different lattice site excitations show a distinct different behaviour depending on the location of Ge The normalised relative excitation amplitude for the carbon lattice site exhibits a continuous increase The interstitial site shows two maxima in the range of the chosen tilt conditions They are located around 15 g002 and 30 g002 As in the former case the normalisation procedure led to a Si site excitation independent on the tilt

Fig 1a Excitation amplitude of the Bloch states for [01-10] 4H-SiC and [110] 3C-SiC versus the reciprocal lattice vector in units of g0004 and g220respectively (150 nm sample thickness)

Fig 1b Relative excitation amplitude of the Bloch states for [01-10] 4H-SiC and [110] 3C-SiC relative to the Si signal versus the reciprocal lattice vector in units of g0004 and g220 respectively (150 nm sample thickness)

Fig 2a Excitation amplitude of the Bloch states for [110] 3C-SiC as a function of the reciprocal lattice vector in units of g002(150 nm sample thickness)

Fig 2b Relative excitation amplitude of the Bloch states for [110] 3C-SiC relative to the Si signal as a function of the reciprocal lattice vector in units of g002 (150 nm sample thickness)

Lattice Location Determination of Ge in SiC by ALCHEMI 357

Figure 3 shows CTEM images of all investigated samples with encircled areas to mark the region used for ALCHEMI investigations

The results of the ALCHEMI measurements are presented in Fig 4a in the case of ion

implantation into 4H-SiC and SSMBE of 3C-(Si1-xC1-y)Gex+y on 4H-SiC In Fig 4b the measurement results for the Ge doped 3C-SiC grown by VLS are shown For the ALCHEMI interpretation it is essential to recognize that the experimental graphs represent an overlay of all possible dopant lattice site occupations (Figs 1a and 1b Figs 2a and 2b) which can occur in the sample (Ge at Si C and interstitial sites) so that a 11 fit of experiment and simulation is not possible

Comparing Fig 4a with Fig 1b the strong increase of the Ge X-ray signal depending on the tilt

of the beam in relation to the measured Si X-ray signal corresponds to the behaviour of the normalized relative excitation curve for the interstitial position Therefore for the ion implanted sample the Ge dopant atoms are mainly located on interstitial sites

Fig 4a ALCHEMI results of the Ge ion implanted 4H-SiC and the SSMBE grown 3C-SiC sample The comparison with the simulated graphs of Fig 1b indicates the main occupation of Ge on interstitial sites for ion-implantation and on Si sites for MBE grown samples

Fig 4b ALCHEMI result of the Ge doped 3C-SiC grown by LPE The comparison with simulated graphs of Fig 2b indicates the main occupation of Ge on interstitial sites due to the maximum at 15 g002 with a value significantly above 1

Fig 3 Overview XTEM images of the Ge doped samples (a) MBE growth of 3C-SiC on 4H-SiC (b) VLS growth of 3C-SiC on 6H-SiC and (c) ion implantation into 4H-SiC where the maximum of the dopant concentration is situated about 100 nm below the surface The circles mark the area where ALCHEMI investigations were performed

358 T Kups et al

For the interpretation of the ALCHEMI results of Ge doped 3C-SiC layers grown by SSMBE (Fig 4a) the simulation of Fig 1b has to be used The almost horizontal graph around the value 1 (including error bars which are about 5-7) indicates by comparison with Fig 1b that in this sample the dopant atoms are mainly located on Si sites

The ALCHEMI result of the VLS grown sample shows a significant maximum at a tilt of 15 g002 followed by a decrease of the measurement signal taking into account the measurement error In comparison with Fig 2b this behaviour can be interpreted as Ge dopant location on interstitial sites because the simulations show a maximum in the normalized excitation amplitude only for the interstitial site occupation with this tilt condition References 1 Ikeda M Matsunami H and Tanaka T 1980 Phys Rev B 22 2842 2 Lebedev A A 1999 Semiconductors 33 107 3 Greulich-Weber S 1997 phys stat sol (a) 162 95 4 Dalibor T Pensl G Matsunami H Kimoto T Choyke W J Schoumlner A and Nordell N 1997

phys stat sol (a) 162 199 5 Reshanov S A Parfenova I I and Rastegaev V P 2001 Diamond and Related Materials 10 1278 6 Kalabukova E N Lukin S N Shanina B D and Mokhov E N 1990 Sov Phys Solid State 32

465 7 Weih P Stauden Th Cherkashinin G Teichert G Shokhovets S Voelskow M Skorupa W

Ambacher O and Pezoldt J 2004 Proc 8th Intern Workshop on New Approaches to High-Tech Nondestructive Testing and Computer Simulations in Science and Engineering St Petersburg St Petersburg Academy of Sciences C1

8 Katulka G Roe K Kolodzey J Eldridge G Clarke R C Swann C P and Wilson R G 2001 Appl Surf Sci 175-176 505

9 Vodakov Yu V Lomakina G A and Mokhov E N 1982 Sov Phys Solid State 24 780 10 Soueidan M Ferro G Stoemenos J Polychroniadis E K Chaussende D Soares F Juillaguet S

Camassel J and Monteil Y 2006 Mater Sci Forum 527-529 287 11 Zgheib Ch Masri P Weih P Ambacher O and Pezoldt J 2004 Mater Sci Forum 457-460 301 12 Zgheib Ch Kazan M Weih P Ambacher O Masri P and Pezoldt J 2005 phys stat sol (c) 2

1284 13 Pezoldt J Foumlrster Ch Weih P and Masri P 2001 Appl Surf Sci 184 80 14 Guedj C and Kolodzey J 1999 Appl Phys Lett 74 691 15 Diani M Kubler L Simon L Aubel D Matko I and Chenevier B 2003 Phys Rev B 67

125316 16 Starke U Schardt J Bernhardt J Franke M Reuter K Wedler H Heinz K Furthmuumlller J

Kaumlckell P and Bechstedt F 1998 Phys Rev Lett 80 758 17 Tanaka S Kern R S and Davis R F 1994 Appl Phys Lett 65 2851 18 Fissel A Schroumlter B and Richter W 1995 Appl Phys Lett 66 3182 19 Soueidan M Ferro G 2006 Adv Funct Mater 16 975 20 Spence J C and Tafto J 1983 J Microscopy 130 147 21 Tafto J 1982 J Appl Cryst 15 378 22 Kaiser U 2001 J Electr Microsopy 50 251 23 Frommeyer G Fischer R Deges J Rablbauer R and Schneider A 2004 Ultramicroscopy 101

139 24 Labagraver J L 1999 Appl Phys Lett 75 70 26 Tsuda K and Tanaka M 1999 Acta Cryst A 55 939 27 Doyle P A and Turner P S 1968 Acta Cryst A 24 390

Moores Law and its Effect on Microscopy in the Semiconductor Industry John Mardinly

Intel Corporation 2200 Mission College Blvd SC9-7 Santa Clara CA 95054 USA Summary In 1965 Gordon Moore predicted that the number of components in an integrated circuit would double every year [1] The drive for higher performance with greater economy has been a major factor in the pursuit of Moorersquos Law Device scaling is expected to continue without interruption and products manufactured using a nominal 22nm feature size should become commercially available by the year 2011 This paper will detail the technology enablers that make Moorersquos Law possible and the improvements in microscopy techniques required to meet the challenges that Moorersquos Law presents 1 Introduction Gordon Moorersquos observation of a limited data set in 1965 was not just a recognition of a technological phenomenon it was a recognition of an economic principle Improvements in technology made it possible to fit more transistors in less space but the strongest motivation for doing so was economic Failure to maximize the number of transistors per unit area would result in higher costs due to failure to take advantage of technology However putting too many transistors in too little space could result in too many transistors not working which would result in higher costs since non-functional parts cannot be sold Moore predicted an economic advantage of finding a lsquosweet spotrsquo for the pace of shrinking transistors to put more of them in less space As the feature size shrinks there are new demands on electron microscopy support of the new process technology 2 Semiconductor Industry Growth Moorersquos Law has stimulated tremendous growth in the semiconductor industry Figure 1 shows how the number of transistors manufactured and sold each year has increased nine orders of magnitude between 1968 and 2002 At the same time the cost per transistor has decreased nearly seven orders of magnitude resulting in semiconductor industry revenue growth from $3 billion in 1968 to $300 billion in 2002 3 Transistor Shrinkage Moorersquos Law predicted in 1965 an annual doubling in the number of transistors per chip but that was adjusted to doubling every two years in 1975 Figure 2 shows how that prediction has held true in both microprocessors and memory for over three decades In order to accommodate the increased number of transistors the feature size on each chip has been shrunk accordingly Figure 3 shows how the nominal size of features has maintained a steady logarithmic shrink over three decades The only deviation from that trend has been that the transistor gates have been shrunk even faster to produce speedier devices

362 John Mardinly

Fig 1 Data from thirty-four years of semiconductor industry growth show nine orders of magnitude growth in the number of transistors shipped with seven orders of magnitude reduction in cost per transistor [2]

gt 7 Orders of Magnitude Reduction in Price per Transistor

Moores Law and its Effect on Microscopy in the Semiconductor Industry 363

Fig 2 Exponential growth in the number of transistors per die has resulted in integrated circuits today with over 100 million transistors [2]

Fig 3 Exponential reduction in feature size has continued without interruption The transistor gate length shrinkage rate has actually exceeded Moorersquos law [2]

364 John Mardinly

The changes in microprocessors are quite startling when the first microprocessor is compared with the latest model about to be premiered The first microprocessor produced in 1971 had 2300 transistors with a feature size of 10 micrometers as shown in Fig 4 Intelrsquos newest microprocessor scheduled to be released late in 2007 has 410 million transistors with a nominal feature size of 45 nanometres as shown in Fig 5 According to Fig 3 the 45 nanometre devices should have a gate length of only 25 nanometres

Fig 4 Intelrsquos first microprocessor produced in 1971 had 2300 transistors and a gate length of 10 micrometres [2]

Fig 5 Intelrsquos newest microprocessor to be introduced later this year has 410 million transistors with a gate length of 25 nanometres [2]

4 Advanced Transistors Long-range planning for development and production of ever-smaller transistors requires a lsquoroadmaprsquo of dimensions and technologies Figure 6 shows a recent transistor roadmap for the first two decades of the 21st century Devices with 90 nanometer transistors are being phased out while devices with 45 nanometer transistors are being readied for production One feature common to most of these advanced transistors is carrier mobility enhancement through carefully engineered strain in the channels PMOS transistors are in compression through epitaxial silicon germanium grown source-drain regions as shown in Fig 7 whereas NMOS transistors are put in tension by nitride films applied to the outside of the transistors as shown in Fig 8 Beyond simple shrinkage new designs such as trigate carbon nano-tube

Moores Law and its Effect on Microscopy in the Semiconductor Industry 365

silicon or germanium nanowire and III-V superlattice designs are on the development roadmap

Another feature of high performance transistors that needed to be addressed was their high power consumption Current flow through the transistor when the transistor is supposed to be off combined with current leakage through the gate wastes energy and produces excessive heat Figure 9 shows where the excess current flows in a transistor when it should actually be turned off through both the gate and the channel High leakage per transistor combined with growing transistor density could result in thermal loads that could not be dissipated resulting in extreme temperatures that would melt any device as shown in Fig 10 Todayrsquos solution to this dilemma is to reduce the performance of individual transistors just slightly with tremendous benefits in efficiency and then increase the number of lsquocoresrsquo to re-gain performance Figure 11 shows how the lsquodual corersquo strategy enhances performance and efficiency Dropping the voltage and speed by 15 can cut the power consumption in half while dropping performance by only 10 Adding a second core increases power consumption back to where it was before but then the total performance of the two cores working together can be 80 greater than that of the single core working alone Projections are that multiple core microprocessors will be the trend of the future as microprocessor efficiency becomes equal in importance to raw performance

Fig 6 Transistor roadmap predicts what technologies might be required to continue Moorersquos Law through the first two decades of the 21st century [2]

366 John Mardinly

Fig 7 Lattice strain enhances electron mobility PMOS transistors are compressed using epitaxial SiGe [2]

Fig 8 A stressed capping layer is used to apply tension to NMOS transistors [2]

Fig 9 Continued current flow when the transistor is actually off combined with current leakage through the gate wastes energy and results in excessive heat[2] Fig 10 Increasing the density of lsquoleakyrsquo transistors was projected to produce more heat than could be removed by any known cooling systems[2]

Fig 9 Continued current flow when the transistor is actually off combined with current leakage through the gate wastes energy and results in excessive heat [2]

Moores Law and its Effect on Microscopy in the Semiconductor Industry 367

Fig 10 Increasing the density of lsquoleakyrsquo transistors was projected to produce more heat than could be removed by any known cooling systems [2]

Fig 11 Current microprocessor designs optimize performance and efficiency by reducing how hard the transistors are driven and re-gain performance by utilizing multiple cores[2]

Fig 12 Multiple-core microprocessors are the key to continuing performance improvements in the future [2]

368 John Mardinly

Fig 13 Conventional transistors are made from poly silicon deposited on a silicon-oxide insulator [2]

Fig 14 The new high-k metal gate transistors use a metal layer on a hafnium- based insulator [2]

Fig 15 TEM micrograph of the new high-k metal gate [2]

5 Lithography Challenge

Fig 16 Since 1997 semiconductors have been printed using a wavelength of light longer than the size of the feature being printed Extreme ultra violet lithography will not be ready until 2009 [2]

MMaannyy lsquolsquottrriicckkssrsquorsquo aarree rreeqquuiirreedd ttoo ccoonnttiinnuuee ssccaalliinngg uunnttiill EEUUVV iiss rreeaaddyy

Moores Law and its Effect on Microscopy in the Semiconductor Industry 369

Another key development is a change in gate dielectrics Thinner gate dielectrics allowed the field in the channel to be strong enough to switch the transistors on or off with lower applied gate voltage but gate dielectrics could not be made to function reliably when they were thinner than two silicon unit cells Changing to a hafnium-based high-k dielectric allowed a much thicker dielectric Eliminating the silicon above the dielectric removed a charge depletion region that unpredictably influenced the switching voltage of the transistor Figures 13 and 14 show schematics of these two transistor strategies and Fig 15 shows a TEM micrograph of part of the new gate

Since 1997 microprocessors have been made by printing features using optical lithography with a wavelength (193nm) longer than the size of the features being printed (Fig 16) Until optical lithography is replaced by extreme ultra violet (EUV-135nm) a number of tricks will be required to continue producing devices with progressively smaller dimensions Optical proximity correction is a technique altering the projection pattern so that interference within the transmitted plane wave will produce the desired pattern on the wafer Multiple patterning strategies use multiple exposures to refine the pattern being produced on the wafer Finally immersion lithography in which the final lens and wafer are immersed in a fluid increases the effective numerical aperture of the optics to improve the effective resolution and depth of focus

Fig 17 Extreme ultra violet lithography will not use conventional projection optics but will rely on reflection from patterns on dielectric mirrors [2]

370 John Mardinly

Fig 18 EUV machines are huge and expensive as can be seen in this photograph of a prototype tool at SUNY Albany [3]

EUV lithography promises to solve the dilemma of printing features smaller than the

wavelength of light At 135 nanometers wavelength EUV provides the wavelength of choice for the future Since light of this wavelength cannot pass through any known lenses the optics rely on dielectric mirrors to focus the light and the patterns need to be deposited on dielectric mirrors as shown in Fig 17 Since EUV also cannot pass through air the entire tool needs to be inside ultra high vacuum From the 135 nm source to the UHV chamber and optics these machines are huge and expensive as can be seen in the photograph in Fig 18 6 Future Transistors One attractive strategy for advanced transistors would have the channel surrounded on all sides by the gate as shown in Fig 19 This transistor geometry could have the highest efficiency and speed but would provide great challenges for mass production An alternative that could also have excellent efficiency and performance yet still be suitable for volume production is called a lsquotri-gatersquo transistor as shown in Fig 20 Three other transistor designs that are still being researched are silicon nanowires carbon nanotubes and III-V quantum well superlattice devices as shown in Figs 21 22 and 23 However these approaches are all in the research stage and volume manufacturing could be a decade away

Moores Law and its Effect on Microscopy in the Semiconductor Industry 371

Fig 19 An ideal transistor would have the gate surrounding the channel and would have the highest power efficiency possible [2]

Fig 20 The Tri-Gate transistor approaches this power efficiency and promises better manufacturability [2]

Fig 21 Future transistors could still be fabricated from silicon nano-wires surrounded by the gate [2]

Fig 22 Carbon nanotubes are another candidate for future transistors [2]

Fig 23 III-V quantum-well transistors are also contenders but will need integration of high-k dielectric gates [2]

7 Microscopy Challenges The transmission electron microscope (TEM) has been one of the most important tools for characterizing the morphology and dimensions of semiconductor features for many years and will be even more important in the future However changes in the structure of semiconductor devices will require changes in our methods and approach Three-dimensional transistors with dimensions significantly smaller than the mean free path of 200KV electrons will require very special cross-sectioning techniques Dual beam focused ion beam (FIB) tools with which one can view a specimen with improved contrast and resolution for centering the specimens will be essential Specimens will need to be made 10-20 nm thick on a regular basis Figure 24 illustrates some of the challenges When the specimen is not centered within a nanometer it needs to be dramatically thinner to preserve the fidelity of the image Furthermore at these dimensions any surface amorphous layers are not tolerable The specimen surfaces must have an abrupt transition from bulk to vacuum Eventually tomographic techniques will be needed to supplement cross-sectioning Figures 25 and 26 show how x-ray tomography can provide virtual cross-sections of

372 John Mardinly

specimens that cannot be cross-sectioned and Fig 27 shows how tomography has been used to image vertical interconnects However improvements are needed in automation resolution and contrast before tomography can fulfill its promise in the semiconductor industry

Fig 24 Specimen preparation requirements for obtaining clear interpretable images of features comparable to that of traditional TEM specimens are challenging The specimen thickness and centering of the cross-section onto the center of the feature must fall below curves in this plot and the amount of damage on the surface caused by the ion beam must be a small fraction of the total specimen thickness [4]

Fig 25 Medical radiographs are analogous to TEM specimens in which the specimen thickness is comparable to the size of the objects being examined

Fig 26 Computed axial tomography holds the promise of creating virtual cross-sections of objects that challenge the ability of our lsquorealrsquo cross-sectioning capability

100 200 300 400 500

100

200

300

400

500

600

700

Specimen Thickness Aring

Centering Error Aring

2500 Aring 1800 Aring 2 1300

Aring

30 KeV Ga+ Damage

5 KeV Ar+ or 2 KeV Ga+ Damage

Moores Law and its Effect on Microscopy in the Semiconductor Industry 373

Fig 27 Three different views of a vertical metal interconnect reconstructed from a tilt series using high angle annular darkfield scanning transmission electron microscopy (STEM) [5]

References

1 Moore G E 1965 Electronics 38 2 httpwwwintelcomresearchsilicon 3 httpwwwasmlcom 4 Jamison R B 2000 Experimental and Computational Quantification of Focused Ion Beam

Damage in Silicon during TEM Specimen Preparation Ph D Thesis (University Microfilms) 5 Yang Q Mardinly J Kuumlbel C Nelson C and Kisielowski C 2005 Microscopy and

Microanalysis 11 (S02) 209

Tomographic Analysis of a FinFET Structure O Richard A Kalio H Bender and E Sourty1 IMEC Kapeldreef 75 B-3001 Leuven Belgium 1 FEI Company Achtseweg Noord 5 5600 KA Eindhoven The Netherlands Summary A FinFET structure is analysed by HAADF-STEM tomography The dependence of the results for similar FinFET structures on the specimen orientation the TEM specimen geometry the tilt axis of the tomography acquisition and the 3D reconstruction scheme are investigated The 2 nm thick high-k (HfO2) layer surrounding the Fin is clearly observed on the different 3D reconstructions showing the high resolution of the technique 1 Introduction Multiple-gate silicon on insulator MOSFET devices with high drive current and good short channel characteristics are among the most promising CMOS structures beyond the 45 nm node [1 2] The FinFET (Fin Field Effect Transistor) configuration is one of the structures considered for these devices The FinFET structures consist of single crystalline silicon fingers with the gate line crossing in the orthogonal direction Both Fins and polySi gate lines are about 60 nm high and only 20 nm wide

The interfacial roughnesses and the overlap of different materials (Ni silicidepolysiliconmetallic gate (TiCN) high-k dielectric (HfO2) nitride spacer oxide) along the electron beam direction render the observation of the Fins difficult in usual TEM mode due to the projection over the specimen thickness (Figs 1a and 1b) Tomographic 3D reconstruction from high angle annular dark field scanning (HAADF-S) TEM image series overcomes this problem and is therefore the most suited technique to study such 3D devices 2 Experimental Different TEM specimens from similar FinFET structures are prepared and studied Plane-parallel cross-section TEM specimens with the Fin parallel (Fig 1a) and perpendicular (Fig 1b) to the lamella and a cylindrical specimen with the Fin perpendicular to the specimen (Figs 3a and 3b) are prepared with the focus ion beam (FIB) lift-out technique A CVD glass layer and a sputtered Pt layer are deposited beforehand on the area of interest in order to protect the specimen during the FIB milling

10 nm gold beads used as fiducial markers are deposited on the samples in order to achieve a proper alignment of the STEM images composing the series The TEM specimens are fixed to the single tilt holder (Fischione) with the tilt axis subsequently parallel to either of two perpendicular directions 1 and 2 (see Fig 1)

The different materials (silicidepolysilicon gate Fin) comprising the FinFET structure are crystalline inducing diffraction contrast in the TEM image series and breaking the projection criterion needed for tomography This problem is overcome by using the HAADF-STEM mode where the contrast observed on the images is proportional to the thickness of the specimen and to ~ltZgt17 where Z is the atomic number

The STEM image series is acquired with a FEI Tecnai (F30) FEG transmission microscope operating at 300 kV using the Xplore3D software Due to the geometry of the different specimens the tilt range varies but is taken for each series as large as possible (~ plusmn 70ordm with 1ordm tilt increment) till the FinFET is shadowed by the silicon substrate (direction 1) or by a metallic contact or the sidewall of

376 O Richard et al the TEM specimen (direction 2) The image alignment and the 3D reconstruction are performed with an off-line computer with the Inspect3D software The weighted back projection (WBP) scheme and the simultaneous iterative reconstruction technique (SIRT) with 20 iterations are used for the 3D reconstruction [3 4] Slices of the 3D reconstruction are obtained with the Amira software 3 Results and Discussion

Fig 1 Cross-section TEM image of the studied FinFET structures with the Fin a) parallel and b) perpendicular to the lamella The tomographic tilt axes are represented by the direction 1 and 2

31 Fin Paralell with the TEM Lamella A cross-section TEM image of the sample with the Fin parallel with the lamella is presented in Fig 1a Due to the overlap of different materials over the TEM specimen thickness the interpretation of such an image is not obvious

Different slices from the 3D reconstruction obtained with the tilt axis parallel to the direction 1 and with a tilt range of -70ordm - + 70ordm (increment step 1ordm) are presented in Fig 2 The location of the different slices is indicated in the caption of Fig 2

The HfO2 layer ~ 2 nm thick exhibiting bright contrast is clearly detected at the top of the Fin and below the polysilicon gate (white arrows Fig 2c) It is more difficult to observe the high-k layer on the vertical sidewall of the Fin on this image this layer is however clearly observed on the orthogonal slice of Fig 2b The trapezoidal shaped bright contrast observed on Fig 2b (white arrow) comes from the high-k layer and is symmetric with respect to the center of the Fin width (not shown here) This shape should ideally be rectangular It indicates that the etching of the TiCN high-k layer stack orand of the polySi layer was not optimal in this area Such effects cannot be detected on usual TEM images The bright contrast observed in the middle of the Fin under the gate (white arrow Fig 2a) is most likely due to an artifact induced by the depth resolution This contrast is not as clearly observed on the slice coming from the WBP 3D reconstruction (Fig 2f)

The gold bead observed at the edge of the structure on Fig 2c is due to the fact that this specimen was not thinned exactly plane-parallel but is thinner in the area of the FinFET structure X shaped bands are observed on the slices showing the Fin in cross-section (Figs 2c 2d and 2e) The missing wedge due to the limited tilt range is responsible for these artifacts

The slices coming from the WBP reconstruction (Figs 2e and 2f) contain more noise than the slices of the SIRT20 reconstruction (Figs 2a and 2d) obtained at the same position but exhibit better contrast eg between the nitride spacers (white arrows) and the oxide (Fig 2e) voids in the

Tomographic Analysis of a FinFET Structure 377

liner layer above the silicide layer are more clearly observed (white triangles Fig 2f) The 3D reconstruction obtained from the image series acquired with the tilt axis parallel to the

direction 2 (tilt range -69ordm - +63ordm increment step 1ordm) gives similar results to the previous ones It is nevertheless worth noting that the part of the Fin on both sides of the polysilicon gate line is less clearly observed (not shown here)

Fig 2 Slices from the 3D reconstruction obtained with the Fin parallel to the specimen and with the tilt axis parallel to the direction 1 Slice parallel with the Fin length and in the middle of the Fin width with a) SIRT20 and f) WBP reconstruction schemes b) Slice parallel with the Fin length at the level of the high-k layer c) Slice showing the Fin in cross-section in the middle of the polysilicon silicide gate line with SIRT20 reconstruction scheme Slice showing the Fin in cross-section further away from the gate with d) SIRT20 and e) WBP reconstruction scheme

32 Fin Perpendicular to the TEM Lamella A cross-section TEM image of this specimen is presented in Fig 1b Similar results are obtained for the 3D reconstruction obtained from the image series acquired with the tilt axis parallel to the direction 1 and to the direction 2

The high-k layer surrounding the Fin is clearly observed at the top and at the sidewalls of the Fin and below the polysilicon on the different slices showing the Fin in cross-section 33 Cylindrical Specimen TEM images of the cylindrical specimen are presented in Figs 3a and 3b The preparation of such a specimen is quite tedious since in the ideal case the polysilicon line and the Fin should cross in the center of the cylinder The advantage of such specimen geometry is that the structure of interest is isolated and overlap with other structures (eg metallic contact or next Fin) during the tilting of the specimen is avoided Moreover the thickness of the specimen is constant during tilting which is not the case with a usual plan-parallel TEM specimen Using a dedicated holder

378 O Richard et al and slightly different sample geometry to the one presented in Fig 3 it should be possible to reach a tilt range of plusmn90ordm and overcome in this way the artifacts due to the missing wedge in the 3D reconstruction

Fig 3 Cross-section TEM image of the cylindrical specimen showing a) the whole cylinder and b) the FinFET structure c) Slice showing the Fin in cross-section in the middle of the polysilicon silicide gate with the SIRT20 reconstruction scheme d) Slice showing the Fin in cross-section further away from the gate with SIRT20

4 Conclusions The 3D tomographic analysis of nano-structures such as the FinFET presented in this report allows the retrieval of information which is difficult or not possible to obtain via conventional (S)TEM imaging due to the projection of the different materials over the TEM specimen thickness eg the HfO2 trapezoids revealed in the slides next to the Fin On the 3D reconstructions of the specimens with different geometries the ~ 2 nm thick HfO2 layer surrounding the Fin is clearly revealed The best configuration in order to clearly observe the Fin is obtained when the Fin is perpendicular to the specimen Acknowledgements P Van Marcke (IMEC) is acknowledged for the difficult but successful preparation of the different TEM specimens The IMEC Device Implementation Project group has provided the sample References 1 Wong HndashS P 2002 IBM J Res amp Dev 46 133 2 Colinge J P 2004 Silicon-on-Insulator Technology Materials to VLSI 3rd edition (Springer) 3 Midgley P A and Weyland M 2003 Ultramicroscopy 96 413 4 Kuumlbel C Voigt A Schoenmakers R Otten M Su D Lee TndashC Carlsson A and Bradley J 2005

Microscopy and Microanalysis 11 378

3-D Characterisation of the Electrostatic Potential in an Electrically Biased Silicon Device A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley Dept of Materials Science University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary Tomographic off-axis electron holography has been used to reveal the 3-D electrostatic potential distribution in an electrically biased FIB-prepared silicon device Difference tomograms have been reconstructed where the tomogram of the unbiased specimen is subtracted from the tomogram of the specimen under applied reverse bias The electrical activity as a function of depth into the specimen has been characterised revealing that the bias is applied uniformly across the electrically active region in the specimen and that the surfaces of this region have a much lower electrically active dopant concentration than that present in the centre of the specimen 1 Introduction Characterisation of the electrostatic potential distribution in semiconductor devices using off-axis electron holography has become more widely used in recent years with many examples of the successful visualisation of 2-D dopant-related electrostatic potentials (eg [1] [2]) Although electron holography promises to provide fully quantitative results the measured potential is a 2-D projection along the electron beam direction through the semiconductor membrane thickness including all surface potential effects Currently only focused ion beam (FIB) milling can provide the site-specificity required to prepare semiconductor device structures for examination in the transmission electron microscope (TEM) This preparation technique is known to generate amorphous and electrically altered near-surface layers In order to obtain a quantitative characterisation of the bulk and surface properties of a semiconductor membrane a 3-D map of the electrostatic potential variation is required A combination of electron tomography with electron holography promises to fulfil this requirement The phase signal reconstructed from off-axis electron holograms satisfies the tomographic requirement that the signal is a monotonic function of the sample thickness and it should therefore be possible to reconstruct the 3-D phase (and therefore the related electrostatic potential) associated with a doped semiconductor device This measurement is particularly important for the quantitative determination of the electrostatic potential at a FIB-modified semiconductor surface but also has significant relevance to the examination of many nanoscale semiconductor structures

To examine a semiconductor device structure under working conditions an electrical bias must be applied in-situ in the electron microscope Frabboni et al [3] presented results revealing the qualitative electrostatic potential distribution around a reverse biased p-n junction and modelling of the expected electrostatic potential showed that the reference wave is significantly perturbed by the presence of external fringing fields resulting in holographic phase images that must be carefully interpreted to reveal the potential quantitatively [4] More recently off-axis electron holography results have been presented revealing the quantitative 2-D electrostatic potential in an electrically biased semiconductor device [2] These results have shown that for FIB-prepared specimens no external fringing fields are observed and therefore the phase revealed by off-axis electron holography can be more readily interpreted and related to the electrostatic potential in the specimen Additionally even under applied electrical bias the effects of sample preparation using the FIB are still significant and therefore a 3-D analysis of the electrostatic potential in an electrically biased device is required This paper presents experimental results obtained from an electrically biased semiconductor device that has been examined using the combined techniques of off-axis electron holography and electron tomography

380 A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley

2 Experimental Details

21 Electrical Biasing Holders for TEM

Specialised biasing holders for applying electrical contacts in situ in the electron microscope were designed and built in collaboration with Fischione Instruments Inc For these experiments a two-contact holder (illustrated in Fig 1a) was used with a sample geometry as illustrated in Fig 1b For these experiments the novel sample geometry has been used illustrated in Fig 1b where a thin membrane is milled along the edge of a cleaved square of silicon that can be tilted through 360ordm without shadowing by the bulk specimen The two-contact electrical biasing tomography holder is capable of tilts of plusmn 80ordm in the electron microscope A silicon p-n junction device with nominal dopant concentrations of 5 x 1018cm-3 in both p and n regions was prepared in this sample geometry using an FEI FIB 200 operated at 30 kV

Fig 1 a) Diagram of the end of the Fischione TEM holder used for electrically biased electron tomography and holography b) Schematic diagram of the sample geometry used for combined electron holography and tomography of a silicon p-n junction

22 Experimental Procedure

Off-axis electron holograms were acquired on a Philips CM300 field-emission TEM which was operated in Lorentz mode and equipped with a Gatan imaging filter (GIF) 2000 using a biprism voltage of 100 V Three tilt series of holograms were acquired using a tilt range of -70ordm to +70ordm at 2ordm intervals with the specimen under a reverse bias of 0 2 and 3 V Reference holograms were acquired every 10ordm in tilt in order to remove distortions associated with the imaging and recording system Fig 2a shows an off-axis electron hologram acquired at zero degrees tilt (defined as the tilt that results in the junction being edge-on) and Fig 2b shows the corresponding reconstructed phase image Convergent beam electron diffraction was used to determine the crystalline thickness of the FIB-prepared membrane This thickness was determined to be 330 nm giving a total membrane thickness of 380 nm including the thickness of amorphous surface layers generated by FIB milling

23 Data Analysis

Off-axis image and reference holograms were reconstructed to obtain phase and amplitude images using library programs written in the Semper image processing language [5] The amplitude images were used to calculate normalised thickness (tλ) maps of the specimen for each tilt angle Fig 2c shows the tλ map corresponding to the hologram in Fig 2a and Fig 2d plots the variation in tλ over the entire tilt range showing that a number of points lie away from the line of expected thickness variation This variation may indicate that the specimen is in a strongly diffracting condition which affects the measured phase and amplitude images complicating the interpretation of the observed phase image Such images were therefore excluded from the tomographic dataset used for 3-D reconstruction The reconstructed phase images were adjusted to set the average phase of the p and n regions to zero This tomographic reconstruction therefore only reveals the dopant-related electrostatic potential and not the underlying mean inner potential

(a)

FIB-milled specimen

4 mm 25 microm p-type layer

n-type substrate

Si cleaved wedge

FIB-milled membrane

(b)

~1 mm

3-D Characterisation of the Electrostatic Potential in an Electrically Biased Silicon Device 381

Fig 2 a) Off-axis electron hologram b) corresponding reconstructed phase image and c) thickness (tλ) map acquired at 0o tilt of the FIB-prepared silicon p-n junction d) Plot of the variation in thickness (tλ) as a function of tilt angle The solid line indicates the expected variation in thickness with tilt angle The simultaneous iterative reconstruction technique (SIRT) was used to reconstruct the 3-D

electrostatic potential in the specimen Fig 3a shows a schematic diagram of the expected electrostatic potential variation illustrating the amorphous and crystalline electrically inactive surface layers deduced previously [2] The phase change measured between the p and n regions by off-axis electron holography can be expressed as ( )int +=∆

elt

applbiE dzzyxVzyxVC0

)()(φ where

Vappl is the applied reverse bias Vbi is the built-in voltage of the unbiased junction CE is a microscope determined constant and tel is the electrically active thickness as illustrated in Fig 3a The thickness was constrained in the reconstruction to 280 nm because the featureless membrane surfaces cannot be reconstructed accurately with the restricted tilt range due to the lsquomissing wedgersquo of information This reconstructed volume is only the electrically active region of the specimen excluding the crystalline and amorphous electrically inactive surface layers because these do not contribute to the observed phase change The spatial resolution of the tomogram is 25 nm with a potential resolution of ~ 01 V

Tomographic reconstructions of the 2 and 3 V series were also obtained using the 0 V reconstruction as a lsquoreferencersquo to obtain a difference tomogram The tomogram of phase data for the unbiased junction φ0V(xyz) was subtracted from the tomogram of phase data (φnV(xyz)) for the junction under nV applied reverse bias (where n = 2 or 3) Taking CE = 728 times 10-6 V-1m-1 with a voxel size of 58 nm the quantitative 3-D electrostatic potential distribution arising from the applied bias was revealed These tomograms reveal the electrically active regions in the specimen across which the applied voltage is present

3 Results and Discussion

The experimental tomographic reconstruction for the device under 3 V reverse bias taken as a difference tomogram using the 0 V tomogram as a reference is shown in Fig 3b The variation in electrostatic potential revealed in the tomogram shows only the changes in potential that arise from the application of a reverse electrical bias to the specimen Under an applied reverse bias all of the applied voltage should be dropped across the charge depleted region present at the p-n junction which increases the width of the depletion region Figs 3c and 3d show theoretical profiles for the predicted variation in the potential distribution across an abrupt p-n junction for a given reverse bias from which the electrostatic potential distribution across the unbiased p-n junction has been subtracted to give the difference in potential arising from the applied electrical bias Data has been plotted for two different electrically active dopant concentrations illustrating that for a lower electrically active dopant concentration the applied reverse bias is simply dropped over a larger depletion region

Voxel traces taken from the centre top and bottom of the tomographic reconstruction (shown in Fig 3b) are plotted in Fig 3e These profiles reveal that the applied bias is dropped uniformly across the electrically active thickness giving rise to an electrostatic potential change of ~ 3 V across the junction at the top centre and bottom of the electrically active region However the

200 nm

(a) (b) (c)

0

2

4

6

8

10

-75 -50 -25 0 25 50 75Tilt angle ( deg )

t λ

(d)

382 A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley

slowly varying potential at the top and bottom indicates that the corresponding electrically active dopant concentrations are much lower than observed in the centre of the membrane

Fig 3 a) Schematic diagram showing the expected physical and electrical structure of a FIB-milled silicon specimen b) Tomographic reconstruction of the difference electrostatic potential in a FIB-prepared silicon device under an applied reverse electrical bias of 3 V c) Plot of the theoretical variation in electrostatic potential for a symmetrical abrupt junction with an electrically active dopant concentration of 5 times 1018 cm-3 as a function of applied reverse bias The electrostatic potential for an unbiased junction has been subtracted from the total potential thereby revealing only the potential changes that arise from the application of the electrical bias d) Corresponding plot to (c) but with an electrically active dopant concentration of 1 times 1018 cm-3 e) Voxel line traces extracted from the top centre and bottom of the tomogram in (b)

4 Conclusions Off-axis electron holography and tomography have revealed the 3-D electrostatic potential in an electrically biased silicon p-n junction device Difference tomograms have revealed that the applied electrical bias is present across the entire electrically active region in the specimen and that the surfaces of this region have a much lower electrically active dopant concentration than that present in the centre of the specimen This technique is very promising for the examination of more complex semiconductor devices in 3-D under working conditions Acknowledgements The authors would like to thank Philips Research Laboratories (Eindhoven) for providing the silicon device and the Royal Society and the EPSRC for financial support

References 1 McCartney M R Gribelyuk M A Li J Ronsheim P McMurray J S and Smith D J 2002 Appl Phys Lett

80 3213 2 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2005 Microsc

Microanal 11 66 3 Frabboni S Matteucci G Pozzi G and Vanzi M 1985 Phys Rev Lett 55 2196 4 Capiluppi C Migliori A and Pozzi G 1995 Microsc Microanal Microstruct 6 647 5 Saxton W O Pitt T J and Horner M 1979 Ultramicroscopy 4 343

(b)

580 nm 325 nm

280 nm n-type p-typen-type p-type

Amorphous dead layer Crystalline dead layer

Electron beam direction

Amorphous dead layer

(a)

Crystalline dead layer

Electrically active thickness tel

-3-2-10123

0 200 400 600 800Distance (nm)

Ele

ctro

stat

ic p

oten

tial

diff

eren

ce (V

)

-1

0

1

2

3

-80 0 80-1

0

1

2

3

-80 0 80

Ele

ctro

stat

ic p

oten

tial

diff

eren

ce (V

)

Distance (nm) Distance (nm)

Top Centre Bottom

3V 2V

1V

3V2V

1V

(c) (d) (e) E

lect

rost

atic

pot

entia

l di

ffer

ence

(V)

Three-Dimensional Field Models for Reverse Biased P-N Junctions F Ubaldi G Pozzi P F Fazzini1 and M Beleggia2 Physics Department and CNISM University of Bologna Vle Berti Pichat 62 40127 Bologna Italy 1 CEMES-CNRS 29 rue Jeanne Marvig 31055 Toulouse Cedex 4 France 2 Brookhaven National Laboratory Building 480 Upton NY 11973 USA Summary In order to obtain reliable quantitative information on the electrostatic field associated with reverse-biased p-n junctions and on the distribution of dopants the physics of the so-called ldquodead layerrdquo and the influence of charged oxide layers are of paramount importance For this purpose experimental observations near the edge of a TEM sample can be useful Under these conditions however phase computations required to interpret the experimental results are very challenging as the problem is intrinsically three-dimensional In order to cope with this problem a mixed analytical-numerical approach is presented and discussed 1 Introduction Electron holography is a very powerful method for investigating semiconductor devices [1] and reverse-biased p-n junctions [2] at sub-10 nm resolution by means of transmission electron microscopy (TEM) techniques However these experiments are very challenging from the theoretical point of view In fact the simple classical model for describing the electrical behaviour of the reverse-biased p-n junction is no longer valid and software packages like the ISE-tCad suite [3] are required to develop realistic models taking into account unexpected experimental effects like the charging-up of the dielectric layer [45]

In order to cope with this problem in the two-dimensional case we use a mixed numerical-analytical approach in order to take into account the effect of the external fringing field without wasting computational resources Recently we have also developed a suitable analytical solution for the three-dimensional problem of an array of reverse-biased p-n junctions in a very thin specimen [67] We are now investigating whether the numerical-analytical approach can be applied in the three dimensional case in order to have a reliable model of the external field and to interpret edge-on observations 2 Numerical vs Analytical Calculations for a Step Junction With a finite-element numerical sofware package the only way to assess its reliability is to vary the parameters until a stationary result is obtained within some preassigned error In our case the availability of an analytical model allows us to reduce the arbitrariness and to evaluate better the accuracy of the numerical approach Therefore we have first applied the ISE-tCad suite to simulate the case of an abrupt p-n junction in a very thin specimen and compared the results with the analytical calculations for the field and the integrated potential (proportional to the electron optical phase shift which is the important quantity for the simulations of TEM observations) To this end we extended the simulation domain independently in z and y and increased the sampling density across the junction until the electrostatic and the integrated potential showed negligible modifications in the region of interest (Fig 1) The variation along the x direction is not considered because it is directly related to the periodicity of the array

384 F Ubaldi et al

Fig 1 Equipotential surfaces of a step junction (plusmn1 V) with negligible thickness ∆x=4 microm ∆y=8 microm a) ∆z=2 microm the simulation domain is too small along z and artifacts introduced by the boundary condition

0ˆ =sdotnabla nV are evident when the potential topography is compared to b) where the

simulation domain was extended to ∆z=8 microm in this case the electrostatic potential is well contained in the simulation domain vanishing before z reaches the domain edge Note also that the choice of ∆z affects the equipotential surfaces also along y as visible by comparing the stray field potentials in the vacuum region (ylt0) of the junction plane z=0 In (b) ∆zi denotes the range of the integration of the potential used to evaluate the phase shift associated with the junction

The comparison of numerical results with those obtained by calculating the analytical expressions through Mathematica [8] shows that we determined the appropriate domain size and sampling resulting in a maximum relative error of 0001 in the potential and of 002 in the integrated potential calculated over the whole vertical length (Fig 2) where the phase shifts are calculated for 200 keV electrons

Fig 2 a) Phase shift obtained by numerical integration along z of the ISE-tCad potential of a -1 V (p) +1 V (n) step junction b) its difference with the analytical phase shift of the same junction

The absolute difference decreases down to 08 rad by adjusting the range of integration ∆zi to half ∆z (see Fig 1)

It is interesting to note that this error decreases to 001 if the potential is integrated only up to half the simulation domain ∆z This effect is due to the distortion of the electrostatic potential near the simulation edges induced by the boundary conditions used in the numerical computation These results show that the numerical evaluation of the phase shift is affected by the choice of the boundaries more strongly than the potential and that in order to have reliable results we have to ldquowasterdquo numerical resources to include the empty space around the specimen These resources may be better utilized in the device simulation if the external field and phase shift are calculated using the analytical results whenever possible

Since however real specimens are most likely thick objects we have to account for their finite thickness To this end we employ a mixed numerical-analytical approach that consists in taking the analytical model for the zero-thickness step junction (Fig 3a) and prolonging its z=0

Three-Dimensional Field Models for Reverse Biased P-N Junctions 385

plane potential along z over the thickness t This approximate potential is then compared with the fully 3D model (Fig 3b) the equipotential lines look very similar for both cases and the comparison between the phase shifts confirms that the ldquoprolongedrdquo model is affected by a maximal relative error of about 004 The error however lies mainly outside the region of interest across the junction

Fig 3 a) Vertical section of the potential at 05 microm from a 200 nm thick junction obtained by prolonging the z=0 plane potential along the specimen thickness This procedure results in straight equipotential lines over the thickness t b) the fully 3D simulation over the same region showing small differences with respect to (a) c) phase difference contours between the models (a) and (b) the largest error is of 25 and becomes 4 at 300 nm thickness However the error lies mainly outside the region of interest across the junction

3 The CPAC Model for the Semiconductor Junction To improve further our 3D model we extend the former considerations to a realistic semiconductor junction and introduce the CPAC model (Cut Paste Analytical Computation) This approach consists in building a 3D potential by cutting pasting and prolonging with analytical computations parts of a two-dimensional (2D) potential cuts of a 2D simulation The way of cutting pasting and prolonging the potential is suggested by the similarity between the ldquoprolongedrdquo potential as discussed above and the fully 3D simulated potential First a 2D simulation is taken (Fig 4a) and its surface potential is utilized to compute the potential in the region 3 of Fig 4b by using the analytical formula [1] while the inner potential is cut and pasted with a 45 degrees pattern to recover the 3D specimen potential The choice of this particular patching angle (45deg) is somewhat arbitrary and most likely depends on the thickness In fact while it is certainly reasonable that in the limiting case when the specimen thickness is very large the symmetry dictates the same potential topography as a function of y and z for thin specimens it is conceivable that other patching angles may be more appropriate Also it is not clear whether patching should occur along a straight line rather than along a more general curve However for very thin specimens the smaller relative contribution of the internal field topography to the total potential may minimize the effects of choosing a different patching procedure Finally the analytical potential of region 3 is extended over the thickness in front of the specimen with a constant z prolongation to recover the potential of the region 4

Such a model is a good approximation for a real device if the full 3D surface potential doesnt vary appreciably in the directions orthogonal to the junction ie y and z Figure 4c shows the equipotential lines of a 3D numerical simulation the condition of constant surface potential along the y and z directions is satisfied The comparison with the corresponding CPAC simulations (Fig 4d) shows that the two models look very similar the relative differences between phase shifts do not exceed 003 over the specimen (Fig 4f)

4 Conclusions We have described several steps towards the development of a realiable three-dimensional model for the electrostatic potential and electron-optical phase shift associated to a p-n junction The availability of a relatively simple analytical model for an ideal junction of negligible thickness is

386 F Ubaldi et al

the building block of the realistic 3D model which is constructed from suitably cutting pasting and prolonging two-dimensional slices of the potential Since the CPAC model works rather satisfactorily for the thick abrupt and for the ldquoidealrdquo thick semiconductor junction we plan to extend it to cover even more realistic cases such as specimens coated with native surface oxides and electrically inactive layers

Fig 4 The CPAC model of a Sb-B junction at 3V reverse bias a) f(xz) is the 2D potential b) the CPAC 3D model region 1 the potential is V(xyz)=f(xz) region 2 V(xyz)=f(xy) region 3 analytical computation from the 2D surface line region 4 constant prolongation from region 3 c) numerical 3D model to be compared with the CPAC d) phase shift associated to the numerical 3D model e) phase difference between the two models over the specimen the relative error is less than 3

Acknowledgements Financial support was provided by MIUR FIRB funding RBAU01M97L and by the US Department of Energy Basic Energy Sciences under contract number DE-AC02-98CH10886 References 1 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 Phys Rev Lett 82

2614 2 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2005

Microsc Microanal 11 1 3 ISE Tcad v 80 by Integrated System Engineering httpwwwisech 4 Beleggia M Fazzini P F Merli P G and Pozzi G 2003 Phys Rev B 67 045328 5 Fazzini P F Merli P G Pozzi G and Ubaldi F 2005 Phys Rev B 72 085312 6 Beleggia M Fazzini P F and Pozzi G 2003 Ultramicroscopy 96 93 7 Fazzini P F Pozzi G and Beleggia M 2005 Ultramicroscopy 104 193 8 Wolfram S The Mathematica book Product web page httpdocumentswolframcomv5

Automated Quantification of Dimensions on Tomographic Reconstructions of Semiconductor Devices A Kalio O Richard E Sourty1and H Bender IMEC Kapeldreef 75 B-3001 Leuven Belgium hugobenderimecbe 1 FEI Company Achtseweg Noord 5 NL-5600 KA Eindhoven The Netherlands Summary Electron tomography analysis allows a detailed structural investigation of nano-devices The development of software to deduce quantitative metrological information about the structures is discussed 1 Introduction The ongoing miniaturization in the field of microelectronics has led to more complex geometries of semiconductor devices (S)TEM imaging finds applications after all kinds of semiconductor process steps and becomes crucial for the metrology of the advanced devices In such structures important details can often not be revealed anymore by scanning electron microscopy eg for the metrology of thin layers (gate dielectrics metal barriers seed layers) and small structures the characterization of over- and under-etch or the modified layers in etched low-k materials As the dimensions of these structures are on the same scale (or less) than the typical thickness of TEM specimens the projection effects in the 2D images obtained by standard TEM or STEM become a strong limitation to the analysis of the fine details of the structures For the inspection of these nano-devices 3D analysis methods are required Electron tomography in STEM or TEM mode is a relatively recent method in material science that has the potential to fulfil the mentioned requirements for advanced nano-electronic devices [1-5]

Besides the qualitative 3D views and the possibility of extracting image slices from the reconstructed volume in any direction there is a need for fast measurement of different features through the reconstructed volumes The data can for example be used to identify the variation of line width and height in differently processed devices Hence the possibility for quantitative comparisons between datasets is required The metrology options in the current standard software packages do not fully fulfil these needs

In this work a semi-automated procedure (ldquoQuanti3Drdquo) is developed that allows us to determine and visualize various dimensions eg widths heights areas or volumes of selected features based on the slices of a reconstructed volume The methodology is applied for quantification of STEM tomography reconstructions to deduce the dimensions of their features eg tungsten plugs different silicide phases in fully silicided gates and line width and silicide thickness variations in non-volatile memory cells 2 Procedure The routines for the determination of the various dimensions through the reconstructed volume are written in Matlab software The data analysis flow starts with the standard reconstruction procedure with the Inspect3D software Next the reconstructed volume is exported for visualization to the Amira software In Amira surface rendering of the different materials is performed A manual inspection of the isosurfaces between the different materials as determined by the software based on a chosen threshold of the gray level is generally necessary in a number of slices through the volume The boundaries in

388 A Kalio et al

the intermediate slices can then be obtained automatically by interpolation The interval between the slices that needs manual inspection depends on the dataset ie the contrast between the materials artefacts due to the reconstruction and the shape of the structures For accurate description of the interface between the different materials the manual determination of the borders generally needs to be done every ~10 slices which results in a time consuming procedure The surfaces of the materials obtained in this way are represented by a triangulated surface For each material this surface is exported from Amira as a VRML (virtual reality modelling language) file which is used as an input for the further analysis with Matlab

For the measurement of distances in a given direction the intersecting points of the chosen direction with the mesh of the triangulated surface must be determined Due to the shape of the triangles forming the surface generally corresponding points on both intersects will not be present and some interpolation is necessary To obtain a high accuracy the number of points on the triangulated surface should be high (typically 10-20 MB files) Currently the procedure is limited to directions parallel to the principal axes of the xyz reference system of the reconstruction Due to the triangulation often in every second slice a much lower point density is present leading to erroneous length calculations that appear as noise in the plots of the dimensions versus the slice number Removal of every second value removes this noise Areas in the sections of the slices with the volume are determined by calculating the lengths in a given direction in the slice in subsequent steps corresponding to the voxel size The area is then determined by summing the lengths and taking into account the step size of the voxel

0

20000

40000

60000

80000

50 150 250 350 450 550POSITION (nm)

AR

EA (n

m2)

X

Y

Z

cFig 1 a) Tomographic reconstruction of the HAADF-STEM tilt series of a CuWNiSi contact b) (voxel units) export of the W surface after triangulation to the Matlab Quanti3D routine c) plot of the sections through the volume determined along the x y and z directions

Automated Quantification of Dimensions on Tomographic Reconstructions of SDs 389

3 Experimental Details Tomography datasets are acquired on a Tecnai F30 microscope with a single-tilt tomography sample holder that allows a plusmn75deg tilt range The acquisition is done with the Xplore3D software suite (FEI Company) in HAADF-STEM mode 4 Applications Figure 1a shows an ortho-slice view of a tomographic reconstruction of a CuWNiSi contact structure The presence of a void in the centre of the contact plug and a constriction at the top of the plug are clearly revealed This information would otherwise be masked by projection effects in standard 2D images The boundaries of the W plug are determined in Amira and exported in VRML format The volume imported in the Matlab routine is shown in Fig 1b

0

25000

50000

0 100 200 300 400 500POSITION (nm)

AR

EA (

nm2

)

x

y

z

f Fig 2 a) HAADF-STEM image of a FUSI gate structure b) tomography reconstruction of the Ni-rich upper phase c) visualisation of the slice in which the heights of the silicide phase are determined d) cross-section of the phase in that slice e) heights determined in that cross-section and f) area of the sections through the silicide in the x y and z direction

390 A Kalio et al

The areas of the sections of the slices of this volume are calculated in the three basic directions of the reference system (Fig 1c) The slope of the W plug with depth can directly be judged from the area plot in the y direction Also the decrease of the plug cross-section at the top is clearly visualized

An application to a fully silicided (FUSI) gate structure is shown in Fig 2 The 2D HAADF-STEM image reveals the presence of two Ni-silicide phases in the gate ie at the top a phase Ni-richer than at the bottom (Fig 2a) The Ni-rich phase seems to extend much deeper at the right hand side but from the 2D image no further information on the distribution of the phases can be obtained The tomographic reconstruction of the upper silicide phase (Fig 2b) clearly shows the non-uniform distribution of the Ni-rich phase in the gate Examples of the length measurement in a selected slice are shown in Fig 2 c-e The area plots through the volume allow the study the non-uniformity of the silicide phase (Fig 2f)

The tomogram of a dual poly non-volatile memory (NVM) gate structure is studied in Fig 3 The reconstruction of the silicide on the upper poly clearly shows its non-uniformity and the presence of holes The silicide thickness and other dimensions of the gate can be determined in any slice of interest Fig 3b illustrates the silicide thickness variations along 3 positions

Fig 3 a) Triangulated surface rendering of the silicide on an NVM gate and b) silicide thickness along the gate line in the sections indicated by the arrows on (a)

5 Conclusions Automation of the deduction of metrology information from electron tomograms is possible The accuracy of the surface rendering is crucial for the quantification and still requires time-consuming manual action in particular in the case of materials with low differential contrast Further developments on the automated edge recognition by filter procedures and the further extension of the metrology features is necessary References 1 Midgley P A and Weyland M 2003 Ultramicroscopy 96 413 2 Kuumlbel C Voigt A Schoenmakers R Otten M Su D Lee T-C Carlsson A and Bradley 2005 J

Microscopy and Microanalysis 11 378 3 Weyland M Yates T J V Dunin-Borkowski R E Laffont L and Midgley P A 2006 Scripta

Materialia 55 29 4 Kuumlbel C Kuumlbel J Kujawa S Luo J-S Lo H M and Russell J D 2006 AIP Conf Ser 817 223 5 Bender H Richard O Kalio A and Sourty E 2007 Microelectronic Engineering in press

Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography D Cooper A C Twitchett1 P A Midgley1 and R E Dunin-Borkowski1 CEA LETI Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France 1 University of Cambridge Department of Materials Science University of Cambridge Pembroke

Street Cambridge CB2 3QZ Summary Off-axis electron holography promises to fulfil the demands of the semiconductor industry for a technique that can provide information about dopants with nm-scale resolution Typically when examining focused-ion-beam-prepared specimens containing p-n junctions the theoretical built-in potential is not recovered even after accounting for the presence of damaged surface layers Here we show that the potentials measured in simple GaAs n-p junctions are strongly dependent on the intensity of the incident electron beam and that by attaching electrical connections to the specimen the correct built-in potential can be recovered 1 Introduction Off-axis electron holography is a transmission electron microscopy (TEM) based technique that uses an electron biprism to form an interference pattern from an electron wave that has passed through a specimen with one that has passed through only vacuum From the interference pattern (or hologram) phase and amplitude images of the sample can be reconstructed As the phase of an electron is sensitive to the electrostatic potential of a specimen in principle electron holography should be able to measure the variation of potentials arising from the presence of dopants In the absence of magnetic fields and diffraction contrast the phase change of an electron as it passes through a specimen of thickness t is given by

∆φ = CE int0t V(xyz)dz

where CE is a constant dependent on the energy of the electron wave V is the electrostatic potential and z is the direction of the electron beam [1]

Specimens are now routinely prepared using focused ion beam (FIB) milling due to its unprecedented site specificity as well as the ease with which a parallel-sided specimen can be prepared However it is known that FIB-preparation can have a profound effect on the phase shifts measured in specimens containing semiconductor devices which can be observed in the form of amorphous and electrically lsquoinactiversquo layers [2] 2 Off-Axis Electron Holography of FIB-Prepared GaAs p-n Junctions GaAs specimens were grown for this study using molecular beam epitaxy (MBE) and comprised a 10-microm-thick 1times1018 cm-3 Be-doped (n-type) layer grown onto a 10-microm-thick 1times1018 cm-3 Si-doped (p-type) layer on lightly p-doped GaAs (001) substrate The theoretical built in potential Vbi of the junction is 134 V after accounting for the effects of degeneracy Specimens were prepared for examination using an FIB miller operated at 30 kV using a conventional geometry for electron holography [3]

Holograms were acquired using a Philips CM300-ST field emission gun TEM operated at 200 kV equipped with an electron biprism and a 2048 pixel charge-coupled device (CCD) camera The biprism was operated at 100 V providing an interference fringe spacing of 5 nm and a theoretical resolution of 15 nm in the phase images The specimens were tilted from the zone axis with the

392 D Cooper et al

junction lsquoedge-onrsquo to minimise the effects of diffraction contrast Reference holograms were acquired to remove distortions associated with the imaging and recording system Figure 1a shows a wrapped phase image of a 390-nm-thick GaAs specimen containing a n-p junction the n-doped region appears brighter than the p-doped region because it is at a higher potential Figure 1b shows that the step in phase measured across the junction is significantly less than expected by theory Figure 1c shows the step in phase measured across a series of GaAs n-p junctions examined as a function of the crystalline specimen thickness measured using convergent beam electron diffraction (CBED) An electrically lsquoinactiversquo thickness is revealed from the x-axis that does not contribute towards the measured step in potential In this instance the electrically inactive thickness is 230 +- 15 nm a significant proportion of the total thickness of all of the specimens

However a value of Vbi can be calculated from the gradient of Fig 1c using a method that is not dependent on the presence of the electrically lsquoinactiversquo thickness where

Vbi = CE-1 times [∆φ (tcrystalline ndash tinactive)-1]

Using this approach the value recovered is only 096 +- 01 V which is much less than predicted by theory It has been shown that the electrically lsquoinactiversquo thickness results from the presence of defects deep in the specimen introduced during FIB-milling Previously specimens have been annealed at low temperature leading to a reduction of the electrically inactive thickness but the theoretical Vbi was still not recovered [4] Simulations suggest that the effects of charging [5] and surface depletion in a thin TEM specimen could be responsible for a reduction of the measured potential [6] 3 In situ Biasing in the TEM An alternative approach was used to recover the Vbi of the specimen Assuming the electrically lsquoinactiversquo layer remains constant under an applied reverse bias Vappl the built in potential of the specimen can be determined by applying a reverse bias and using the equation ( )( )E bi appl cryst inactive E appl active E bi activeC V V t t C V t C V tfD = + - = + A 450-nm-thick specimen was biased in situ using a Fischione single tilt two-contact holder Figure 2a shows the phase measured across the junction at different applied reverse bias

Fig 1 a) Phase image of a 390-nm-thick GaAs specimen containing a p-n junction b) the step in phase measured across the junction is less than is expected from theory c) if we plot the step in phase across a series of junctions as a function of the crystalline specimen thickness we reveal the presence of an electrically lsquoinactiversquo thickness from the x-axis

Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography 393

voltages Figure 2b shows the step in phase measured across the junction as a function of reverse bias voltage From the gradient the electrically lsquoactiversquo thickness of the specimen was calculated to be 240 nm The value of Vbi calculated using this approach is 135 +- 005 V which is consistent with theory

Two different approaches that are each independent of the electrically lsquoinactiversquo thickness have

been used to determine the value of Vbi By plotting the step in phase as a function of the crystalline specimen thickness the value recovered is much less than is predicted by theory whilst by reverse biasing the specimen the correct Vbi is obtained One difference between the two experimental arrangements is that each side of the n-p junction examined in the biasing holder has an excellent conduction path to earth 4 Specimen Charging in the TEM The phase across the junctions was investigated as a function of the intensity of the electron beam irradiation The intensity was varied as a function of the microscope spot size which controls the number of electrons that enter the second condenser lens Holograms were formed whilst taking care to keep the area of illumination constant Figure 3a shows that the step in phase measured across the conventionally prepared specimen is dependent on the intensity of the electron beam used However in Fig 3b an improvement can be seen after electrical connections are attached to each side of the junction The signal-to-noise ratio in the phase profiles measured for the specimen in the biasing holder is poor as the presence of the electrical connections to the specimen holder interferes with the hologram formation This is especially evident in the phase profile associated with the hologram formed using the most intense and therefore least coherent electron beam

Figure 4a shows the step in phase measured across the specimens as a function of crystalline specimen thickness for specimens prepared using conventional geometries and two different specimens examined in the biasing holder at zero bias The specimens examined in the biasing holder have a higher step in phase per unit thickness measured across the junctions than is observed in the specimens in the conventional geometry Values of Vbi were determined for the junction for each of the experimental approaches using spot sizes 2 and 4 Figure 4b shows that when the specimen is examined using the conventional geometry the measured gradient is dependent on the intensity of the electron beam used with values of 085 and 096 + 01 V obtained for Vbi at spot sizes 2 and 4 respectively Figure 4c shows that for specimens examined in the biasing holder the measured gradient does not vary

Fig 2 a) The phase measured across the junctions at different reverse bias voltages b) the step in phase measured across the junction plotted as a function of the reverse bias

Dopant Profiling in the TEM Progress Towards Quantitative Electron Holography 394

5 Conclusions We have shown that the step in potential measured across GaAs p-n junctions using off-axis electron holography is dependent on the intensity of the electron beam The use of electrical connections attached to each side of the specimen appears to remove this problem presumably as the electron-hole pairs generated in the specimen can be conducted to earth Further work is required to determine whether these conclusions apply to p-n junctions as well as the n-p junctions examined here In addition a systematic study of these effects on Si p-n junctions is currently being performed

References 1 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 App Phys Lett 82 2614 2 Twitchett A C Dunin-Borkowski R E and Midgley P A 2002 Phys Rev Lett 88 238302 3 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2002 J Micros

214 287 4 Cooper D Twitchett A C Somodi P K Midgley P A Dunin-Borkowski R E Farrer I and Ritchie D

A 2006 App Phys Lett 88 063510 5 Houben L Luysberg M and Brammer T 2004 Phys Rev B 70 165313 6 Somodi P K 2005 PhD Thesis University of Cambridge

Fig 4 a) The step in phase measured across the n-p junctions as a function of crystalline specimen thickness for specimens examined using a conventional geometry and for specimens examined in a biasing holder b) the step in phase measured as a function of crystalline specimen thickness and using different electron beam intensities for a conventionally prepared specimen c) the step in phase measured as a function of reverse bias voltage and using different electron beam intensities

Fig 3 Phase profiles extracted from holograms formed using different electron beam spot sizes with the specimen a) in a conventional geometry and b) in a biasing holder Spot size one represents the most intense electron beam

Observation of Dopant Distribution in Compound Semiconductors Using Off-axis Electron Holography H Sasaki S Ootomo T Matsuda K Yamamoto1 and T Hirayama1 Yokohama RampD Lab Furukawa Electric Ltd 2-4-3 Okano Nishi-ku Yokohama 220-0073 Japan 1 Japan Fine Ceramics Center 2-4-1 Mutsuno Atsuta-ku Nagoya 456-8587 Japan Summary This paper describes a method to map dopant distributions in compound semiconductors by off-axis electron holography A cross-sectional transmission electron microscopy (TEM) specimen with n+ nndash and p gallium arsenide thin films was prepared using the micro-sampling technique of a focused ion beam and Ar+ ion milling A phase map was obtained by off-axis phase-shifting electron holography and the dopant distributions across a p-n junction are clearly observed Furthermore the low and high dopant concentration regions are remarkably distinguished with high contrast 1 Introduction The application of electron holography in the silicon semiconductor industry has attracted considerable attention in recent years [1-4] It has become an important technique for analysing dopant distributions in semiconductor devices and is used in the development and failure analysis of new devices

In silicon materials amorphous layers several dozen nanometers thick are formed on both sides of a transmission electron microscopy (TEM) specimen during focused ion beam (FIB) milling [5] Despite its amorphous damaged layers electrostatic potential distributions in Si devices have been successfully observed However damaged layers on compound semiconductors such as GaAs InP and GaN consist of amorphous and nano-crystal regions [6 7] This damaged layer on the surface of compound semiconductors usually possesses a high electric conductivity that conceals the potential drop across the p-n junction and the small crystalline particles cause complicated phase distributions due to electron diffraction Therefore Cooper et al used low temperature annealing during TEM to remove defects resulting from Ga+ implantation [8]

Here we describe an effective method to reduce the thickness of the damaged layers on the surface of the specimens using Ar+ ion milling [7 9] Off-axis phase-shifting electron holography applied to a compound semiconductor is also introduced 2 Experimental P-n-p thin films having n+ nndash and p dopant concentrations were grown on a semi-insulating GaAs

p(10e19)

n-(13e16) n+(30e18) n-(13e16) p(10e19) Semi-insulatingGaAs substrate

100nm 700nm 700nm300nm 500nm

p(10e19)

n-(13e16) n+(30e18) n-(13e16) p(10e19) Semi-insulatingGaAs substrate

100nm 700nm 700nm300nm 500nm

Fig 1 Schematic diagram of the GaAs p-n-p test sample Thin films with different dopant concentrations were grown on a semi-insulating GaAs substrate by MOCVD

396 H Sasaki et al

Ar+

Ar+

(a)Sample

Ga

Al thin foil Epoxy resin

(b)

Ar+

Ar+

(a)SampleSample

Ga

Al thin foil Epoxy resin

(b)

Fig 2 FIB-Ar+ ion milling method a) Fixing GaAs specimen to the cross section of an Al thin foil and FIB thinning b) Ar+ ion milling

substrate by metal-organic chemical vapour deposition (MOCVD) A schematic diagram of the p-n-p test sample structure is shown in Fig 1 In this experiment silicon and carbon were used as n-type and p-type dopants respectively Secondary ion mass spectroscopy measurements showed that the dopant concentration was 13 times 1016 cmndash3 in the nndash region 30 times 1018 cmndash3 in the n+ region and 10 times 1019 cmndash3 in the p region

The procedure for preparing the TEM specimens is shown in Fig 2 A Hitachi FB-2100 FIB system was used for specimen preparation and was operated at an accelerating voltage of 40 kV First a thin aluminium foil was attached to a cut copper plate (3 mm in diameter) with a single hole using epoxy resin as shown in Fig 2a Then platinum and tungsten were deposited on the surface of the GaAs test sample to protect it from the Ga ion beam A small cross-sectional specimen was extracted from the test sample by FIB micro-sampling and was fixed to the cross sections of the thin aluminum foil by tungsten deposition The specimen suitable for TEM observation was thinned down to a thickness of 300 nm by the FIB system Finally as shown in Fig 2b both the top and bottom surfaces of the specimen were milled for 5 minutes by an Ar+ ion beam at an accelerating voltage of 15 kV and an incident angle of 10 degrees in a GATAN Dual Ion Mill In this method the thickness of the damaged layer in the compound semiconductors was reduced to less than 2 nm by the final Ar+ ion milling [7] The thickness of the crystalline region in the TEM specimen was measured to be 280 nm by convergent beam electron diffraction

We used off-axis phase-shifting electron holography [10] to obtain phase maps with high spatial resolution and high phase-measurement sensitivity In a conventional Fourier transformation method the spatial resolution is limited by the radius of the filter mask ie the spatial resolution is approximately three times the fringe spacing In contrast the spatial resolution of an image

Tungsten GaAs

(a)

(b)

Tungsten GaAs

(a)Tungsten GaAs

(a)

(b)(b)

Fig 3 a) Electron hologram obtained from the test GaAs specimen prepared by FIB-Ar ion milling b) Corrected hologram without Fresnel fringes

Observation of Dopant Distribution in Compound Semiconductors Using Off-axis EH 397

reconstructed by the phase-shifting method is the same as the pixel size of the electron detector at low to medium magnification In our experiment the spatial resolution used was approximately 2 nm this corresponds to one pixel of a charge-coupled device (CCD) camera The sensitivity of the phase measurement was estimated to be approximately 002 rad [11] The specimen was observed using a JEOL-3000F operated at 300 kV equipped with a Schottky field-emission electron gun and an electron biprism Digital holograms with 1024times1024 pixels were recorded using a GATAN 794 slow scan CCD camera system In this experiment 13 holograms with different initial phases were obtained from the cross-sectional specimen A phase image was then reconstructed from these 13 holograms 3 Results and Discussion An example of the electron hologram obtained from the GaAs test specimen prepared by the FIB-Ar+ ion milling method is shown in Fig 3a In this hologram the interference fringes are not clear because of the Fresnel fringes However the contrast of these interference fringes can be enhanced by the method we developed [11] Figure 3b shows a corrected hologram obtained from the region enclosed by the broken line as shown in Fig 3a where the spacing between the interference fringes is approximately 60 nm Figure 4a shows the reconstructed phase image obtained from the 13 holograms The p and nndash regions are distinguishable as regions with dark and bright contrast Additionally an n+ region exhibits a brighter contrast

To quantitatively analyse the phase distributions we obtained the average phase profile across the p-n junction and the n+nndash interface from the phase image The line profile is plotted in Fig 4b where the phase difference between the p and nndash regions is approximately 11 rad and that between the n+ and nndash regions is approximately 08 rad

To interpret the phase distribution in the phase map we calculated the band diagram by solving Poissonrsquos equations self-consistently Figure 4c shows the simulation result which indicates that the potential step ΔV across the junction between the p and nndash regions is 14 eV and that between the n+ and nndash regions is 02 eV The ratio of 11 rad for the pn junction to its potential drop of 14 eV is significantly different from that of 08 rad for the n+nndash interface to its potential drop of 02 eV This is due to a nonuniform electrical potential in the TEM specimen to the direction of the incident electron beam In this case the phase shift is given by

(a)

p n- n+ n- p

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(b) p n- n+ n- p

Distance (nm)

Phas

e (r

ad)

-2

-15

-1

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(c)

Ec(eV) Ev(eV) Ef(eV)

Distance (nm)

Pote

ntia

l Ene

rgy

(eV

)

p n- n+ n- p(a)

p n- n+ n- p

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(b) p n- n+ n- p

Distance (nm)

Phas

e (r

ad)

(a)

p n- n+ n- p

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(b) p n- n+ n- p

Distance (nm)

Phas

e (r

ad)

-2

-15

-1

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(c)

Ec(eV) Ev(eV) Ef(eV)

Distance (nm)

Pote

ntia

l Ene

rgy

(eV

)

p n- n+ n- p

-2

-15

-1

-05

0

05

1

15

2

0 500 1000 1500 2000 2500

(c)

Ec(eV) Ev(eV) Ef(eV)Ec(eV) Ev(eV) Ef(eV)

Distance (nm)

Pote

ntia

l Ene

rgy

(eV

)

p n- n+ n- p

Fig 4 a) Reconstructed phase image obtained from 13 holograms The p- and n-type regions are distinguished as areas of dark and bright contrast Also an n+ region is displayed with brighter contrast b) Phase profile across the p-n junction and n+nndash interface from the phase image c) Band diagram of the test sample obtained by solving Poissonrsquos equations self-consistently

398 H Sasaki et al

where CE is an interaction constant V is the electrostatic inner potential of the specimen and z is the electron beam direction We calculated the phase shift from the equation and the band diagram simulations in the direction of the electron beam [12] In the band diagram simulations we assumed that the respective Fermi energies of the specimen surface were 090 eV and 065 eV for the p-type and n-type GaAs below the conduction band [13 14] The simulation results yielded a phase difference of 162 rad between the nndash and p regions and 111 rad between the n+ and nndash regions The discrepancies between the simulation and experimental results are attributed to an electrically dead layer damaged by the Ga+ ions implanted during the FIB milling [2] In this specimen if the thickness of the electrically dead layers is assumed to be about 40 nm the phase difference between the n+ and nndash regions should be 084 rad and that between the nndash and p regions should be 108 rad these values agree well with the experimental results 4 Conclusions In conclusion we have succeeded in observing the p-n junction and the difference in dopant concentrations between the low (13 times 1016 cmndash3) and high (30 times 1018 cmndash3) dopant concentration regions of a GaAs semiconductor This was achieved by the combination of the FIB-Ar+ ion milling to prepare the sample and off-axis phase-shifting electron holography Because phase-shifting electron holography is advantageous from the viewpoint of high spatial resolution and high phase-measurement sensitivity it is suitable for analysing the dopant concentrations in very small semiconductor devices These results indicate that these methods will be useful in the compound semiconductor industry References 1 McCartney M R Smith D J Hull R Bean J C Voelkl E and Frost B 1994 Appl Phys Lett 65

2603 2 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 Phys Rev Lett 82

2614 3 Wang Z Hirayama T Sasaki K Saka H and Kato N 2002 Appl Phys Lett 80 246 4 Twitchett A C Dunin-Borkowski R E and Midgley P A 2002 Phys Rev Lett 88 238 5 Wang Z Kato T Hirayama T Kato N Sasaki K and Saka H 2005 Appl Surf Sci 241 80 6 Yabuuchi A Tametou S Okano T Inazato S Sadayama S Yamamoto Y Iwasaki K and

Sugiyama Y 2004 J Electron Microsc 53 471 7 Tanabe K Matsuda T Sasaki H and Iwase F 2005 Proc 14th Int Conf Microscopy of

Semiconducting Materials (Springer Berlin) pp 417-420 8 Cooper D Twitchett A C Somodi P K Midgley P A Dunin-Borkowski R E Farrer I and

Ritchie D A 2006 Appl Phys Lett 88 063510 9 Sasaki H Matsuda T Kato T Muroga T Iijima Y Saitoh T Iwase F Yamada Y Izumi T

Shiohara Y and Hirayama T 2004 J Electron Microsc 53 497 10 Ru Q Endo J Tanji T and Tonomura A 1991 Appl Phys Lett 59 2372 11 Yamamoto K Kawajiri I Tanji T Hibino M and Hirayama T 2000 J Electron Microsc 49 31 12 Sasaki H Yamamoto K Hirayama T Ootomo S Matsuda T Iwase F Nakasaki R and Ishii H

2006 Appl Phys Lett 89 244101 13 Spicer W E Chye P W Skeath P R Su C Y and Lindau I 1979 J Vac Sci Technol 16 1422 14 Monch W 1983 Surf Sci 132 92

int= dzzVCE )(ϕ

Dopant Profiling of Silicon Calibration Specimens by Off-Axis Electron Holography D Cooper R Truche F Laugier F Bertin and A Chabli CEA LETI Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France Summary Si calibration specimens have been grown for characterisation using off-axis electron holography Holograms were acquired using an FEI Titan electron microscope in a specially designed room allowing long acquisition times to be used We show a significant improvement in the signal-to-noise ratio in the phase images whilst using a low electron beam intensity to reduce the effects of specimen charging 1 Introduction The international roadmap for semiconductors (ITRS) assesses the needs and challenges facing the semiconductor industry over the next 15 years In 2006 the ITRS specifically described dopant profiling of 32 nm gate-architecture devices as a lsquodifficultrsquo challenge Off-axis electron holography is a TEM-based technique that uses a charged biprism to form an interference pattern between an electron wave that has passed through a specimen with an electron wave that has passed though only vacuum From the interference pattern phase and amplitude images of the specimen can be reconstructed In the absence of magnetic fields and diffraction contrast the phase of an electron that has passed through a semiconductor specimen of thickness t is given by

∆φ = CE int0t V(xyz)dz

where CE is a constant dependent on the energy of the electron wave V is the electrostatic potential and dz the direction of the electron beam [1] If a parallel-sided specimen of known thickness is examined then in principle a quantitative 2D map of the potentials arising from the presence of dopants in a semiconductor specimen can be recovered with nm-scale resolution

At present focused ion beam (FIB) milling is used to prepare specimens for examination using off-axis electron holography as the parallel-sided specimens that are required for electron holography can easily be prepared from a region of interest FIB milling introduces significant artefacts into the specimen in the form of an amorphous surface layer and an electrically lsquoinactiversquo thickness that arises from the presence on defects deep in the specimens and can pin the Fermi level [2] In addition effects from charging [3] and surface depletion [4] lead to a reduction in the measured potential in specimens containing semiconductor devices 2 The Specimens Specimens containing differently doped layers of boron were grown for examination using reduced pressure chemical vapour deposition (RPCVD) Figures 1a and 1b shows secondary ion mass spectrometry (SIMS) profiles (solid line) and 1D simulations calculated directly from the SIMS profile (dashed line) for the two different specimens examined here

The lsquodelta dopedrsquo specimen consists of highly-doped layers with spacings of 30 and 60 nm with a low-doped background The theoretical step in potential across these layers is 032 V and

400 D Cooper et al

034 V for the 30 and 60 nm spaced layers respectively assuming that all of the dopants are electrically active The lsquotrench dopedrsquo sample consists of layers of relatively low dopant concentrations of different thickness with a highly doped background The smallest layer is only 10 nm in width and has a step in potential across it of only 002 V

The specimens were prepared for examination by in situ lift out [5] and then thinned to electron transparency using a FIB miller operated at 30 kV and a low beam current to ensure parallel-sided specimens 3 Examination of the Specimens Holograms of both specimens were acquired using an FEI Titan transmission electron microscope (TEM) operated at 200 kV The microscope has been installed in a new building specifically designed to house TEMs with an anti-vibration stage excellent temperature stability a low rate of airflow and background electromagnetic fields well within the specification supplied by the manufacturer

To achieve an optimum phase resolution and a good signal-to-noise ratio in the phase images the contrast of the holograms must be high whilst sampling as many electrons as possible [6] A weak electron beam intensity is preferable to reduce the effects of charging in the semiconductor specimens but long acquisition times lead to a degradation of the hologram contrast The excellent stability of the Titan microscope allowed holograms to be acquired for 4 16 and 64 seconds with contrast levels of 36 36 and 20 and a mean signal on each CCD pixel of 625 2500 and 10000 counts (measured in the reference holograms) The fringe spacing of the holograms was adjusted to give a theoretical phase resolution of 10 nm and a field of view of 600 nm The specimens were tilted by a few degrees from the zone axis to reduce diffraction contrast in the phase images whilst taking care to ensure the doped layers were lsquoedge onrsquo relative to the electron beam

Figure 2a shows phase images reconstructed from holograms acquired for 4 16 and 64 seconds The improvement in the phase images is clear Profiles have been averaged over 100 nm of the phase images and are shown in Fig 2b The 30 nm-spaced layers are clearly resolved in the phase images reconstructed from the holograms acquired for 16 and 64 seconds The low signal-to-noise ratio in the phase image reconstructed from the hologram acquired for 4 seconds does not allow the highly-doped layers to be clearly resolved

Fig 1 SIMS profiles (solid lines) and simulated 1D potentials (dashed lines) for a) the delta-doped and b) the trench-doped specimens

Dopant Profiling of Silicon Calibration Specimens by Off-Axis Electron Holography 401

The thickness of the specimen was measured using convergent beam electron diffraction

(CBED) and was found to be 520 nm Using the experimentally determined step in phase measured across the layers and the crystalline specimen thickness a value of Vbi of less than 01 +- 0005 V is obtained which is much less than the 032 V suggested by the simulations Reasons for this discrepancy will include the presence of the electrically lsquoinactiversquo layer the effects of specimen charging and of surface depletion However SIMS detects the number of dopant atoms in the specimens [7] therefore it is possible that the highly doped layers of 4times1020 cm-3 are not fully electrically active This is supported by the shape of the phase profiles extracted from the phase images that do show the sharp peaks observed in the SIMS profiles and the actual step in potential across the layers may be significantly less than that suggested from the simulations

Figure 3a shows phase images acquired for the trench-doped specimen reconstructed from holograms acquired for 4 16 and 64 seconds In the phase images reconstructed from the holograms acquired for 4 seconds only the four widest layers with the largest step in potential can be detected above the noise In the phase images reconstructed from the holograms acquired for 16 seconds six layers are detected above the noise and in the phase images reconstructed from the holograms acquired for 64 seconds all seven layers are detected including the 10 nm-wide layer with a step in potential of only 002 V Figure 3b shows profiles averaged across 100 nm of the phase images By acquiring a series of CBED patterns from the top to the bottom of the specimen the ramp in the phase profiles shown in Fig 3b was found to be due to a small thickness ramp measured to be 20 nm across a depth of 40 microm

The widest layer indicated in Fig 1d has a dopant concentration of 2times1016 cm-3 with a

Fig 3 a) Phase images reconstructed from holograms acquired for 4 16 and 64 seconds (trench-doped specimen) b) profiles averaged across 100 nm of the phase images

Fig 2(a) shows phase images reconstructed from holograms acquired for 4 16 and 64 seconds (b)(a)

Fig 2 a) Phase images reconstructed from holograms acquired for 4 16 and 64 seconds (delta-doped specimen) b) profiles averaged across 100 nm of the phase images

402 D Cooper et al

background dopant concentration of 2times1019 cm-3 and a theoretical Vbi 02 V The specimen thickness at this layer was measured using CBED to be 430 nm By using the experimentally determined step in phase measured across this layer a value of 04 V is calculated for Vbi which is much higher than the 02 V suggested from the simulations Simulations have suggested that in Si specimens with dopant concentrations of less than 1times1018 cm-3 the effects of surface depletion result in a significant reduction in the potential measured in a thin TEM specimen This effect would explain the mechanism behind the measurement of a potential that is larger than predicted by theory However it is difficult to directly determine the extent of the surface depletion as the effects of specimen damage and charging will also influence the phase measured across the junction 4 Conclusions Silicon calibration specimens have been characterised using off-axis electron holography Holograms have been acquired using a very low intensity electron beam for different time periods to assess the improvement in the signal-to-noise in the phase images

In the delta-doped specimen 40 nm-spaced highly-doped layers have been detected with the best results being reconstructed from a hologram averaged for 64 seconds with 20 fringe contrast and an average of 10000 electron counts incident on each CCD pixel (in the reference hologram) The potential calculated from the phase profile is less than expected from theory due to the effects of the electrically lsquoinactiversquo thickness introduced during FIB milling with energetic Ga+ ions specimen charging and the fact that not all of the dopant atoms in the specimen are electrically active

In the trench-doped specimen we have detected all of the doped layers from a hologram acquired for 64 seconds including the smallest layer with a theoretical step in potential of only 002 V We have also demonstrated the effects of surface depletion in the lightly-doped layers

Although these results are promising it is necessary to improve the specimen preparation procedure to reduce the damage introduced in the specimens This can be done by reducing the energy of the FIB operating voltage [8] by using low energy low angle Ar milling [9] or by annealing the specimens in high vacuum [2] It would also be beneficial to reduce the build-up of charge in the semiconductor specimens by improving the electrical connections to earth When the specimen preparation technique has been perfected it will be necessary to model the effects of surface depletion in thin TEM specimens Ultimately we would like to be able to measure the variations of potential associated with the presence of dopants directly with the excellent signal- to-noise ratios demonstrated here References 1 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 App Phys Lett 82

2614 2 Cooper D Twitchitt A Somodi P K Midgley P A Dunin-Borkowski R E Farrer I and Ritchie

D A 2006 App Phys Lett 88 063510 3 Cooper D Twitchitt A Midgley P A and Dunin-Borkowski 2007 J Appl Phys In press 4 Somodi P K 2005 PhD Thesis University of Cambridge 5 Overwijk M H F van der Heuvel and Bulle-Lieumwa C W T 1993 J Vac Sci Technol 11

2021 6 Harscher A and Lichte H 1996 Ultramicroscopy 64 57 7 Zalm P C 1995 Rep Prog Phys 58 1321 8 Cooper D Truche R Rouviere J L and Chabli A 2007 Ultramicroscopy Submitted 9 Sasaki H Yamamoto K Hirayama T Ootomo S Matsuda T Iwase F Nakasaki R and Ishii H

2006 App Phys Lett 89 244101

Novel Approach for Visualizing Implants in Deep Submicron Microelectronic Devices Using Dopant Selective Etching and Low keV SEM Y Chakk I Vidoshinsky and R Razilov Intel Electronics Ltd P O Box 100 Kiryat Gat 82109 Israel Summary There is a significant need for direct dopant profile measurements in deep submicron microelectronic technologies In this work a novel approach for implant visualization is reported The approach consists of two sequential steps (1) selective chemical wet etch of doped regions with the new dopant selective etching (DSE) recipe and (2) scanning electron microscopy imaging of the decorated regions under optimized low keV conditions It was demonstrated that any combination of pn type dosage density or implant penetration depth can be visualized simultaneously using this method The new DSE chemistry and low keV contrast mechanisms are described in detail 1 Introduction With the shrinkage of modern semiconductor devices to deep submicron level no current method exists for direct dopant profile measurements which meet all of the following specifications sub-10nm spatial resolution plusmn10 accuracy and sensitivity to dopants over the 1016ndash1020 cm-3 range There are four major carrier profiling techniques currently in use (1) secondary ion mass spectrometry (SIMS) (2) dopant selective etching (DSE) [1-3] (3) SPM scanning probe microscopy (SPM) techniques such as scanning capacitance microscopy (SCM) [3-6] and (4) scanning and transmission electron microscopy (SEM and TEM respectively) [7-11] However none of these techniques are able to simultaneously fill all of these desired specifications

For example SIMS provides only 1D information and requires special test structures eliminating its applicability to real devices Poor control of the etching conditions limits the reproducibility of DSE In addition it is not possible to visualize both p and n dopants or light and heavy doped regions simultaneously Finally the reaction mechanisms of the etching are not completely understood Sample preparation creates many artefacts and may result in the difference between the metallurgical and electrical p-n junctions known as carrier spilling in 2D SCM images SCM images also clearly vary with Vbias changing junction location

Recently a novel method for using a SEM to simultaneously image both p- and n-doped regions in Si was demonstrated A new dopant contrast mechanism incorporating dynamic charging effects as a result of the e-beamspecimen interaction is suggested [12] However surface imperfections caused by sample preparation (eg mechanical cleave polish ion beam) and oxidationcarbon build up can destroy the contrast between differently doped regions From the above a robust method for implant analysis in real devices that provides reproducible results in a wide dopant range with high resolutionaccuracy is still required

In this work a novel approach developed for implant visualization that utilizes modified DSE followed by SEM imaging under optimized low keV conditions is reported In contrast to the existing methods this approach enables simultaneous visualization of pn dopants throughout a wide range of concentrations in real deep submicron devices with SEM resolution DSE chemistry and low keV dopant contrast mechanisms are suggested and described in detail

404 Y Chakk I Vidoshinsky and R Razilov

2 Experimental Details Patterned and blanked pn junctions were fabricated in (100) oriented p- and n-doped silicon wafers Dopant concentrations within the 1016ndash1020 cm-3 ranges were used The penetration depth of the dopants was controlled by implant energy

The samples were cleaved in air along a direction perpendicular to the [001] to expose a (110)-type plane The cleaved cross section samples with pn junctions were treated with the modified DSE recipes a combination of HNO3 CH3OOH and buffered oxide etch (BOE) solution - 961 H2ONH4FHF) diluted by H2O Samples were analyzed using a Hitachi S4800 Field Emission SEM under optimized low keV conditions All the images were acquired with a through-the-lens upper secondary electron detector

The same structures were also measured using a Digital Instruments Dimension 5000 atomic force microscope (AFM) to determine the etch profile Commercially available AFM probes were used for the profile analyses 3 Results This novel approach for implant visualization consists of two sequential steps (1) wet etch using a highly selective DSE recipe and (2) SEM imaging of the selectively decorated regions under optimized low keV conditions It was demonstrated that the new recipe decorates p- and n-type areas light and heavy deep and shallow doped regions simultaneously For the step (2) low keV imaging conditions as established in [12] achieved the best SEM contrast as shown in Figs 1a b c

Fig 1 SEM micrographs of the test structures show the effect of DSE on differently doped Si regions a) deep low dose boron doping (appears as a bright area vs un-doped Si) b) shallow high dose phosphorus doping (appears as a dark region vs un-doped Si) c) and f) complementary SEM and AFM images captured from the identical areas d) and e) AFM line-scans reveal depth profiles of boron and phosphorus doped regions respectively Line-scan location is shown by the line on the corresponding SEM images

In order to understand the DSE chemistry and SEM contrast mechanism AFM depth profiles for

the previously imaged structures were measured (eg Figs 1c f) Comparing the two measurement techniques revealed that B-doped regions are under-etched vs un-doped Si regions and appear as peaks In contrast PAs-doped regions are over-etched and appear as wells vs the surrounding un-doped Si (see Figs 1d e respectively) In the low keV SEM images (Figs 1a b) the contrast is directly related to the dopant type and concentration dose where B-doped areas are brighter and PAs are darker when compared to the surrounding un-doped Si There is also a direct correlation between the SEM image contrast and the AFM depth measurements

Visualizing Implants in Deep SM ME Devices Using DSE amp Low keV SEM 405

4 Discussion The proposed dopant contrast mechanism is based on the previously described observations Standard Si etching solutions are commonly based on a mixture of HF (49) HNO3 (70) and CH3COOH (96) diluents [13 14] The etching occurs through two sequential reactions (I) Si surface oxidation by HNO3 and (II) oxide removal by HF These reactions can be described as follows Si + 2HNO3 rarr SiO2 + 2HNO2 Ea = 586 kJmol SiO2 + 6HF rarr SiF6

2- + 2H+ + 2H2O Ea = 293 kJmol

Usually a 138 ratio of HF HNO3 and CH3COOH is used For this mixture the Si etching rate is controlled by the HF transport from the bulk solution to the Si surface every HF molecule arriving at the surface is consumed by the reaction When HF is consumed the etch rate drops

The wet etch mechanism of doped Si is similar However kinetics of the oxidationetch processes are dependent on the type and amount of dopants P and As atoms in Si serve as oxidation initiators [15 16] Positively charged P+ and As+ dopant ions attract the negative ions of the adsorbed oxidant species This promotes their preferential inter-diffusion creating defects such as activated Si atoms with dangling bonds vacancies etc

Preferential oxidization of AsP atoms causes the surrounding Si atoms to oxidize at a higher rate than the un-doped Si In contrast to enhanced oxidation caused by the PAs dopants negatively charged B- ions reduce Si oxidation rate as compared to un-doped Si Thus the oxidation rates (OR) reveal the following order OR[Si(AsP)] gt OR[Si] gt OR[Si(B)] (see Fig 2aI) Furthermore PAs dopant concentration directly affects the oxidation rate where higher concentrations of PAs result in higher oxidization rates The opposite holds true for B implants and higher concentrations of B result in lower oxidization rates

Fig 2 a) Sketch of the sequential oxidationetch reaction rate differences between un-doped vs differently doped Si b) dependence of Si interaction volume on SEM PE beam energy as obtained by Monte Carlo simulation c) sketch of the charge distribution inside the Si interaction volume vs maximum PE range (R) and max SE escape depth (~5λ) The best SEM contrast is achieved when Rgt5λ [12]

In an excess of HF oxides grown on differently doped regions can be successfully etched However

with the standard recipe as described above the HF is quickly consumed by heavily doped regions while lightly doped areas remain unaffected This substantially decreases selectivity of the DSE process

In order to improve the DSE sensitivity HF is replaced by BOE diluted with H2O HF rarr (HF + NH4F + H2O) In the modified recipe as HF is consumed by SiO2 removal BOE maintains a constant HF concentration throughout the reaction NH4F + H2O harr NH4OH + HF The HF concentration remains constant until the buffer capacity is fully utilized This provides consistent low etch rates allows longer dip times and both highly and lightly doped regions are etched simultaneously

Etch rates (ER) correspond to the oxidation rates ER[Si(AsP)] gt ER[Si] gt ER[Si(B)] (Fig 2aII)

406 Y Chakk I Vidoshinsky and R Razilov

and subsequently the etch rate closely reflects the dopant type and concentration This results in Si morphology changes and B-doped regions appear as highs while PAs-doped regions appear as wells

In order to obtain the maximum SEM contrast from differently doped Si optimized low keV imaging conditions as established in [12] were applied (Fig 2b c) The most favorable case for dopant contrast is when the primary electron (PE) range (R) is comparable to or higher than the maximum secondary electron (SE) escape depth (5λ) As a result B-doped regions appear as a bright spots while PAs-doped regions are darker when imaged by low keV SEM Un-doped regions are of intermediate contrast 5 Conclusions In this work a novel approach for implant visualization is reported The approach consists of two sequential steps (1) wet etch of differently doped regions with a new highly selective DSE recipe and (2) SEM imaging of the decorated regions under optimized low keV conditions During step (1) the recipe decorates both p- and n-doped regions simultaneously For step (2) it was established that the best dopant contrast by SEM is when the primary electron range (R) is slightly higher than the maximum secondary electron escape depth (5λ) The SEM contrast mechanism affects doped Si regions highlighting differences in the oxidation rates of PAs-implanted Si vs un-doped and B-doped Si As a result B-doped regions appear as bright spots while PAs-doped regions are darker when imaged by SEM Un-doped regions are of intermediate contrast Combination of steps (1) and (2) allows simultaneous visualization of different implants (pn lightheavy and deepshallow) in deep sub-micron devices Acknowledgements The authors would like to thank Dr V Glezer Dr D Horvitz and B Idelchik for their stimulating discussions comments and encouragement throughout this study References 1 Barrett M Dennis M Tiffin D Li Y and Shih K 1995 IEEE Electron Device Lett 16 118 2 Mahaffy R Shih K and Edwards H 2000 J Vac Sci Technol B 18 566 3 De Wolf P Stephenson R Trenkler T Clarysse T Hantschel T and Vandervorst W 2000 J

Vac Sci Technol B 18 361 4 Duhayon N Clarysse T Eyben P Vandervorst W and Hellemans L 2002 J Vac Sci Technol

B 20 741 5 Smoliner J Basnar B Golka S Gornik E Loffler B Schatzmayr M and Enichlmair H 2001

Appl Phys Lett 79 3182 6 Stephenson R De Wolf P Trenkler T Hantschel T Clarysse T Jansen P and Vandervorst W

2000 J Vac Sci Technol B 18 555 7 Perovich D Castell M Howie A Lavoie C Tiedje T and Cole J 1995 Ultramicroscopy 58 104 8 Venables D Jain H and Collins D C 1998 J Vac Sci Technol B 16 362 9 Schonjahn C Broom R F Humphreys C J Howie A and Mentink S A M 2003 Appl Phys

Lett 83 293 10 Mullerova I El-Gomati M M and Frank L 2002 Ultramicroscopy 938 223 11 Neogi S Venables D Ma Z Maher D Taylor M and Corcoran S 1997 J Appl Phys 82 1 12 Chakk Y and Horvitz D 2006 J Mater Sci 41 4554 13 Turner D R 1960 J Electrochem Soc 107 810 14 Schwartz B and Robbins H 1976 J Electrochem Soc 123 1903 15 Ying W B Mizokawa Y Tanahashi K Kamiura Y Iida M Kawamot K and Yang W Y 1999

Thin Solid Films 343-344 393 16 Yano F Hiraoka A Itoga T Matsubara A Kojima H Kanehori K and Mitsui Y 1996 J Vac

Sci Technol B14(4) 2707

Quantitative Dopant Profiling in the SEM Including Surface States K W A Chee C Rodenburg1 and C J Humphreys University of Cambridge Department of Materials Science and Metallurgy Pembroke Street Cambridge CB2 3QZ UK 1 University of Sheffield Department of Engineering Materials Mappin Street Sheffield S1 3JD UK Summary To study the basis of dopant contrast in secondary electron (SE) imaging in the scanning electron microscope (SEM) we have performed experiments to show the dependence of the dopant contrast on the surface charges and have used computer modelling to investigate the effects of surface states and doping concentrations on the surface band bending and external patch fields We have tested the validity of our calculations against experimentally measured SE energy spectra and derived a density of surface states in silicon of 1-3times1012 cm-2 The method described in this paper will help to enable the accurate quantification of dopant mapping of semiconductors in the SEM 1 Introduction Secondary electron (SE) image contrast is observed from doping differences in semiconductors examined in the scanning electron microscope (SEM) In general p-type regions appear brighter than n-type regions Experimentally an approximately direct proportionality of the dopant contrast to the logarithm of the doping concentration is found [1-5] It was demonstrated that the SE yield is sensitive to ionised dopants rather than the total number of dopant atoms [256] In the above work it is proposed that the dopant contrast mechanism is due to the built-in electric field across a p-n junction modified by the effects of surface band-bending and external patch fields El-Gomati et al [7] challenged this model by proposing that a surface metal-semiconductor contact is responsible for the observed contrast in which a Schottky barrier and an ohmic contact form on the surface of the n-type and p-type areas of the p-n junction respectively Carbon contamination was suggested to lead to such a contact on Si because of its higher work function Although this hypothesis has not yet been confirmed independently to be responsible for dopant contrast in SE imaging it challenges the basis for the theory of SE imaging and its quantification

We report here that there is indeed a pronounced influence of the surface on the observed doping contrast which can even lead to a scan rate dependent contrast reversal We explain our findings not by a metal-semiconductor contact model but by a difference in surface states caused by the surface treatment process Our investigation of the effect of surface states on doping contrast may raise questions on the quantifiability of dopant contrast However in the second part of this paper we demonstrate that we can use a combination of SE spectroscopy and finite-element modeling to determine the density of surface states and hence account for them in the generation of dopant contrast thereby showing the viability of SE dopant contrast quantification in the SEM 2 Experimental Procedures and Findings 21 Surface Treatment Experiments The silicon p-n junction specimen used in the experiments was a CVD grown 25 microm thick B-doped (5 x 1018

cm-3) layer on Sb-doped (5 x 1018 cm-3) substrate The p-n junction was imaged

immediately after it was cross-sectioned by cleavage in air to expose a 100 plane and re-imaged

408 K W A Chee C Rodenburg and C J Humphreys

again following surface treatment The treatment was an ARISTAR ammonium fluoride solution 40 (NH4F) After dipping the sample into the solution for ~1 min the surface was rinsed with distilled water for ~1 min The SE images were sequentially obtained at a magnification of 5000times on a FEI XL30 sFEG-SEM using the TTL detector activated in the UHR mode The deflector voltage was at 60 V and the extractor bias at 20 V The other settings used were a beam accelerating voltage of 1 kV a spot size number 3 a probe current of 20 pA and a working distance of ~5 mm An objective aperture of 30 microm diameter was used and the operating base pressure in the vacuum chamber was 3 x 10-6 mbar

Fig 2 Contrast profiles as a function of depth from the surface relating to the SE images in Fig 1 The p-n junction is at a depth of 25 microm

As shown in Figs 1a and 2 the p-doped region appears brighter than the n-doped region on a freshly cleaved cross-section of the silicon sample as expected After surface treatment the SE image at TV scan mode exhibits contrast reversal (Figs 1b and 2) ndash the p-doped region now appears darker than the n-doped region However when a slower scan rate (SL1) is used the p-doped region becomes slightly brighter than the n-doped region (Figs 1c and 2) Figure 1d shows that as the imaging is reverted back to TV scan mode the original contrast reversal characteristics are obtained again By definition the TV scan period is ~2 sframe64x where frame64x refers to 64 averaged frames and SL1 scan mode is ~10 sframe 22 Discussion In Fig 1a the freshly cleaved Si specimen will have a surface layer of native silicon oxide about 1 nm thick which forms rapidly after cleaving in air Treatment with 40 (NH4F) is believed to remove this oxide layer and passivate the surface so that the oxide layer does not re-form rapidly After this surface treatment the sample was placed into the SEM and the images shown in Figs 1b c and d were taken within about 5 minutes so the sample almost certainly does not have an oxide layer for these images The density of surface states on the silicon in Fig 1a compared with Figs 1b c and d is therefore very different and it is this difference which must be responsible for the contrast reversal observed We will discuss this elsewhere but note here that dopant contrast is a sensitive function of the density of surface states We will now demonstrate how the measurement of the junction built-in voltage at the surface in combination with finite-element simulations solving the semiconductor

Fig 1 SE images of the silicon p-n junction when the sample had been a) freshly cleaved (slow scan mode at 10 sframe) then surface treated with 40 (NH4F) then b) TV scan c) slow scan d) TV scan of same scanned area

Quantitative Dopant Profiling in the SEM Including Surface States 409

Poissonrsquos equation for the sample can be used to determin the number of surface states present This in turn will form a more reliable quantification method for dopant contrast 23 SE Spectroscopy Measurements and Finite-Element Modelling The surface built-in voltage is different from that in the bulk because of surface band-bending effects Consequently the surface potential difference across the p-n junction is reduced to

Vbi΄ = Vbi ndash ∆Vs (1) Elliott et al [4] examined the SE dopant contrast obtained from a series of voltage biasing experiments on a Si p-n junction sample and ascertained its flatband voltage when the bulk built-in voltage was zero by considering the behaviour of direct proportionality in the relationship of the dopant contrast to the internal junction potential The derived potential is 07 plusmn 014 V at the flatband condition for the p-n junction sample with donor and acceptor concentrations of 5 times 1018

cm-3 at room temperature

Kazemian et al [8] performed calibrated energy-filtered SE imaging with the TTL detector to obtain SE energy spectra from the differently doped regions of an unbiased silicon p-n junction sample The junction at the surface was determined directly from the energy displacement of the SE spectra For a p-n junction sample with an acceptor concentration of 28 times 1019

cm-3 and donor concentration of 5 times 1018 cm-3

the room temperature measured junction potential difference at the surface was 072 plusmn 015 V

amp Finite-element simulations were performed to solve Poissonrsquos equation on the Si p-n junction samples that were used in the experiments mentioned above [4] [8] The junction built-in

Fig 3 Plots of computed junction built-in potential values at 1 nm below the surface as a function of the area density of surface states for the silicon p-n junction sample

410 K W A Chee C Rodenburg and C J Humphreys

potential values have been calculated (see Fig 3) at a depth of 1 nm below the silicon surface The surface states were simulated in a uniform 1 nm silicon layer on the surface of the semiconductor and are specified to have an energy level at the mid-gap position for silicon (055 eV) which is a known surface state level [9] The numerical solutions obtained have been used to quantify the surface state densities on the samples that have been characterised experimentally The surface state density that corresponds to the measured ∆Vs is determined from the two sets of experiments reported in [4] and [8] using Fig 3 The results are summarised in Table 1 The estimate of the surface state density is in the order of 1012

cm-2 and the close agreement between the solutions confirms the excellent accuracy of the simulation model used in the theoretical framework

NS1 (cm-2) NS1Min (cm-2) NS1Max (cm-2) NS2 (cm-2) NS2Min (cm-2) NS2Max (cm-2)

130times1012 100times1012 154times1012 183times1012 129times1012 340times1012 Table 1 List of values and error margins for the density of surface states derived from solutions of finite-element methods (cf Fig 3) 3 Summary and Conclusions We have demonstrated the strong influence of surface states on dopant contrast and those states therefore have to be taken into account in reliable dopant contrast quantification We have demonstrated a methodology to quantify surface states in the SEM based on a combination of SE emission spectroscopy or biasing experiments together with finite-element modelling to solve Poissonrsquos equation for the semiconductor sample The derived values for the density of occupied surface states are within the range (~1011 to 1013 cm-2) quoted in the literature on silicon [9] Hence the dopant contrast mechanism as confirmed by the findings in this work is a result of patch fields on the specimen surface that are governed by the change in the internal energy across the junction in the bulk of the specimen and determined by the distribution of surface charges The results of this work will help to enable the accurate quantification of dopant mapping of semiconductors in the SEM Acknowledgements A Chee acknowledges L Zhao for her assistance and ORSAS Cambridge Commonwealth Trust Trinity Hall Brockhouse Studentship and the FEI company for their support C Rodenburg thanks the Royal Society for their support The authors are grateful to B Kaestner for introducing the use of the ATLAS software programme from the Silvaco company References 1 Perovic D D Castell M R Howie A Lavoie C Tiedje T and Cole J S W 1995 Ultramicroscopy

58 104 2 Turan R Perovic D D and Houghton D C 1996 Appl Phys Let 69 1593 3 Venables D Jain H and Collins D C 1998 J Vac Sci Technol B 16 362 4 Elliott S L Broom R F and Humphreys C J 2002 J Appl Phys 91 9116 5 Perovic D D Turan R and Castell M R 1997 Proc Int Centennial Symposium on the Electron

Cambridge UK IOM Communications Ltd 6 Castell M R Perovic D D and Lafontaine H 1997 Ultramicroscopy 69 279 7 El-Gomati M M Zaggout F Jayacody H Tear S and Wilson K 2005 Surf Interface Anal 37

901 8 Kazemian P Mentink S A M Rodenburg C and Humphreys C J 2006 J Appl Phys 100

054901 9 Sealy C P Castell M R and Wilshaw P R 2000 J Electron Microsc 49 311

On the Asymmetric Splitting of CBED HOLZ Lines under the Gate of Recessed SiGe SourceDrain Transistors A Benedetti1 2 and H Bender1 1 IMEC Kapeldreef 75 B-3001 Leuven Belgium hugobenderimecbe 2 current address ICMAB-CSIC Campus UAB 08193 Bellaterra Barcelona Spain Summary The behaviour of the CBED HOLZ line splitting under the gate of transistor structures with recessed SiGe in the sourcedrain regions is investigated Structures with nitrideoxide dummy gates or with poly gates and nitride spacers are studied In the gate region as well as below the SiGe splitting of the HOLZ lines in the CBED patterns is observed with increasing magnitude towards the interface The splitting under the gate shows an asymmetry for equivalent lines which inverts along horizontal cutlines under the gate The behaviour is explained by a 3-dimensional relaxation of the stress 1 Introduction Convergent beam electron diffraction (CBED) in the transmission electron microscope is a high spatial resolution method for measuring stress distributions on a nanometre scale [12] At shallow depths below the interface the HOLZ lines within the CBED pattern are often ldquosplitrdquo which hinders pattern interpretation in the classical way [3-8] The splitting is generally related to a relaxation of the stress in the TEM specimen such that the planes along the electron beam path are variably rotated The effect occurs for all kinds of stressing layers on silicon including amorphous poly-crystalline or epitaxially grown materials [8] Although splitting is widely reported to occur in highly stressed regions other reports showed that splitting can be avoided eg in LOCOS and MOSFET structures [9 10] and under the gate in structures with SiGe grown in the sourcedrain regions [11 12]

In this work the splitting of the HOLZ lines in CBED patterns obtained under the gate of transistors with recessed SiGe sourcedrain regions is investigated Some results obtained with other blanket layers are discussed for reference 2 Experimental Details Long transistor structures are prepared with recessed 1 microm wide SiGe (15 and 20 Ge deposited in a ASM Epsilon 2000 Reactor) source and drain regions and different gate lengths The considered structures have either dummy oxidenitride gates with 250 or 1200 nm length or unsilicided poly-Sioxide stacks with 50 nm gate length and nitride spacers Seventy micron wide stripes are diced through the transistor structures The TEM specimen thinning is done with a focused ion beam by the H-bar technique A wide opening angle is used allowing 113deg tilt to the [230] zone The specimen thickness is on the order of 370-400 nm The energy filtered CBED patterns are acquired along the [230] zone axis at 200 kV with both a JEM3000F and a Tecnai30F microscope 3 Results and Discussion 31 Blanket Layers A typical [230] CBED pattern as obtained under a blanket polycrystalline CoSi2 layer is shown in Fig 1a The ldquosplittingrdquo results in two stronger outer lines and a series of weaker fringes in between

412 A Benedetti and H Bender

Equivalent lines eg -755 and -75-5 show an equal amount of splitting Within a pattern the magnitude of the splitting is proportional to the cosine of the angle between the HOLZ line and the 004 lines ie the component of the splitting along the 004 reciprocal direction is the same for all HOLZ lines (black lines on Fig 1a) This component which can be determined for any HOLZ line as a ruler for the strength of the splitting also corresponds to the long diagonal of the diamonds formed by the crossing of the equivalent HOLZ lines and is particularly easy to measure at the crossing of the -755-75-5 lines (continuous white ruler of Fig 1a)

The splitting is related to relaxation of the stress in the thinned TEM foil so that through the specimen thickness the (001) planes rotate with respect to the electron beam The magnitude of the splitting can be simulated with kinematical [8] or dynamical models [5 7] while for the accurate simulation of the inner fringes dynamical modelling is required [5 7] Qualitatively the splitting can be understood by a superposition of two patterns corresponding to a rotation of the lattice over an angle plusmn∆θ around the [1-10] direction [4] as schematically illustrated on Fig 1b

-7 5 5

-7 5

-5[230]

-1 1 11

-1 1 -11

0 0 4 -7 5

7

5 -3 -9

5 -3 7b

-7 5 5

-7 5

-5[230]

-1 1 11

-1 1 -11

0 0 4 -7 5

7

5 -3 -9

5 -3 7b

Fig 1 a) [230] CBED pattern obtained 210 nm under the CoSi2Si interface in a 420 nm thick sample with a blanket silicide layer and b) schematic of the major HOLZ lines The arrows indicate the shifts of the patterns compared to the unsplit case their total absolute length equals the diagonal of the -755-75-5 crossing

The splitting of the CBED patterns under different kinds of blanket stressor layers shows the

following characteristics [8] the splitting increases towards the interface between the layer and the silicon substrate very close to the interface the line patterns are fully blurred the splitting increases with increasing specimen thickness and scales with the stress in the layer Similar behaviours are observed for amorphous poly-crystalline and epitaxial layers 32 Recessed SiGe Transistor Structures Figure 2a shows a bright field TEM image along the [110] zone axis of a 250 nm wide dummy nitride gate between SiGe sourcedrains [230] CBED patterns are acquired at the left center and right side of the gate At the positions indicated by the dotted lines splitting of the CBED patterns is observed while closer to the gate the patterns are too blurred to judge the strength of the splitting and deeper in the silicon unsplit patterns are obtained The dots on the image indicate the positions at which the patterns shown on Fig 2c are acquired Contrary to the case with blanket layers the splitting under the gate shows asymmetry for equivalent HOLZ lines Moreover the asymmetry is inverted moving from left to right under the structure while it is absent in the center Due to the asymmetry the crossing of equivalent lines shows a parallelogram shape the long diagonal of which can be used as a ruler for the local splitting of the patterns The components of this splitting ruler as measured at the crossing of the -755-75-5 lines along the 004 and -320 reciprocal directions is

Asymmetric Splitting of CBED HOLZ Lines under Gate of Recessed SiGe SD Transistors 413

plotted on Fig 2b The splitting is the largest in the center of the gate where it has only a component along the 004 direction while it decreases in magnitude towards the edges where the component along the -320 direction becomes important This behaviour can qualitatively be understood by a 3-dimensional relaxation which results in a rotation of the (001) planes giving rise to the component of the splitting in the 004 direction and a rotation of the (-110) planes which results in projection in the -320 component Due to the symmetry of the structure the latter rotation is inverted on either side of the gate The behaviour is schematically illustrated for the -755-75-5 crossing in Fig 3 The situation of Fig 2c corresponds to the middle 3 drawings of Fig 3 The outer cases shown on this figure where one of the lines is strongly split while the other one is a single one is observed for a similar transistor structure with larger gate length (Fig 4) In that structure the splitting is found to be minimal in the center of the gate

-001

000

001

002

003

004

0 50 100 150 200 250

DISTANCE x UNDER GATE (nm)

SPLI

TTIN

G (n

m-1

)

y = -125 nmy = 0 nmy = 125 nm-320 y = -125 nm-320 y = 0 nm-320 y = 125 nm

004

-320

b

Fig 2 a) Bright field TEM image of the 250 nm transistor with dummy nitride gate with indication of the positions at which split patterns are observed b) magnitude of the components of the splitting in 004 and -320 direction versus the distance under the gate and c) [230] CBED patterns taken 100 nm under the gate at the points indicated on Fig 2a

Fig 3 Schematic representation of the crossing of the -755-75-5 HOLZ lines in case of rotation of the lattice due to relaxation in the direction indicated by the arrow

Fig 4 The crossing of the -755-75-5 HOLZ lines as observed 280 nm under a 12 microm wide gate at the indicated y positions measured relative to the center of the gate

414 A Benedetti and H Bender

Similar asymmetric splitting effects are observed under structures with 50 nm poly gates and nitride spacers as illustrated on Fig 5 A large splitting is observed under the gate as well as under the SiGe As for the wide transistor with nitride dummy gate also for this structure the 004 component of the splitting is the smallest under the center of the gate

-001

000

001

002

0 50 100 150 200 250

DISTANCE y (nm)SP

LITT

ING

(nm

-1)

x = 155 nmx = 155 nm

004

-320

x = 155 nm

b Fig 5 a) [230] HAADF STEM image and b) 004 and -320 components of the splitting measured along the arrow (the origin of y is taken at the start of the arrow)

4 Conclusions Asymmetric splitting of the HOLZ lines is observed under the gates of devices with recessed SiGe sourcedrain regions The behaviour can qualitatively be explained by a 3-dimensional relaxation of the silicon in the thin TEM foil Due to the splitting in the patterns the determination of stress from the HOLZ patterns is impossible with the classical theory For quantitative modeling of the displacements in the relaxed sample except for the uniaxial stress induced by the SiGe the stresses induced by the gate and spacers will also need to be taken into account References 1 Humphreys C J Eaglesham D J Maher D M and Fraser H L 1998 Ultramicroscopy 26 13 2 Armigliato A Balboni R Frabboni S Cullis A G Benedetti A and Pavia G 2001 Inst Phys

Conf Series 169 467 3 Benedetti A Bender H Torregiani C Van Dal M and Maex K 2004 Mat Sci and Engin B

114-115 61 4 Clement L Pantel R Kwakman L F Tz and Rouviere J L 2004 Appl Phys Lett 85 651 5 Armigliato A Spessot A Balboni R Benedetti A Carnevale G Frabboni S Mastracchio G and

Pavia G 2006 J Appl Phys 99 064504 6 Benedetti A Bender H Lauwers A Torregiani C and Maex K 2006 J Microsc 223 249 7 Houdellier F Roucau C Cleacutement L Rouviegravere J L and Casanove M J 2006 Ultramicroscopy

106 951 8 Benedetti A Bender H and Torregiani C 2007 J Electrochem Soc 154 H217 9 Toda A Ikarashi N and Ono H 2000 J Cryst Growth 210 341 10 Toda A Ikarashi N Ono H Ito S Toda T and Imai K 2001 Appl Phys Lett 79 4243 11 Huang J Kim M J Chidambaram P R Irwin R B Jones P J Weijtmans J W Koontz E M

Wang Y G Tang S and Wise R 2006 Appl Phys Lett 89 063114 12 Li J Domenicucci A Chidambarrao D Greene B Rovedo N Holt J Dunn D Ng H and

Rim K 2006 MRS Symposium Proceedings 913 D05-03

CBED and FE Study of Thin Foil Relaxation in Cross-Section Samples of Si Si1-xGex and Si Si1-xGex Si Heterostructures L Alexandre1 G Jurczak1 C Alfonso1 W Saikaly1 2 C Grosjean3 A Charaiuml1 and J Thibault1

1 TECSEN-UMR 6122 Universiteacute Paul Ceacutezanne 13397 Marseille Cedex 20 France 2 CP2M Universiteacute Paul Ceacutezanne 13397 Marseille Cedex 20 France 3 ST Microelectronics (RCCAL) ZI Peynier Rousset 13106 Rousset Cedex France Summary In order to determine residual stressstrain fields in CMOS devices and validate tools used to quantify the strain field we first studied residual strains in SiSi1-xGex and SiSi1-xGexSi TEM samples Because of sample thinning for TEM observations elastic relaxation occurs and modifies the initial stress present in the bulk sample Nevertheless if the main parameters which play a role on the elastic relaxation process can be determined we show that it is possible to reproduce from FE and diffraction simulations the complex profile of the HOLZ lines observed on experimental CBED patterns which makes possible the determination of the initial stress state 1 Introduction The determination of mechanical stresses is of major interest to the microelectronics industry Whether they are undesirable because they generate defects in components or voluntarily introduced to optimize certain electrical properties they have to be perfectly controlled Because of component size (critical size lt180 nm) a strain measurement technique with a very high spatial resolution combined with a very good sensitivity has to be used

CBED has been widely employed for many years in order to determine local strains in many systems such as microelectronic devices and epitaxially strained layers Nevertheless one of the major problems related to these measurements concerns the sample thinning down to electron transparency which induces a stress relaxation via the formation of free surfaces perpendicular to the milling direction In such cases lattice planes bend near interfaces giving rise to HOLZ line broadening [12] because of inhomogeneous variation of lattice parameters Conventional strain analysis using quasi-kinematical measurement of HOLZ line shifts for such broadened lines becomes impossible and new models have to be developed [3-6]

Because elastic relaxation causes HOLZ lines broadening we tried to prevent plane bending by depositing a cap layer with mechanical properties similar to those of the substrate This allowed us to perform conventional strain measurements in certain areas We combined finite element (FE) simulations and CBED measurements in order to validate the elastic model used in our mechanical simulations and electron diffraction simulations In this way we evaluated the importance of initial stress sample geometry and thickness on stress relaxation in SiSi1-xGex and SiSi1-xGex Si strained heterostructures 2 Samples and CBED Acquisition The studied Si1-xGex layers were deposited on (001) silicon substrates The Ge composition was of 1 3 or 6 in order to obtain a different residual strain level in each sample Layer thickness was about 100 plusmn 5nm Moreover in order to prevent plane bending at least in some parts of the layer a fourth sample was fabricated by depositing a 100 nm silicon cap layer on a Si097Ge003 layer Table 1 summarizes expected strains and stresses in Si1-xGex layers

Ge composition () aSi1-xGex misfit () biaxial εxx () εzz () biaxial stress σ0 (MPa) 1 054330 0042 -0042 0030 -75 3 054375 0125 -0125 0096 -225 6 054443 0241 -0241 0173 -450 Table 1 Strains and stresses in bulk Si1-xGex layer versus Ge concentration

416 L Alexandre et al

In order to check the influence of sample preparation technique on relaxation process transmission electron microscope (TEM) specimens were prepared by two different methods tripod polishing and ion milling (PIPS)

CBED experiments were mainly performed on a JEOL 2010F microscope operating at 200kV and equipped with an imaging filter (Gatan GIF 200) A FEI Tecnai microscope equipped with a STEM was also used to record CBED patterns profiles exhibiting sharp HOLZ lines In a previous paper [7] we showed that it is possible to reach a good experimental sensitivity on strain measurement (~ 2510-4) with this microscope even if no filtering system is used

All patterns were acquired along the lt230gt Si zone axis The samples were studied in a thickness range between 200 and 500 nm To determine the sample geometry sample thickness measurements at different positions in the lamella were performed Sample thickness values were determined by fitting the experimental intensity profile of the diffracted disk 004 with the theoretical one given by dynamical diffraction theory [7 8] The precision of this method is about 1 on the crystalline thickness measurement 3 FE Modelling and Electron Diffraction Simulation To quantitatively measure strains in samples andor regions giving sharp HOLZ lines quasi-kinematical simulations (ASACtrade software) have been used to measure the shift of these lines In the case of broadened HOLZ lines a combination of FEM and diffraction calculations was employed For this latter point the methodology proposed by Cleacutement et al [3] was applied It consists of a) thin lamella preparation with a given geometry b) acquisition of CBED patterns with broadened lines (Fig 1a) from which we extract experimental rocking curves c) use of elastic relaxation model (FEM) to determine displacements field for thin sample d) rocking curves calculations on the basis of FE results and e) comparison between simulated and experimental rocking curves

FE simulations were performed in Finite Element Analysis Program (FEAP) [9] using a custom model based on anisotropic hyperelasticity [10] Residual strains were calculated by introducing a lattice mismatch due to Ge composition and assuming an elastic accommodation of the layer Elastic stiffness coefficients and lattice parameters in Si1-xGex were calculated using Vegardrsquos law In our approach we used 3D FE calculations to simulate plane strain state present in TEM sample Size of finite elements was optimized to get the best compromise between accuracy and computation time It was found that a point resolution of 25 nm is the most suitable

Rocking curves calculations were done with home-made routines written in SciLabcopy (INRIA-ENPC) software using dynamical electron diffraction approach (two-beam approximation) To compare experimental and simulated rocking curves a dedicated procedure has been developed to automatically estimate the error and determine the best simulated profile among a set of displacements extracted at different distances d from the interface The best match between the simulated and experimental profiles corresponds to the lowest χsup2 value (Fig 1b)

Fig 1 a) CBED pattern with broadened diffraction lines b) Best match between simulated rocking curve and experimental one for the (5 -3 -9) reflection (χsup2=0073)

CBEDFE Study of TFR in Samples of SiSi1-xGex amp Si Si1-xGexSi Heterostructures 417

4 Results 41 Influence of Sample Thickness on Lamella Relaxation FE calculations of elastic relaxation in Si-capped 100 nm Si097Ge003 layer for TEM samples of different thicknesses (from 20 to 1000 nm) have been compared to direct measurements of lattice parameters in the layer (from 150 to 450 nm) (Fig 2) A good agreement between experimental and simulated lattice ldquoardquo parameter is always observed Concerning ldquocrdquo parameter deduced from previous ldquoardquo values experimental results show a good agreement except for two thicknesses (150 and 225 nm) Work is in progress to check this discrepancy

Fig 2 Comparison between calculated and measured lattice parameter in Si097Ge003 layer as a function of sample thickness

Moreover FEM shows that even for very thick samples (1000 nm) ldquoardquo and ldquocrdquo parameters differ

from the ones corresponding to completely strained bulk system (see theoretical values in Fig 2) That should mean that relaxation has already begun On the other hand on thin samples (20 nm) no total stress relaxation is observed in the layer That means that a correction of measured strains is necessary to determine initial strains whatever the sample thickness is in the range 20-1000 nm Complementary FE simulations show that the elastic relaxation depends on the ratio between the layer thickness and the sample thickness This explains why this sample is not completely strained for a 1000nm thick lamella The geometry of the system is one of the parameter which has to be considered 42 Validation of Rocking Curve Simulations HOLZ line broadening observed in TEM samples near the interface makes strain analysis more difficult To check the accuracy of our model broadened HOLZ lines in the system with 3 and 6 of Ge (σ0 =-225MPa and -475MPa respectively) were compared with simulated diffraction lines (Fig 3) CBED profiles taken in the substrate at different positions from the interface and different reflexions were studied 9 3 5 and 1111 (Fig 3) We observed a very high sensitivity of the rocking curves shape and intensity with the variation of Ge composition the sample thickness (t) and the position from the interface (distance below the interface d) The two latter parameters have to be known with a precision inferior to 10 nm to reproduce the shape of experimental rocking curves and deduce the initial stress state present in the strained layer 5 Conclusions Quantitative strain analysis was performed in Si1-xGex samples Firstly by comparing measurements with FE modelling the relaxation behaviour in TEM cross-section samples was explored for a large range of thicknesses Whatever the thickness is this relaxation can not be ignored and has to be taken into account to deduce the initial strain state Secondly we showed

c)

0 200 400 600 800 10005430

5432

5434

5436

5438

5440

5442

5444

c theoretical limit for thin sample

a theoretical limit for thin sample

a theoretical limit for bulk sample

c from FEM c experimental a from FEM a experimental

a an

d c

latt

ice

par

amet

ers

(An

gst

rom

s)

Sample thickness (nm)

c theoretical limit for bulk sample

418 L Alexandre et al

Fig 3 Comparison between experimental and simulated rocking curves

that the complex rocking curves observed experimentally can be reproduced by combining FE modelling and dynamical simulationsThe good agreement confirms that the initial strain state used in FE simulation is valid We have outlined the influence on the calculated strain of the accuracy of sample thickness and position determination measurement Finally numerical tools are now operational to analyse strains in real CMOS devices However some optimizations need to be done to reproduce for example the asymmetry observed in experimental rocking curves Acknowledgements The authors thank D Dutartre (ST Crolles France) for providing samples This work has been supported by the lsquoConseil Geacuteneacuteral des Bouches du Rhocircnersquo and the lsquoConseil Reacutegional PACArsquo References 1 Chou C T Anderson S C Cockayne D J H Sikorski A Z and Vaughan M R 1994 Ultra-

microscopy 55 334 2 Banhart F 1994 Ultramicroscopy 56 233 3 Cleacutement L Pantel R Kwakman L F T and Rouviegravere J L 2004 Appl Phys Lett 85 651 4 Chuvilin A Kaiser U de Robillard Q and Engelmann H J 2005 J Electron Microsc 54 515 5 Houdellier F Roucau C Cleacutement L Rouviegravere J L and Casanove M J 2006 Ultramicroscopy 106 951 6 Spessot A Frabboni S Balboni R and Armigliato A 2006 Nucl Instrum Meth B 253 149 7 Alexandre L Rousseau K Alfonso C Saikaly W Fares L Grosjean C and Charaiuml A 2007

httpdxdoiorg101016jmicron200701005 8 Delille D Pantel R and Van Cappellen E 2001 Ultramicroscopy 87 5 9 Zienkiewicz O C and TaylorR J 1989 The Finite Element Method McGraw-Hill London 10 Dłużewski P 2000 J Elasticity 60 119

Stress and Strain Measurement in Stressed Silicon Lines A Beacutecheacute J L Rouviegravere J C Barbeacute1 F Andrieu1 D Rouchon1 J Eymery2 and M Mermoux3

CEA-Grenoble DRFMCSP2MLEMMA GEM-Minatec 17 rue des Martyrs 38052 Grenoble Cedex 9 France 1 CEA-Grenoble LETI 17 rue des Martyrs 38052 Grenoble Cedex 9 France 2 CEA-Grenoble DRFMCSP2MNPSC 17 rue des Martyrs 38052 Grenoble Cedex 9 France 3 CNRS LEPMI 1130 rue de la Piscine BP 75 38402 St Martin drsquoHegraveres Cedex France Summary Stressed Si lines are attractive conduction channels for transistors due to the improvement of the carrier velocity The stress and strain in 1 microm width Si lines on top of silicon oxide have been characterized by analysing plan view Moireacute patterns obtained by transmission electron microscopy (TEM) and comparing them with Raman spectroscopy and X-ray diffraction results A good agreement is found between experimental measurements and results from simulations which validates our approach of measuring structural deformation by Moireacute fringes Regions having a Si substrate thicker than 400nm produce Moireacute period profiles similar to the ones that would be obtained on the initial thick wafer The relaxation of the stress at the edge of the lines is clearly shown in Moireacute fringe images 1 Introduction The ITRS roadmap for the MOSFET down-scaling asks for improved carrier mobility [1] It has been shown that stressed Si layers can have an enhanced mobility [2 3] Improved properties should also be present in stressed Si lines but characterization methods are required to measure stress in these new devices

Here we show that the residual stress in Si lines realised in strained Si on top of insulator (sSOI) can be measured by analysing Moireacute fringes in plan view geometry Moireacute fringes are obtained by double diffraction between the substrate and the strained Si lines The lattice parameter of the Moireacute fringes has been measured by geometrical phase analysis (GPA) [4-6] The modification of the stress in the plan view specimen has been taken into account by using finite element (FE) simulations These results have been compared to measurements performed using both Raman spectroscopy and X-ray diffraction 2 Experimental Details Stressed Si lines are made by using a series of technical steps (i) On a pseudo-relaxed SiGe wafer (Si08Ge02) a 15 nm thick epitaxial Si layer is deposited by remote plasma-enhanced chemical

Fig 1 a) Reference position of a wedge shape TEM lamella before relaxation The dark material is the Si02 layer The other parts are in Silicon b) Deformed TEM lamella (amplification factor 30) Note the curvature near the thin areas (relaxation type ii)

a b

420 A Beacutecheacute et al

vapour deposition (RPECVD) The Si layer is biaxially strained by the SiGe pseudo-substrate and the following steps try to preserve the stress in the Si layer where the lines will be realised (ii) The previous wafer is then bonded to another Si wafer covered with a 135 nm thick silicon oxide layer (iii) The first wafer and the SiGe layer are then removed in order to get sSOI ie a stressed Si film on insulator The wafer is then composed of three parts the Si substrate a silicon oxide layer and the stressed Si film (iv) A final step consists in lateral etching of the stressed Si film to form line patterns of 1 microm widths parallel to the xS=[220]S direction (Fig 1) The lines and non-patterned wafers were respectively analysed by Raman spectroscopy and X-ray diffraction

The small crystallographic misalignment between the Si film and the Si substrate (principally the angle α in the xSyS plane (Fig 1)) which is introduced during bonding was measured by X-ray diffraction α=01deg X-ray diffraction was performed at the European Synchrotron Radiation Facility (ESRF)

Experimental Moireacute images were acquired on plan view samples since thin areas of cross-section samples suitable for high resolution electron microscopy (HRTEM) tend to release stress in a chaotic manner To realize these plan view samples the Si substrate was first mechanically thinned down to 200microm then etched by a HFHNO3 chemical solution in order to form tiny holes Images were recorded on 2kx2k CCD cameras either on a FEI Titan 300kV electron microscope in TEM mode or on a JEOL 4000EX microscope The direction of observation was normal to the (001) surface and a small aperture selected the transmitted beam and its surroundings Moireacute fringes are then formed by double diffraction of the electron beam in the substrate and in the lines Moireacute fringes are characterized by the reciprocal lattice vector gM [7] SLM ggg minus= (Eq 1) where gS and gL are the reciprocal lattice vectors of two families of planes respectively in the substrate and in the line In this study measurements have been performed with diffracted vectors gS = [2-20]S and gL =[2-20]L It should be noticed that the modulus of gM

[ ]21

SL2

S2

LM cosgg2ggg αminus+= (Eq 2) is very sensitive to the misorientation angle α 3 TEM Lamella Relaxation and Finite Element Simulations In order to measure accurately the thickness of the TEM samples areas with nice wedge shapes were selected (Fig 1) Three kinds of stress relaxation can happen in this geometry (i) the relaxation due to the thin sample thickness (ii) the relaxation at the edge of the wedge and (iii) the relaxation at the edge of the lines Finite element (FE) calculations realised either in CASTEM or COMSOL software in order to simulate these different types of stress relaxation

As indicated previously the stress in the lines is created by the Si08Ge02 pseudo-substrate Assuming that there is no stress relaxation before the final etching step (iv) the Si film should be in a biaxial tensile state That it to say in the (xy) plane the strain in the Si film at the end of step (iii) should be equal to the misfit between Si and Si08Ge02 ie εxx= εyy =08 This biaxial state in which

-063 C2

xx11

12zz =minus= εε

C and GPaCC

CC xxxx 4612

11

212

1211 =

++= εσ will be our reference state In

the FEexperiment comparison the stress σxx before relaxation will be our fitting parameter The local distortion field in the lamella is characterized by a deformation matrix D(r) which

includes rotation and strain The local deformed reciprocal lattice matrix [ ])()()( rrr D

D

D cba

characterized by the three column vectors )(raD )(rb

D and )(rcD is determined as the inverse of

the transpose matrix of the local direct lattice matrix [ ] [ ] 1))))(((()()()( minus+= tI cbacba rrrr D

DD

D (Eq 3) where I is the identity and a b and c

the undeformed lattice vectors Eq 3 is applied in the substrate and in the lines The local deformed reciprocal lattice vectors gL(r) and gS(r) of Eq 1 can then be expressed as functions of

Stress and Strain Measurement in Stressed Silicon Lines 421

Fig 3 a) Moireacute fringe image of a plan view sample A 1microm-line with its Moireacute fringes extends from the bottom right to the top left The sample has a wedge shape visible from the broad fringes which are thickness fringes b) Moireacute period map (in nm) of the left image c) Moireacute period profile averaged along the short size of the white rectangle of Fig 3b two simulations are added to the experimental data

Fig 2 Calculated Moireacute period profile along the direction yS of a line yS=0 is the middle of the line yS=500nm is its edge The calculations of a 3D wedge shape sample taken at a thickness of 200nm (Fig 1) and of a uniform 2D lamella of the same thickness are given

the indexes of the undeformed vectors gL and gS For instance [ ] LL gcba(r)g

LLL)()()( rrr

DD

D=

(Eq 4) Figure 2 shows the Moireacute periods across a line calculated from eq 3 and eq 4 The relaxation at the edge of the line (type (iii)) is clearly detected by the Moireacute fringes as the Moireacute period can nearly double at the edge of the line Our calculations show that the relaxation type (ii) which is mainly a rotation around the yS axis (Fig 1b) can be neglected far from the edge of the wedge and in particular for substrate thickness thicker than 200 nm (Fig 2) Importantly FE simulations show that the Moireacute period profiles do not change significantly for substrate thicknesses greater than 400nm Measurements realised at thicknesses greater than 400nm can be considered directly as ldquobulk measurementsrdquo 4 Moireacute Fringe Measurements Figure 3a is a Moireacute image of an area having the desired geometry a uniform wedge shape where the line is perpendicular to vacuum (bottom right of Fig 3a) and a uniform thickness along the yS direction The sample thickness increases by 39nm for each thickness fringe (2-20) Moireacute fringes were analysed using GPA [4-6] Maps giving the local Moireacute periods are obtained (Fig 3b) In Fig 3c a profile has been extracted from Fig 3b and compared to FE calculations The simulated Moireacute period profiles reproduce very well the experimental shape The noise observed in the experimental Moireacute profile is certainly due to the wavy shape of the equal thickness fringes (see Fig 3a) which is certainly due to a bending of the sample In Fig 3c two curves corresponding to two initial in-plane stress σxx are plotted It suggests that the initial biaxial stress in the film is between 13 GPa and 155 GPa with an average value of 144GPa The precision of the method

can then be estimated to be plusmn10

a

b c

422 A Beacutecheacute et al

5 Comparison with Raman and X-ray The probe diameter in Raman spectroscopy is approximately 800 nm which gives an average value of the stress stored in the Si lines In spite of the limited penetration depth of photons in Si both the substrate and the stress Si film can be detected For a point taken in a middle of a line (Fig 4) there is a difference ∆ω = -62cm-1 in the frequency response between the substrate and the line This difference allows us to measure the average stress in the middle of the lines which is about 13 GPa Small variations are measured on the side of the lines indicating a type (iii) relaxation but the lateral resolution of the probe is not small enough to allow a detailed analysis

X-ray measurements which were performed on a non patterned sample measured (i) the misorientation α (Fig 1) (ii) the (220) and (2-20) lattice planes of the substrate and the lines that allow one to compute the in-plane stress σxx of the lines It was found that the homogeneity of the wafers was not very good Depending on the measured areas σxx varies from 16 GPa to 13 GPa

The three different methods used here agree in terms of measurements of the average stress The homogeneity of these first wafers was not perfect and three explanations could be given (i) The SiGe pseudo-substrate has defects andor chemical composition variations that modify the initial stress in the lines or (ii) the silicon oxide layer is not stress free when deposited on the Si substrate and induces inhomogeneous relaxation into the Si stressed layer or (iii) there is an inhomogeneous relaxation during the process The first hypothesis is unlikely due to the relative reproducibility of silicon-germanium alloys The second and third hypotheses are more complicated and will need further investigation 6 Conclusion Comparisons with Raman and X-ray diffraction have shown that the Moireacute method can be a tool to determine the stress in stressed Si lines However for studying inhomogeneities on large areas or making quantitative measurements Raman and X-ray seem more adapted because they study the lines without specimen preparation The advantage of the Moireacute method is to be able to measure the stress relaxation at the edge of the wire For the future nanoelectronics industry these three methods should not provide fine enough resolution to characterize a single device (for instance the Moireacute period is about 20-30nm) However these three methods should provide useful data to better understand the mechanism of stress relaxation References 1 httppublicitrsnet 2 Andrieu F Weber Ernst T Faynot O and Deleonibus S 2007 Microelectron Eng 84 2047 3 Andrieu F Dupre C Rochette F Faynot O et al 2006 IEEE conference proceedings

(httpieeexploreieeeorg) 2006 symposium on VLSI technology 134 4 Rouviegravere J-L and Sarigiannidou E 2005 Ultramicroscopy 106 1 5 Hyumltch M J Snoeck E and Kilaas R 1998 Ultramicroscopy 74 131 6 Rouviere J-L this Proceedings volume 7 Williams D B and Carter C B 1996 Transmission Electron Microscopy (Plenum Press NY

Fig 4 Raman spectrum in the centre of a line

Measuring Strain in Semiconductor Nanostructures by Convergent Beam Electron Diffraction L Cleacutement1 2 J-L Rouviere1 F Cacho3 and R Pantel3 1 CEA-Grenoble DRFMCSP2MLEMMA GEM-Minatec 17 rue des Martyrs 38052 Grenoble Cedex 9 France 2 NXP semiconductors 860 rue Jean Monnet F-38920 Crolles France 3 STMicroelectronics 850 rue Jean Monnet F-38926 Crolles France Summary Convergent beam electron diffraction (CBED) experiments and simulations and finite element calculations are used to measure the strain and stress in a complex device such as a series of periodic MOS transistors When approaching the transistor active regions the HOLZ lines in the CBED patterns acquired in the silicon substrate become increasingly broad This HOLZ line broadening which is due to the relaxation of stress in the lamella is used to determine quantitatively the strain and stress in the thin lamella and then in the bulk device Two parameters the intrinsic material stresses in the NiSi and Si3N4 layers of the transistors are successfully fitted by trial and error These fitted values are respectively equal to 12 and 095GPa 1 Introduction Great efforts have been made in order to control stress in electronics devices and the future 45nm technology will incorporate locally-induced strain that enhance carrier velocity [1-3] However a reliable and accurate tool to measure strain and stress at a nanometre scale is still needed In the last few years different electron microscopy method have been applied for instance high resolution transmission electron microscopy (HRTEM) [4] nano-beam-diffraction (NBD) [5] electron diffraction contrast imaging (EDCI) [6] large angle convergent beam electron diffraction (LACBED) [7] and convergent beam electron diffraction measurements [8] In principle CBED is the most accurate of all these techniques as by examining HOLZ (high order Laue zone) line displacements local lattice parameter measurements can be achieved with a resolution of 210-4 in strain and a spatial resolution of 1nm [9] However stress relaxation in TEM lamellae can lead to CBED patterns with HOLZ line broadening due to a high strain gradient along the beam direction [10] In [10] we propose a procedure to determine stress from such CBED patterns and the procedure was applied as if the sample contained only a NiSi layer on top of the Si substrate The purpose of this work was to evaluate whether the procedure can be applied to a more complex device and can lead to a coherent result 2 Presentation of the Methodology and Experimental Details The methodology outlined in [10] contains the following steps

(1)-A thin lamella with a controlled geometry was extracted from the bulk sample by focused ion beam (FIB) milling Cross-sections with a uniform thickness in the 100nm - 500nm range were obtained (2)-Using the STEM mode of a FEI TECNAI F20 microscope equipped with a Gatan imaging filter (GIF) filtered CBED patterns were acquired along particular lines in the Si substrate (see Fig 1) (3)-The elastic energy of the thin lamella was minimised by introducing initial guessed stresses in the different materials This energy minimization was realised in ANSYS finite element software and the displacement field of the structure was calculated

424 L Cleacutement et al

(4)-Using this displacement field parts of CBED patterns were simulated at different places of the structure Different dynamical simulations were tested [10] (5)-The calculated CBED patterns were compared visually to the experimental ones (6)-The steps 3 4 and 5 were repeated with different initial stresses in order to improve the goodness of the fit ie until the simulated HOLZ line broadenings visually matched the experimental ones (7)-By using the calculated initial stress values the stress field of the initial bulk structure that is to say before the creation of the thin lamella was calculated

In this paper we have studied a periodic set of MOS transistors integrating nickel silicide (NiSi) and tensile stressed nitride Si3N4 contact etch stop layer (CESL) deposited over the gates (Fig 1) The length of the gate in the [110] direction is 280nm This test structure was realised without drain and gate contacts The transistor width was equal to 10microm in order to facilitate the extraction of TEM lamella

Fig 1 [110] STEM image of the periodic transistors Spots where CBED patterns have been acquired are labelled by a line letter (A B and C) and a number For instance spot A4 The NiSi and Si3N4 layers have respective thicknesses of 28 nm and 35 nm Horizontal points along A and B lines are separated by 140nm Vertical points along line C are separated by 55nm

3 Experimental Results and Simulation Figures 2abcd show some CBED patterns acquired at positions A4 A6 B4 and B6 As observed in [10] the splitting of HOLZ line is more important near the interfaces that produce stress It can be pointed out that the splitting under the poly-Si gate (A4 B4) is smaller than under the active region (A6 B6) This is consistent with the fact that the poly-SiSiO2Si interface creates less stress than the Si3N4NiSiSi interface and that the distance from A6 to Si3N4NiSiSi interfaces (yA=130nm) is smaller than the distance from A4 to Si3N4NiSiSi interfaces (yA + thicknessPoly-Si)

The periodicity of the strain and the variations of splitting along the lines A and B are best seen by making profile maps of a given HOLZ line (Figs 3abcd) Figure 3 and Figs 2ef show simulated and experimental profile maps of the (5-37) HOLZ line along the lines A B and C The agreement between simulation and experiment is rather good although not perfect The number of oscillations in the split HOLZ line is correctly reproduced for lines A and B however the splitting is slightly underestimated along the line B under the gate (Fig 3e) The fit is less good for line C in the simulation the splitting extends further in the Si substrate (down to 370nm whereas it extends down to 315nm in the experiment) and the split (5-37) HOLZ line at position

Measuring Strain in Semiconductor Nanostructures by CBED 425

C3 contains 3 minima in the simulation where it has 2 minima in the experiment (Fig 2h) This indicates that the simulated initial stress is slightly overestimated above the active layer whereas it

Fig 3 a-b-c-d) (5-37) profile maps along A and B lines The numbers 1 2 hellip9 indicate the positions where the vertical profiles of the maps are taken Figs 3a and b are experimental profiles Figs 3c and d are simulated ones e-f) Experimental points are marked with crosses Intensity units along the vertical axis are arbitrary

Fig 2 a-b-c-d) (230) CBED patterns acquired at positions A4 A6 B4 and B6 respectively of Fig 1 e-f) (5-37) profile maps along line C The numbers 1 2 hellip8 indicates the positions C1 C2 hellip C8 where the individual horizontal profiles are taken Successive points are separated by 55nmg-h) Superposition of experimental and simulated (5-37) profiles taken at position C2 (Fig 2g) and C4 (Fig 2h)Experimental points are marked with crosses Intensity units along the vertical axis are arbitrary

426 L Cleacutement et al

Fig 4 Mapping of von Mises strain in deformed geometries (magnified by a factor 30) Half of the period along x is shown a) Bulk configuration The system is uniform along z b) The lamella after the FIB extraction Both free z-surfaces are shown The thickness of the lamella is 475nm In a first approximation the strain is uniform along the z-direction inside the region of the lamella marked by an arrow

is slightly underestimated over the gate Of course it would be surprising to have a perfect fit as (i) we have realised a fit by trial and error (ii) we do not take into account the slight asymmetry of the CBED patterns (see Fig 2 and

[12]) and (iii) we have simplified our model by restricting the unknown parameters to two values

the initial intrinsic stress in the NiSi above the active region (fitted value σNiSi Si

int =12GPa) and the intrinsic stress in Si3N4 (fitted value int43NSiσ = 095GPa)

The other intrinsic stress values have been fixed by making different assumptions based on the measure of curvatures of test Si wafers covered with uniform layers [11] For instance it was measured that the poly-silicon is slightly in tension (about 40 MPa) and that NiSi on Si and NiSi on poly-Si have different intrinsic stress (respectively 12 GPa and 02 GPa) It is interesting to note that our fitted intrinsic value in NiSi above Si is equal to 12GPa which is exactly equal to the one measured by wafer curvature However our fitted value for Si3N4 (095 GPa) is slightly higher than the wafer curvature value which is equal to 075 GPa

Figure 4 highlights the different strains between the bulk and the TEM lamella In the lamella

due to the creation of free z-surfaces the strain and stress initially located in the NiSi and Si3N4 layers are partially transferred in the silicon substrate In the 475nm thick lamella the silicon is distorted up to a depth of roughly y=500nm In a crude approximation the depth of perturbation is roughly equal to the thickness of the lamella The difference between the bulk and the lamella is of course more important near the free z-surface but this region is not spread and it is interesting to compare the stress and strain at the middle of the lamella to the values of the bulk sample (Fig 5) The main differences come from the z-components of strain and stress that are in the bulk configuration uniform in the NiSi and Si3N4 layers and roughly equal to 0 in the Si and poly-Si In the lamella they are not constant having smaller values in the layers and becoming negative in the poly-Si and in the Si near the poly-Si One can notice that in both configurations the NiSi and Si3N4 layers behave as one unique layer although the intrinsic stresses of these layers are different

Measuring Strain in Semiconductor Nanostructures by CBED 427

This is certainly due to the fact that (i) in our calculation the elastic constants of NiSi and Si3N4 are taken to be equal and that (ii) the more stressed material (on poly-Si it is Si3N4 and on Si it is NiSi) imposes its stress in the less stressed material

For the electrical properties of the device it is important to note the strain and stress under the gate in the bulk geometry (Figs 5df) From Figs 5df we can see that under the gate the silicon is slightly in compression in the vertical direction (at a depth z=20nm in Si εyy ~ -017 and σyy ~ -200MPa) whereas it is slightly in tension in the lateral direction (εxx ~ 01 and σxx ~ 100MPa) This distribution of strain enhances the mobility of n-transistors [4] These mobility improvements were effectively observed in our structure

Fig 5 Strain and stress profiles along y Left and right curves are respectively from the centre of the TEM lamella and the bulk geometry The different material positions (Si NiSi and Si3N4 for Figs 5ab and Si poly-Si NiSi and Si3N4 for Figs 5cdef) are indicated by thick lines ended by arrows a-b) Stress in the middle of the active layer c-d) Stress in the middle of the gate e-f) Strain in the middle of the gate

428 L Cleacutement et al 4 Conclusion We demonstrated that it is possible to apply the analysis of HOLZ line splitting to the measurement of strain and stress in complex devices such as MOS-transistors We succeeded in fitting two parameters The fit is not perfect as it was made by trial and error but the fitted values are in good agreement with wafer curvature measurements and consolidate the results of finite element simulations However future work is needed to make the procedure more systematic and automatic References 1 Andrieu F Weber O Ernst T Faynot O and Deleonibus S 2007 INFOS Conf Proc to be

published 2 Rim K Anderson R Boyd D Cardone F Chan K Chen H Christansen S Chu J Jenkins K

and Kanarsky T 2003 Solid-State Electronics 47 1133 3 Volgelsang T and Hofmann H 1993 Appl Phys Lett 63 186 4 Ang K W Chui K J Bliznetsov V Tung C H Du A Balasubramanian N Samudra G Li M F

and Yeo Y C 2005 Appl Phys Lett 86 093102 5 Usuda K Mizuno T Tezuka T Sugiyama N Moriyama Y Nakaharai S and Takagi S I 2004

Appl Surf Sci 224 113 6 Li J D Anjum D Hull R Xia G and Hoyt J L 2005 Appl Phys Lett 87 222111 7 Li B Zou H and Pan J 2001 Mater Sci and Eng A308 65 8 Kim M Zuo J M and Park G S 2004 Appl Phys Lett 84 2181 9 Senez V Armigliato A Wolf I D Carnevale C Balboni R Frabboni S and Benedetti A 2003 J

Appl Phys 94 5574 10 Clement L Pantel R Kwakman L and Rouviere J L 2004 Appl Phys Lett 85 651 11 Cacho F 2005 PhD thesis Ecole des Mines de Paris 12 Benedetti A and Bender H this Proceedings volume

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences J Gierak A Madouri A L Biance E Bourhis G Patriarche C Ulysse X Lafosse L Auvray1 L Bruchhaus2 R Jede2 and Peter Hawkes3 Laboratoire de Photonique et de Nanostructures Route de Nozay F-91460 Marcoussis 1 MPI Universiteacute drsquoEacutevry Val drsquoEssonne Bd Franccedilois Mitterrand F-91025 Eacutevry Cedex 2 Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Germany 3 CEMESCNRS 29 rue Jeanne Marvig F-31055 Toulouse Summary Controlled and reproducible fabrication of nano-structured materials is one of the main scientific and industrial challenges for the next few years We have recently proposed exploitation of the nano-structuring potential of a high resolution focused ion beam tool to overcome basic limitations of current nano-fabrication techniques The aim of this article is to present our research efforts in combining ion source and ion optics specifically for high-resolution applications First we detail the very high resolution FIB instrument we have developed specifically to meet nano-fabrication requirements Finally we present the instrument now capable of fabricating directly nano-pores with diameters below 5 nm 1 Introduction Focused ion beam (FIB) technology using a probe diameter smaller than 50 nm has attracted a high level of interest in the last few years FIB technology is now very popular and widely used by a community extending from the semiconductor industry to academic research Nowadays typical FIB applications involve micro-device editing cross-sectional transmission electron microscopy (TEM) specimen preparation magnetic thin head trimming or local deposition of materials For a recent review see [12] Since the middle of the 1980s several generations of high-performance systems have been successfully developed and released to address these different fields of application

A few years ago in our laboratory we decided to re-examine the operating characteristics of the liquid-metal ion source (LMIS) We showed that the exploitation potential of a gallium LMIS could be improved further A high-resolution ion optics column was then developed to achieve a calculated resolution in the sub-10 nm range Later using this instrument nanofabrication applications were carried out demonstrating its potential Direct clean and reproducible material nano-structuring close to or below the 10 nm resolution level has been demonstrated

For nanometre-scale FIB patterning we have shown [3] that the appropriate level of interaction between ions and solids is efficiently achieved with very low dose irradiation Defects caused by collisions in the vicinity of the surface irradiated layers play the major role in our approach This is due to the shrinkage of the lateral dimensions to the very small thickness of the active layers used and to the extreme sensitivity of these materials towards gallium bombardment Indeed most of the target materials involved in nano-fabrication experiments (III-V crystals thin magnetic crystalline films or inorganic compounds with weak bonds) exhibit very high ion sensitivity well below the 1014 ions cmsup2 range (30 keV Ga+ ions) As a direct consequence local chemical reactivity or crystal modification of these materials induced by local ion bombardment becomes a high-speed process offering new possibilities for localized structuring of materials or selective deposition of nanograins for example

432 J Gierak et al

2 Emerging Applications in Nanoscience for FIB Technology Manipulating and sculpting matter below 10 nm is nowadays a key point for nano-fabrication and its applications in electronics chemistry and biology Among diverse research tools and processes currently developed for meeting nano-fabrication challenges high resolution FIB is attracting a continuously growing interest Compared to lithography techniques it allows the direct patterning of an integrated device or component and the low scattering rate of gallium ions offers a very good resolution This parameter is becoming essential to meet nano-fabrication specifications

In this field an emblematic emerging application for FIB is the nanoengraving of membranes as a template for nanopores and nanomasks Just as ion tracks are formed in membranes when energetic ions pass through a membrane it is possible with a FIB system to fabricate and organize nano-sized holes within thin membranes having thicknesses between 10 and 100 nm The main advantage here in comparison with the classical high energy approach is that by using a FIB system one can also produce nanosized holes but at specified locations with customized organization On the other hand the main limitation with FIB is that the length of the narrow cylinder fabricated is limited by the membrane thickness itself

One first application generating a considerable amount of interest is the so-called ldquonanostencilrdquo approach [4] where a thin membrane is processed with a FIB as a mask to be subsequently used to grow or depose nanostructures within a clean environment allowing resistless processing and atomically precise lithography A second development of a FIB-based processing on membranes was reported recently [5] In this work a sculpting technique was developed to fabricate nanopores in Si3N4 membranes Using a FIB artificial nanopores were fabricated and successfully used as single molecule electronic detectors (for substances such as DNA) This clearly illustrates the potential of FIB techniques to open emerging fields for nanoscience 3 The Development of a Dedicated FIB Nanowriter 31 Improved Gallium Liquid Metal Ion Source Geometry The LMIS was introduced in the late 1970s allowing the development of focused ion beams The LMIS has been shown to be a remarkably high brightness source for a large number of ionic species As a result these ion sources now play a major role in FIB technology Indeed amongst the different point ion sources investigated in the two last decades the LMIS remains the best choice for developing high performance ion probes [6] The very high brightness and small source size of the LMIS and its easy handling remain its chief and most decisive advantages but some weaknesses are also well known

Long term spatial stability This is crucial for an instrument based on an optics transfer principle The position of the emitting point has to remain constant at the nanometre scale for the duration of patterning periods that can exceed several hours in nanofabrication Operating in-situ several kinds of LMIS geometries in high voltage TEM (1 MV) we found that the emission site could shift to different positions at distances of several tenths of nanometres depending on the supply rate of gallium In addition multiple parasitic cusps appearing simultaneously even in low voltage regimes were also observed This problem of multiple emission sites at the apex of the tungsten polished tip is well known by FIB users operating a damaged or contaminated LMIS In such cases off-axis emission and multiple Taylor cones are prevalent

Very low emission current stability and energy width The most significant constraint on LMIS stability results from electrohydrodynamic instabilities in the liquid supply Experimentally a liquid metal ion source has a minimum emission current and this limits performance [7] A benefit of reducing LMIS emission current is that the FWHM (full width at half maximum) of the energy distribution should fall rapidly whilst the angular intensity falls slowly This was supported by the

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences 433

current dependence of the energy spread initially modelled with the Boersch effect [89] In practice this is not verified

The LMIS is the most important element of the FIB technology We have developed and optimised an ion source geometry using a rough needle type which is described elsewhere [10] The main characteristic of this geometry is that the end of the tip is sharpened using a mechanical polishing process In our case the tip is polished to a 49deg half angle and the characteristic apex radius is in the range 20 to 50 microm A first direct consequence is that this large tip geometry is much less sensitive to contamination effects caused by backsputtering Moreover the supporting needle remains permanently protected by a large amount of gallium that preserves the needle surface morphology from the consequences of perturbations (surface smoothing and apex rounding) This emitter is extremely stable and allows long unattended nanofabrication processes as shown in Fig 1 In this figure the source is started within a lsquocold startrsquo procedure and ramped up to a 30 kV potential The source is operated in the constant current mode and the voltage applied to the source is adjusted automatically by a dedicated power supply This graph was obtained without voltage drift corrections and the beam stability is controlled within the volt range for a 30 to 40 kV acceleration voltage

0 500 1000 150000

50x103

10x104

15x104

20x104

25x104

30x104

35x104

Em

itter

vol

tage

(V)

Time (s)

Fig 1 Detail of the gallium LMIS ramp-up procedure After a period of ~ 5 min the beam voltage is stabilised and controlled within the one volt range

This stability is a first basic requirement for nanofabrication purposes In addition we have

observed source lifetimes exceeding 1000 hours without any noticeable degradation of both the IV and optical characteristics for operation at a gun base pressure around 1x10-8 mbar Finally this geometry allows the on-axis angular intensity of the beam to be significantly increased The beam current value Ip is proportional to the square of the beam half-angle α0 and to the on-axis beam angular intensity dIdΩ Our LMIS is unconventional in its operational characteristics the threshold voltage can be set up to 17 kV without damage (twice the normal voltage) and the on-axis angular intensity is 80 microAsr (compared to 20 microAsr normally) 32 Ion-Optics and Properties The FIB system we have developed is dedicated to one key application nano-fabrication ie direct patterning at the sub-10 nm scale This ion optics was designed using a high-performance optical architecture allowing the routine generation of ion probes with FWHM diameters well below 10 nanometres The transported current is maintained in the range 5 to 10 pA depending of the beam

35

30

25

20

15

10

5

0

(kV

)

434 J Gierak et al

defining aperture size and position In our FIB column the beam-defining aperture (typically 5 microm in diameter giving opening angle around 01 mrad) is placed in front of the first lens (Fig 2) just at the entrance of the ion optics This combination was chosen to ensure an effective rejection of any accelerated ions having divergent or perturbed trajectories so that only emitted ions with paraxial trajectories can enter the optics and reach the target without loss In addition the ion extractionaccelerating region is separated from the ion transporting focusing and scanning unit This is particularly important because the extractionaccelerating region plays a major role by governing the size of the virtual source and the angular distribution of the emitted beam This combination also allows us to vary the emission parameters widely without influencing the optical settings of the ion optics itself In our optics design the maximum ion energy may be increased up to 40 keV Two asymmetric lenses work in the decelerating mode and in infinite demagnification conditions In this column the deflection plates are located between the lenses (Fig 2) allowing a reduction of the final lens working distance (WD) a necessary condition to achieve a strong demagnification of the virtual source size

LMIS Extractor Condenser lens

Chargedparticle beam

+

-

+

-

VmicroA

+

-

+

-

Objective lens

0 - 10 A

0 - 40 kV 0 - 30 kV 0 - 30 kV 0 - 30 kV

EmissionVSource VLens1 VLens2

I Filament

ScanningStigmBlk

+

-

V0 - 1 kV

+

-V0 - 10 V

Blk StigmicroA

I probeI +

Inv X X

Fig 2 Schematic view of the Nano-FIB system architecture The separation between the ion extractionacceleration region and the ion optics is clearly visible

The performance of this high-resolution ion optics column was initially calculated for various

configurations using state-of-the-art theory and modelling software [11] The results are as follows for a beam voltage V = 40 kV an ion source size δ = 30 nm an energy spread of the beam ∆V = 5 eV an acceptance angle α0 = 01 mrad and a focusing angle αP 084 mrad M the source magnification dG = M δ = 358 nm

The contribution of chromatic and spherical aberration to the spot size can be expressed as

oCchromatic VVCd α ∆

= = 293 nm and 321

oSspherical Cd α= = 003 nm

Using the usual summation method in quadrature the optimum value of the spot diameter d is about 5 nm (FWHM) For the experiments described in this article the system was able to deliver a 6 to 8 nm spot size for a 35 keV gallium beam Finally we point out that as reported earlier by Zworykin et al [12] too large tolerance in the ion optics machined parts can introduce additional defects greater than the classical aberrations of the designed optics This argument justifies the extreme attention and care we have paid to the mechanical design and realisation of the ion optics

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences 435

Nevertheless if the FWHM spot diameter is essential for direct FIB nano-fabrication processes another crucial parameter is the shape of the ion probe current density profile at the target plane As already reported earlier in this article our column design allows a strong reduction of the so-called tails in the generated FIB probes The reason is due to the limitation of the acceptance angle at the ion optics entrance level It is the result of our analysis and experience that injecting gallium ions from a LMIS without any filtering action into a condenser lens will allow on one hand to easily maximize the probe current but on the other hand a wide class of emitted particles or ions with perturbed trajectories will be redirected onto the target following unpredictable paths Then as a result these atypical paths will cause non-negligible spreading of particles away of the central distribution axis This is the main cause of the deleterious tails often reported in FIB probes that are impossible to control afterwards at the user side This is the base of our patented approach to have developed an optics concept generating considerably reduced tails In addition we have also developed specific methods for shaping the FIB probe with settings that were found to be different from those for ldquobest focusrdquo images Indeed we have verified that if residual astigmatism is present defects in the rotational symmetry of the central core of the current density distribution are very hard to detect in imaging at the sub-10 nm regime Following this at the light of systematic tests we regularly carry out mainly because of target erosion phenomena probe sharpness corrections remains challenging and difficult to combine with acceptable selectivity One second basic requirement for achieving FIB nano-patterning close or below the 10 nanometre scale is that the probe current must remain sufficiently high to allow reproducible and controlled nano-fabrication experiments in order to avoid statistical fluctuations of the number of incident ions injected per each scanned pixel 33 Nanowriter Instrument Architecture The platform on which the system is based is a Raith150 EBL writer modified for operation with a UHV FIB column with a higher vacuum at sample level (10-8 to 10-7 mbar) and maximum immunity to external vibrations It possesses 6 travel range and up to 8 wafer handling capability The sample transfer is performed by an automatic load-lock system in order to preserve the column vacuum level during specimen exchange Thanks to this there is no crucial need for a column gate valve and it is possible to select ultra short working distances The highly accurate stage is controlled by a 2-axis Michelson-laser interferometer with a numerical resolution of 2 nm allowing the system stitching capability to be better than 60 nm (mean plus 3σ) A three point height piezo levelling system ensures stable working distance over the wafer in combination with an electrostatic chuck In a second step a 10 MHz pattern generator governs the ion beam electrostatic deflection in a writing field typically 60 x 60 microm for a beam energy of 35 keV The software platform combines wafer navigation with multilayer direct GDSII-based exposure capabilities The exposure routine dwell time can be increased up to the very large dose range required for FIB applications This capability allows very high patterning speeds (low pixel dwell times down to 100 nspoint) as well as milling tasks (high dwell times several mspoint to define alignment marks) This can be combined within one single pattern Finally dedicated auto-mark detection in combination with task-creation tools allows long runs and unattended batch processing 4 FIB Patterning at the Nanoscale The Resolution Limiting Factors Before considering FIB nanofabrication of structures on a substrate it is important to keep in mind that this process encounters several kinds of limitations that are independent of the ion optics itself They can be summarised as follow

Sample characteristics As FIB machining is a direct process a first limitation in fabrication of sub-10 nm features originates from the physical characteristics of the target (composition hardness electrical conductivity) and geometrical features (surface roughness homogeneity) Indeed these target characteristics have a huge effect both on the sputtering yield and the resulting machined structure geometry

436 J Gierak et al

30 nm 80 nm

110 nm

Spatial extension of the defects induced by FIB irradiation These effects may originate from a lack of selectivity of the FIB probe Ultimately they are caused by the scattering of the implanted ions inside the target material and by Radiation Enhanced Diffusion (RED) effect taking place only during the ion bombardment process [13]

Redeposition of sputtered materials Scanning an energetic ion beam over a substrate allows patterns of arbitrary shape to be etched as a result of physical sputtering This sputter rate (sputtered atomsprimary ion) is found to depend on both the sample and primary ion species This effect is also strongly influenced by redeposition effects of the sputtered material randomly distributed in the vicinity of the processed area FIB processing requires appropriate scanning strategy to avoid material redeposition on areas of interest

Local material fluence and swelling These effects are evidenced in high-resolution patterning where the incoming spot size matches the characteristic lengths of collisional cascades (lt 10 nm) In this case it has been observed that spot impacts exhibit reproducible bumps or volcano shapes This effect of swelling [1415] originates from structural changes at the surface due to ion beam induced damage and the additional implanted ions 5 Ultra-Thin Membranes as Ideal Templates for FIB Nanoprocessing

Fig 3 a) SEM image of an array of dots used for dose calibration and identification of the critical dose allowing the fabrication of the smallest ldquoopenrdquo hole in a given membrane In this picture the dose is decreased from left to right from 5107 to 2107 ionsdot b) Evolution of the Pore diameter for SiC membranes with different thicknesses (Symbols times 30 nm ∆ 80 nm and loz 110 nm) versus the number of incident ions

0

20

40

60

80

100

1E+06 1E+07 1E+08 1E+09

Number of Incident ions

Pore

dia

met

er (

nm)

(a)

(b)

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences 437

In the first experiment we used 80 nm thick SiC membranes These membranes were drilled with a 6 to 8 nm FWHM probe transporting 8 pA The point dose was varied from 10 ms to 200 mspoint within a single writing field giving respectively point doses ranging from 25 106 up to 5 107 ionspoint (Fig 3a) For these point doses the fabricated nano-holes exhibit a diameter ranging from 100 nm down to 20 nm One limiting parameter in this experiment is when attempting to obtain a nano-pore it appears that the diameter of the hole is mainly determined by the membrane thickness itself In the present case using a 8 nm thick SiC membrane the minimum reproducible diameter for ldquoopenrdquo nano-pores was found to be around 40-45 nm corresponding to 25 107 ionspoint In this case the ratio diameter depth is around 21 For lower ion doses holes having diameters down to 10 nm are fabricated but in this case the membrane is not completely drilled Then only the use of a thinner membrane allows reduction of the pore diameter (Fig 3b)

These masks were then used for testing the shadow evaporation method The SiC drilled membranes were attached to the surface of a silicon sample and then exposed to a collimated beam of evaporated gold particles (Fig 4a) From our experiments on shadow evaporation (Fig 4b) it appears that the main resolution factor of this nanostencil method is related to an insufficient brightness of the particle beam emitter thus giving a low deposition speed and therefore high sensitivity to drift Additionally clogging with gradual stencil deformation causing pattern blur limits the ultimate tasks for stencil lithography ie the ability to fabricate arrays of structures with controlled dimensions on the nanometre scale precisely positioned on a suitable surface

(a) (b)

Fig 4 a) Schematic of the stencil deposition method for the transfer of gold patterns through a mask with FIB nanoengraved apertures (b) SEM image of gold patterns transferred via evaporating a gold atom beams collimated through the shadow mask apertures (silicon sample)

6 FIB Direct Etching of Sub-5nm Artificial Nanopores As already mentioned in this paper the translocation of molecules through a nanopore is a key method of biological control As already shown [5] the translocation of one single molecule through a nanopore can be detected in a nanopore having an adequate size and thickness When a macromolecule (transported by electrophoresis) enters a nanopore it will prevent the ions from conducting the current in the pore and will result in a current blockade The main technological aspect there will be to define a nanopore size below 5 nm and then to ensure the integration of the membrane in an accurate setup allowing the measurement to be made

Gold evaporated beam

Sample

Stencil mask

438 J Gierak et al

(a) (b)

Fig 5 a) Optical microscopy image and b) Transmission Electron Microscopy image of nanopores drilled in a SiC thin membrane The alignment cross positioned around the nanopore is perfectly visible in both imaging conditions

In this experiment we have used SiC films with a thickness of 20 nm The FIB-processed membranes were drilled with a 35 keV Ga+ beam focused within a 5 nm FWHM probe that carries around 2 pA A specific methodology was developed with two main steps carried out sequentially on the same membrane batch

Calibration of the critical dose giving open nanopores This first step was carried out with a relative wide dose range The irradiation pattern selected here was a matrix of dots with the point dose varying continuously between 10 ms to 200 ms within a single writing field giving respectively point doses of 25 106 up to 5 107 ionspoint After SEM or TEM observation the critical dose for which an open nanopore was identified

Pore size minimization In this second step an array of nanopores was then drilled with a reduced dose range varying only +- 10 around the previous critical calibrated dose To allow easy localisation both in optical microscopy mode and SEM mode alignment crosses were added (Figs 5a and 5b) These structures irradiated with a very low surface dose only modify the surface roughness of the membrane After the FIB drilling process the membranes were observed by TEM (Philips CM20-200 kV) and the smallest pore was located and its position stored for post-processing

Fig 6 50 nm x 50 nm transmission electron microscopy images showing nanometre-sized pores (bright) drilled in a 20 nm thick membrane for the same point dose ~106 ions

Nano-FIB from Research to Applications - a European Scalpel for Nanosciences 439

Figure 6 shows some of the smallest nanopores we have defined The dose necessary to drill such nanopores is around 1x106 ionspoint The evidence of opening is made by TEM observation of a white Fresnel diffraction annulus around the white spot The nanopores we have fabricated on a 20 nm thick SiC membrane exhibit an average diameter of 45 nm with a minimum diameter of 25 nm These FIB-drilled nanopores are almost spherical even if the border of some holes appears jagged being limited by the grain structure of the SiC foil The damaged zone surrounding each nanopore is approximately 15 nm wide a value in perfect agreement with collisional straggling effects generated by the 5 nm probe (Ga+ 35 keV)

On Fig 7a we have performed a SRIM based simulation [16] showing the ion-induced damage localisation in a bulk specimen The hemispheric simulated isodamage curve that develops under the FIB impact is perfectly visible (Fig 7a) On a thin membrane (20 nm in the present case) exposed to a point dose irradiation around 106 ions of 30 keV Ga+ ions this isodamage profile is found to rapidly intersect the lower face of the membrane thus giving a ldquostampingrdquo effect opening the backside of the nanopore and limiting the amount of scattering effects at this exit plane (Fig 7b) Finally a forward scattering effect (Fig 7c) of incident ions and removed specimen atoms along the wall of the nanopore will take place This last effect allows an efficient and oriented nanoengraving process and interestingly limits the redeposition of sputtered particles on the sample surface

Fig 7 a) SRIM simulation [16] of the interaction of a 35 keV Ga+ beam with a target showing ion-induced damage localisation (red = 1024 cm-3 blue =1021 cm-3) Schematic of the membrane engraving processes b) Upper side sputtering with a critical isodamage curve rapidly reaching the lower membrane side giving a ldquostampingrdquo effect and (c) forward scattering of specimen atoms along the wall of the nanopore

7 Conclusions In this work we have successfully demonstrated the possibility of employing FIB technology for the direct fabrication of nanopores having pore diameters as small as 3 nm This result defines a novel state-of-the-art for direct removal of material using a FIB probe This was made possible by developing and optimising a specific FIB instrument architecture together with innovative patterning schemes and associated processing techniques The FIB based methods we have

(a) (b) (c)

440 J Gierak et al

described and exploited here appear to be well-suited and very promising for several diverse nanotechnology applications Acknowledgements This work was partially supported under the EC Nano-FIB project G5RD-CT2000-00344 the EC (AMMARE contract G5RD-CT 2001-00478) and by SESAME contract ndeg1377 the Reacutegion Ile de France and the Conseil Geacuteneacuteral de lEssonne References 1 Matsui S and Ochiai Y 1996 Nanotechnology 7 247 2 Orloff J 1993 Rev Sci Instrum 64 1105 3 Gierak J Bourhis E Madouri A Strassner M Sagnes I Bouchoule S Meacuterat Combes M N

Mailly D Jede R Bardotti L Preacutevel B Hannour A Meacutelinon P Perez A Ferreacute J Jamet J-P Mougin A Chappert C and Mathet V 2006 J Microlith Microfab Microsyst 5 1

4 Luthi R Schlittler R R Brugger J Vettiger P Welland M E and Gimzewski J K 1999 Appl Phys Lett 75 1314

5 Li J Stein D McMullan C Branton D Aziz M J and Golovchenko J A 2001 Nature 412 166 6 Tondare V N 2005 J Vac Sci Technol A 23 1498 7 Beckman J C ChangT H P Wagner A and Pease R F W 1997 J Vac Sci Technol B 15 2332 8 Knauer W 1981 Optik 59 337 9 Gesley M A and Swanson L W 1984 J de Phys 45(C9) 167 10 Van Es J J Gierak J Forbes R G Suvorov V G Van den Berghe T Dubuisson Ph Monnet I

and Septier A 2004 Microelect Eng 73-74 132 11 Lencova B 1997 in ldquoHandbook of Charged Particle Opticsrdquo Edited by J Orloff (CRC Press) 12 Zworykin V A et al 1945 Wiley pp 600-601 13 Vieu C Gierak J Schneider M Ben Assayag G and Marzin J Y 1998 J Vac Sci Technol B

16 3789 14 Basnar B Lugstein A Wanzenboeck H Langfischer H Bertagnolli E and Gornik E 2003

J Vac Sci Technol B 21 927 15 Bardotti L Preacutevel B Jensen P Treilleux M Meacutelinon P Perez A Gierak J Faini G and

Mailly D 2002 Appl Surf Sci 191 205 16 Ziegler J wwwsrimorg 17 Lishchynska M Bourenkov V van den Boogaart M A F Doeswijk L Brugger J and Greer J C

2007 Microelect Eng 84 42 18 Biance A-L Gierak J Bourhis E Madouri A Lafosse X Patriarche G Oukhaled G Ulysse C

Galas J-C Chen Y and Auvray L 2006 Microelect Eng 83 1474

Advanced Focused Ion Beam Specimen Preparation for Examination by Off-Axis Electron Holography D Cooper R Truche P A Midgley1 and R E Dunin-Borkowski1 CEA LETI Minatec 17 rue des Martyrs 38054 Grenoble Cedex 9 France 1 University of Cambridge Department of Materials Science University of Cambridge Pembroke

Street Cambridge CB2 3QZ UK Summary Simple Si and GaAs p-n junction specimens have been prepared using focused ion beam (FIB) milling for examination by off-axis electron holography Here we show the presence of an electrically lsquoinactiversquo thickness in the specimens that results in the measured phase across the junctions being much less than predicted by theory We observe that the electrically lsquoinactiversquo thickness can be reduced by annealing the specimens in situ or by reducing the FIB operating voltage This reduction results in an increase in the phase measured in the junctions and an improvement of the signal-to-noise ratio for the specimens 1 Introduction Off-axis electron holography promises to fulfil the requirements of the semiconductor industry for a 2D and 3D dopant profiling technique with nm-scale resolution Electron holography is a transmission electron microscope (TEM)-based technique that uses an electron biprism to form an interference pattern from an electron wave that has passed through a specimen with one that has passed through only vacuum From the resulting interference pattern (or hologram) the phase and amplitude images of the specimen can be reconstructed As the phase of an electron is very sensitive to the electrostatic potential in a specimen such as from the presence of dopants then electron holography can in principle provide quantitative maps of the dopant concentrations in semiconductor specimens In the absence of magnetic fields and diffraction contrast the phase of an electron that has passed through a specimen of thickness t is given by

∆φ = CE int0t V(xyz)dz

where V is the potential CE is a constant related to the incident electron beam and dz is the direction of the electron beam [1] It is clear that in a specimen of uniform known thickness the potential of the semiconductor can be measured directly Specimens prepared for examination using off-axis electron holography must be parallel sided and flat The mean inner potential of Si is approximately 12 V and therefore more than an order of magnitude higher than observed in a typical p-n junction A thickness variation of only 10 unit cells in Si will result in a phase change of 05 rads which can mask the dopant related phase change

FIB milling is typically used to prepare semiconductors for examination using off-axis electron holography as it is easy to extract a thin parallel-sided specimen from a region of interest However specimens prepared in the FIB miller exhibit a significant amount of damage such as an amorphous layer and an electrically lsquoinactiversquo thickness described in detail elsewhere [2] A combination of specimen charging [3] surface depletion [4] and the presence of the electrically lsquoinactiversquo thickness result in a step in phase measured across an electrical junction which is significantly less than predicted by theory It is thought that the electrical lsquoinactiversquo thickness results from the presence of defects deep in the specimen that can trap the dopant atoms In this paper we will show two approaches that can be used to reduce the electrically lsquoinactiversquo layer and subsequently improve the signal-to-noise ratio in the phase images

442 D Cooper et al

2 In situ Annealing Si and GaAs p-n junctions were annealed in situ in the TEM The Si p-n junction was grown using molecular beam epitaxy (MBE) and comprised a 25-microm-thick 5 times 1018 cm-3 B-doped (p-type) layer on a 4 times 1018 cm-3 Sb-doped (n-type) substrate The GaAs junctions were also grown using MBE and comprised a 10-microm-thick 1 times 1018cm-3 Be-doped (n-type) layer and a 10-microm-thick 1 times 1018 cm-3 Si-doped (p-type) layer on an undoped GaAs substrate

Holograms were acquired of the specimens using a Philips ST-CM300 FEGTEM operated at 200 kV and the step in phase across the junctions observed [6] The specimens were examined at room temperature annealed for one hour cooled and then examined at room temperature for 200 degC 300 degC 400 degC 500 degC and 600 degC anneals Figure 1a shows the phase measured across a 300-nm-thick GaAs p-n junction both before annealing and after the 500 degC anneal stage We found that the highest value was recorded after a 500 degC anneal and that the step in phase deteriorated after a 600 degC anneal Figure 1b shows the step in phase measured across a series of junctions as a function of crystalline specimen thickness measured using convergent beam electron diffraction (CBED) The x-intercept reveals the presence of the electrically lsquoinactiversquo thickness and a reduction from 160 to 35 nm can be seen For the Si p-n junctions an increase in the step in phase was observed after a 300 degC anneal after which no further change occurred Figure 1c shows the phase measured across the junction both before annealing and after the 300 degC anneal stage Figure 1d shows the step in phase measured across a series of junctions as a function of the crystalline specimen thickness measured using CBED The electrically lsquoinactiversquo thickness is reduced from 55 to 5 nm

The presence of defects in the semiconductor specimens can trap some of the dopant atoms

and lead to a reduction in the measured phase across the junctions By annealing the specimens we have removed some of these defects resulting in an increased step in phase across the

Fig 1 a) The phase measured across the GaAs p-n junction before annealing and after the 500 degC anneal stage b) the step in phase measured across the GaAs p-n junction as a function of crystalline specimen thickness measured using CBED shown before annealing and after the 500 degC anneal stage c) the phase measured across the Si p-n junction before annealing and after the 300 degC anneal stage d) The step in phase measured across the Si p-n junction as a function of crystalline specimen thickness measured using CBED shown for before annealing and after the 300 degC anneal stage

Advanced FIB Specimen Preparation for Examination by Off-Axis Electron Holography 443

junctions the reduction of the electrically lsquoinactiversquo thickness and an increase in the signal-to-noise ratio Figure 2 shows phase images of a 240-nm-thick GaAs specimen containing a p-n junction before and after annealing the improvement in the signal-to-noise ratio is clear

The theoretical built-in potential Vbi is 095 V and 134 V for the Si and GaAs p-n junctions respectively This can be calculated from the gradient in Figs 1b and 1d by using the relationship

Vbi = CE-1 times [∆φ (tcrystalline ndash tinactive)-1]

This method of calculating a value of Vbi is in principle independent of the electrically lsquoinactiversquo thickness However for the Si junctions the value of Vbi increases from 055 +- 01 measured before the annealing to 065 +- 01 V after the 300 degC anneal stage In the GaAs p-n junctions the increase is from 065 +- 01 V before annealing to 100 +- after the 500 degC anneal stage It has been shown that the Vbi measured in GaAs p-n specimens is strongly influenced by the intensity of the electron irradiation used and subsequent specimen charging [3] By annealing the specimen a reduction of the concentration of defects that can trap charge during examination may be responsible for the slight increase of Vbi observed 3 Low-Energy FIB Milling It is known that by reducing the operating voltage of the FIB miller the thickness of the amorphous layers can be reduced [5] A series of GaAs specimens was prepared by using an FIB miller operated at 30 16 and 8 kV to assess the reduction of the electrically lsquoinactiversquo thickness The specimen examined here was slightly different to the one observed above as it was grown on a lightly-doped conducting substrate Holograms were acquired using a FEI Titan TEM operated at 300 kV Figure 3 shows phase images of two different ~ 450-nm-thick GaAs specimens containing p-n junctions prepared using (a) 30 kV and (b) 8 kV ions The improvement in the signal-to-noise ratio can be seen in the phase images Figure 3b shows the step in phase as a function of the crystalline specimen thickness with a systematic reduction in

Fig 2 Phase images of a 240-nm-thick GaAs p-n junction before annealing and after the 500 degC anneal stage

444 D Cooper et al

the electrically lsquoinactiversquo thickness from 217 171 and 103 nm for specimens prepared using FIB operating voltages of 30 16 and 8 kV respectively The value of Vbi measured from the gradients increases very slightly and was found to be 093 +- 01 V for the specimens prepared at 30 kV and 16 kV and 102 +- 01 V at 8 kV The values are significantly higher than the 065 V observed in the annealed GaAs specimen described previously It is known that the build-up of charge can result in a reduction of the measured potentials in specimens containing p-n junctions [3] The junction examined here has a doped substrate one side of the junction can therefore conduct the build up of charge to earth during examination and a higher value of Vbi is recovered 4 Conclusion By in situ annealing of the specimens we have reduced the thickness of the electrically lsquoinactiversquo layers in Si and GaAs specimens containing p-n junctions The annealing reduces the concentration of defects in the crystalline regions of the specimens which can trap the dopant atoms and reduce the phase measured across the junctions Specimens have also been prepared using different FIB operating voltages and a reduction in the electrically inactive thickness has been observed as the energy of the Ga+ ions is reduced By reducing the electrically lsquoinactiversquo thickness we observe an improvement in the signal-to-noise ratio in the phase images We also measure a slight increase in the value of Vbi possibly as we reduce the concentration of defects in the specimens which can trap charge References 1 Rau W D Schwander P Baumann F H Hoppner W and Ourmazd A 1999 App Phys Lett 82

2614 2 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2002 J

Micros 214 287 3 Cooper D Twitchett A C Midgley P A and Dunin-Borkowski 2007 J Appl Phys in press 4 Somodi P K 2005 PhD Thesis University of Cambridge 5 Cooper D Twitchett A C Somodi P K Midgley P A Dunin-Borkowski R E Farrer I and

Ritchie D A 2006 Appl Phys Lett 88 063510 6 Kato N I Kohno Y and Saka H 1999 J Vac Sci Tech A 17 1201

Fig 3 a) phase image of a 450-nm-thick GaAs specimen containing a p-n junction prepared using an FIB operated at 30 kV b) as (a) except for a specimen prepared using an FIB operated at 8 kV c) step in phase as a function of crystalline specimen thickness measured using CBED for specimens prepared with different FIB operating voltages

Critical Thickness for Semiconductor Specimens Prepared using Focused Ion Beam Milling A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley Dept of Materials Science University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary The electrostatic potential distribution in FIB-prepared membranes containing a silicon p-n junction has been examined using off-axis electron holography and electron tomography The experimentally determined 3-D electrostatic potential was examined to quantify the variation in the electrostatic properties of the device as a function of distance from the specimen surfaces It was found that specimen preparation using a 30 kV Ga ion beam alters the electrical properties of the membrane to a depth of 175 nm from the specimen surfaces

1 Introduction Many techniques are available for the preparation of specimens for examination in the transmission electron microscope (TEM) but only focused ion beam (FIB) milling allows site-specific preparation with nanometre-scale precision FIB milling is now routinely used for preparation of thin semiconductor membranes despite the significant damage caused to the specimen surfaces by the ion beam 25 nm-thick amorphous layers are present on the surfaces of silicon specimens prepared using a 30 kV Ga ion beam [1] and low energy kV ion beam milling has recently been demonstrated as being very effective at removing these amorphous layers [2] However within the crystalline region of the specimen point defects are known to be present to a depth of up to ~100 nm [1] and these can affect significantly the electrical properties of such specimens For thin FIB-prepared membranes this may result in a specimen that does not retain any of the original lsquobulk-likersquo properties but that has been altered by the specimen preparation technique through the entire thickness of the membrane

Electron holography is a TEM-based interference technique that allows the electrostatic potential in a thin specimen to be revealed quantitatively However the application of this technique to semiconductor devices has been limited by many problems in particular by the impact of sample preparation on the electrical properties of the device By using a combination of electron holography with electron tomography the 3-D electrostatic potential distribution of a semiconductor device can be revealed allowing the effects of the specimen preparation to be quantified Using a range of different specimen thicknesses the thickness required for a membrane containing a semiconductor device to retain bulk-like properties in the centre of the specimen can be deduced

2 Experimental Details 21 Sample Preparation The sample geometry suitable for examination using electron tomography requires that the specimen may be tilted to high angles (typically greater than 70o) in the TEM without shadowing of the area of interest by other areas of the specimen or support grid The standard H-bar geometry used in FIB sample preparation is not compatible with tomography as shadowing of the area of interest is usually observed at tilts of only ~10o The lift-out technique may provide a specimen that is suitable for electron tomography but the specimen support must be carefully chosen to ensure that the area of interest is not shadowed at high tilts In this work a specimen geometry has been created using FIB-milling to leave a thin membrane at the vertex of a cleaved wedge suitable for examination in the TEM using electron tomography and electron holography

446 A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley

Two specimens were prepared in this geometry containing a silicon p-n junction device with nominal dopant concentrations of 1018 cm-3 (both p and n) using an FEI FIB 200 operated at 30 kV The final milling current used for each specimen was 150 pA and care was taken at all times during the specimen preparation to ensure that the ion beam only impinged at glancing incidence angles to the membrane surfaces to avoid any direct implantation of the Ga ions into the specimen The crystalline specimen thicknesses were measured using convergent beam electron diffraction to be 330 nm and 480 nm 22 Electron Holography and Tomography Off-axis electron holograms of the FIB-prepared specimens were acquired using a Philips CM300 field emission gun TEM operated in Lorentz mode at 200 kV A biprism voltage of 100 V was used to generate holograms with a fringe spacing of 6 nm and a field of view of 15 microm A series of holograms was acquired for each specimen using a specimen tilt of -70o to +70o at 2o increments Reference holograms were acquired in order to remove distortions associated with the imaging and recording system For the thinner specimen reference holograms were acquired at every 10o specimen tilt and for the thicker specimen reference holograms were acquired at every 2o specimen tilt Figure 1a shows an off-axis electron hologram acquired at -2o and Fig 1b shows the corresponding reconstructed phase image

Fig 1 a) Off-axis electron hologram b) corresponding reconstructed phase image and c) thickness (tλ) map acquired at -2o tilt of the FIB-prepared silicon p-n junction d) Plot of the variation in thickness (tλ) as a function of tilt angle The solid line indicates the expected variation in thickness with tilt angle The points lying away from the line indicate that the image is significantly affected by diffraction contrast The corresponding images are excluded from the tomographic reconstruction

3 Data Analysis Off-axis image and reference holograms were reconstructed to obtain phase and amplitude images using library programs written in the Semper image processing language [3] The amplitude images were used to calculate normalised thickness (tλ) maps of the specimen for each tilt angle Figure 1c shows the tλ map corresponding to the hologram in Fig 1a and Fig 1d plots the variation in tλ over the entire tilt range showing that a number of points lie away from the line of expected thickness variation This variation may indicate that the specimen is in a strongly diffracting condition which affects the measured phase and amplitude images complicating the interpretation of the observed phase image Such images were therefore excluded from the tomographic dataset used for 3-D reconstruction At the specimen edge a number of 2π phase lsquowrapsrsquo are often present due to the abrupt thickness change present at the edge of the FIB-prepared specimen These lsquowrapsrsquo can lie directly on top of one another preventing accurate phase unwrapping In order to overcome this issue in each image the average in phase between the p and n regions was calculated (φp+ φn)2 where φp and φn are the measured values of phase in the p and n regions respectively and this average value was set to zero to produce a consistent data set

(a) (b) (c)

200 nm02468

-60 -40 -20 0 20 40 60

Specimen tilt angle (deg)

(d)

Critical Thickness for Semiconductor Specimens Prepared using Focused Ion Beam Milling 447

The reconstructed phase images were aligned using the specimen-vacuum interface to obtain a rotational and horizontal alignment and using the junction position to align the images in the vertical direction The simultaneous iterative reconstruction technique (SIRT) was used to reconstruct the 3-D electrostatic potential in the specimen The thickness was constrained in the reconstruction to 280 nm and 430 nm for the thin and the thick specimen respectively because the featureless membrane surfaces cannot be reconstructed accurately with the restricted tilt range due to the lsquomissing wedgersquo of information This reconstructed volume consists of only the electrically active section of the specimen and excludes the amorphous and crystalline (but electrically inactive) surface layers For the thicker specimen the specimen tilt range of the holograms used in the reconstruction was only plusmn 50o due to noise in the holograms at higher tilt angles

4 Experimental Results and Discussion Figure 2 shows a schematic diagram illustrating the expected electrostatic potential variation in a FIB-prepared silicon p-n junction device illustrating the amorphous and crystalline electrically inactive surface layers that have been previously deduced [4] The 3-D tomographic reconstructions of the p-n junction electrostatic potential variation which are shown in Figs 3a and 3b can be observed qualitatively to show a comparable potential distribution to the expected variation The spatial resolution of the reconstructions is 25 nm with a corresponding electrostatic potential resolution of ~ 01 V

Fig 2 Predicted electrostatic potential variation within a thin FIB-prepared semiconductor membrane containing an abrupt p-n junction

Voxel traces were extracted from the tomographic reconstructions to examine the variation in electrostatic potential as a function of distance from the membrane surfaces The plots in Figs 3c and 3d show voxel traces that have been extracted from the top centre and bottom of the tomographic reconstruction of the electrically active regions in the thin and thick specimens From these it can be seen that the electrostatic potential variation is much more abrupt in the centre of the membrane which corresponds to a higher concentration of charge carriers By examining the voxel traces across the p-n junction as a function of depth (z-direction) a region at the centre of the specimen can be identified across which the electrostatic properties of the junction do not vary Within this region the properties of the membrane are found to be close to the theoretically predicted properties for the device and therefore are unaffected by the specimen preparation and presence of surfaces and represent the bulk properties of the device In the thin specimen this region is experimentally determined to be 35 plusmn 25 nm thick and in the thick specimen the lsquobulkrsquo region was measured to be 190 plusmn 25 nm thick These values correspond to an electrically active region that has been altered by the sample preparation technique that extends 125 plusmn 25 nm on each side of the lsquobulkrsquo region as illustrated schematically in Fig 4

These results indicate that the specimen thickness of a silicon membrane prepared in the FIB using 30 kV ions should be greater than 355 nm for the central region in the specimen to be unaffected by the ion beam With the current trend in combined SEM-FIB technology that allow specimen surfaces to be lsquocleanedrsquo using a low accelerating voltage (kV) ion beam after preparation with a higher kV ion beam this result indicates that 175 nm should be removed from each surface that has been prepared using a 30 kV ion beam to remove the ion beam damage generated at 30 kV However even a low kV ion beam will introduce ion implantation and point defects into the near-surface region of a specimen although the depth to which this damage extends is expected to be much smaller

p-type n-type

Amorphous dead layer

Crystalline dead layers

Electron beam direction

Amorphous dead layer

448 A C Twitchett-Harrison R E Dunin-Borkowski and P A Midgley

Fig 3 Tomographic reconstruction of the electrostatic potential in the a) thin and b) thick FIB-prepared specimens Voxel traces extracted from the tomographic reconstruction across the p-n junction at the top centre and bottom of the electrically active region in the c) thin and d) thick specimens reveal that the electrical bias is uniformly dropped across the electrically active region in the specimen but that the top and bottom of this region show much lower electrically active dopant concentrations

Fig 4 Schematic diagram illustrating the predicted electrostatic potential distribution in a FIB-prepared membrane The electrically active region with varying electrostatic properties has been measured to be 125 nm thick at each surface

5 Conclusions

Off-axis electron holography and tomography have revealed quantitatively the 3-D electrostatic potential distribution in a FIB-prepared silicon p-n junction device By examining the variation in electrostatic potential as a function of distance from the FIB-prepared surfaces a critical thickness of 355 plusmn 25 nm has been deduced for silicon specimens prepared using a 30 kV Ga ion beam at glancing incidence Specimens that are thinner than this lsquocriticalrsquo thickness will show electrical properties through the entire specimen that have been modified by the FIB sample preparation

Acknowledgements

The authors would like to thank Philips Research Laboratories (Eindhoven) for providing the silicon device and the Royal Society and the EPSRC for financial support

References

1 Rubanov S and Munroe P R 2004 J Microsc 214 213 2 Bals S Tirry W Yang Z and Schryvers D 2007 Microsc Microanal 13 80 3 Saxton W O Pitt T J and Horner M 1979 Ultramicroscopy 4 343 4 Twitchett A C Dunin-Borkowski R E Hallifax R J Broom R F and Midgley P A 2004 J Microsc 214

287

p-type n-type lsquoBulkrsquo region

Electrically active region with varying electrostatic properties

Electrically active region with varying electrostatic properties

x y

z

-08-06-04-02

002040608

0 200 400 600-08-06-04-02

002040608

0 200 400 600

Ele

ctro

stat

ic

pote

ntia

l (V

)

Top Centre Bottom

580 nm

280 nm

325 nm

p-type n-type

670 nm

430 nm

430 nm

p-type n-type

Distance (nm) Distance (nm)

(a) (b)

(c) (d)

Ele

ctro

stat

ic

pote

ntia

l (V

)

Organic-Based Micropillar Structure Fabrication by Advanced Focused Ion Beam Milling Techniques Wen-Chang Hung Ali M Adawi1 Ashley Cadby1 Liam G Connolly1 Richard Deanl1 Abbes Tahraoui A M Fox1 David G Lidzey1 and A G Cullis Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK 1 Department of Physics and Astronomy the University of Sheffield Hicks Building Hounsfield

Road Sheffield S3 7RH UK Summary In this study we first report organic material based micropillar structures fabricated using advanced techniques based upon focused ion beam milling processes Such a method provides a faster milling procedure as the beam of ions directly etches through the layers of the materials without damaging the organic material unlike conventional dry or wet etching processes It is very promising that FIB milling methods should be able to underpin organic-based photonic device research in the future 1 Introduction For the past decade photonics research has moved forward rapidly and is well established from theory to device fabrication Materials science mainly considers inorganic materials systems as there is a large demand in semiconductor industry However apart from inorganic material systems organic materials have also been studied with regard to physics and applications for photonics As simply from one dimensional planar organic cavities [1] optical confinement has also been studied in different structures containing active organic chromophores These include dye-doped polymer spheres [2] organic micro-discs and polymer micro-spheroids [3] polymer micro-rings [4] dye-doped photonic-crystals [5] organic slab waveguides [6] polymeric distributed-feedback lasers [7] micromolded polymer films [8] and polymer-filled circular gratings [9] Despite significant activity in organic-photonics the creation of micro-patterned organic structures is at a less advanced stage compared to progress made using inorganic semiconductors This in part results from an increased sensitivity of organic thin films to the techniques commonly used to create high-resolution structures There are in fact very pressing reasons to explore organic materials in new photonic structures as such materials can often display optical properties not readily emulated using inorganic semiconductors Here we present novel work upon a highly photostable molecular dye dispersed in a polymer matrix within a planar microcavity structure using the most recent focused ion beam (FIB) milling techniques to process micropillar structures for optical confinement 2 Experimental Microcavity micropillars are structures which consist of two high-reflectivity distributed Bragg reflectors (DBRs) across an active dipole emitter [10] Such a structure is then vertically etched to form a pillar with confinement related to that of an inorganic material system such as InAs [11] and InGaAs [12] quantum dots The form of three dimensional confinement for the optical field within a pillar has been of great concern with studies of fundamental light-emission processes For organic micropillars the structures are fabricated as shown in Fig 1

450 Wen-Chang Hung et al

Fig 1 Schematic diagram of a micropillar structure (left) and secondary electron image of the micropillars of different sizes (right)

This structure is fabricated with twelve-pair λ4 DBR mirrors grown by plasma-enhanced chemical vapour deposition over a glass substrate The active organic layer was then spin-cast on the top of this DBR and consisted of the molecular dye Lumogen Red doped into the matrix polymer polystyrene (PS) at a concentration of 5 Selection of the Lumogen Red for this study was because it has high photostability and also such material has been designed for various applications to extend exposure to sunlight A following second DBR with eight-pair mirrors was then deposited onto the organic film by thermal evaporation The thermal evaporation allows the substrate to be held at room temperature during the deposition which suppresses damage to the organic film The planar cavity sample was then first coated with a 30nm thick film of aluminium which was allowed to be removed after FIB milling by using dry etching in a SiCl4 plasma Such a coating step is required to avoid any charging effect as the organic material is non-conductive to the ions The planar cavity was then mounted on a bulk sample with 55 degree tilting angle normal to the ion pole piece A selective aperture was used with relative probe current of 250 pA at 30 keV energy for the ion beam milling Designed pillar patterns were created using Raith software with a range of sizes from 1 microm up to 10 microm (diameter) individually The total milling time for an individual pillar is dependent upon the size which could take 45 mins (1 microm) up to 2 hour and 30 mins (10 microm) Finally the scanning electron microscope was closed to avoid any possible organic film damage during the ion beam milling 3 Results

Figure 2 shows the normal-incidence white-light reflectivity and photoluminescence (PL) emission of the planar cavity Also a comparison of the PL emission from a film of Lumogen has been shown In this case each measurement was record from a spot on the sample surface having a diameter of 500 microm It can be seen that in principle the cavity mode can be observed by a sharp dip in reflectivity (open circles) spectrum at 684 nm having a line width of ∆λ = 22 nm (full width at half maximum) corresponding to the PL emission from a planar cavity (also having a line width of 22 nm) The emission also observed at 600 nm corresponds to PL from the Lumogen leaking past the edge of the DBR stop band The Q-factor of the planar cavity by definition of Q = λ∆λ (Q = 684 nm22 nm) is equal to 310

μm

μm μm

μm μm

μm μm

μm

Lithium Fluoride (t~117nm) Total=8 pairsTellurium Dioxide (t~77nm)Thickness~1160nm

Lumogen Red Thickness~240nm

Silicon Nitride (t~79nm) Total=12pairsSilicon Oxide (t~106nm)

Quartz Substrate

Total Thickness of the sample ~ 4012nm(4micron)

μm

μm μm

μm μm

μm μm

μm

Lithium Fluoride (t~117nm) Total=8 pairsTellurium Dioxide (t~77nm)Thickness~1160nm

Lumogen Red Thickness~240nm

Silicon Nitride (t~79nm) Total=12pairsSilicon Oxide (t~106nm)

Quartz Substrate

Total Thickness of the sample ~ 4012nm(4micron)

Lithium Fluoride (t~117nm) Total=8 pairsTellurium Dioxide (t~77nm)Thickness~1160nm

Lumogen Red Thickness~240nm

Silicon Nitride (t~79nm) Total=12pairsSilicon Oxide (t~106nm)

Quartz Substrate

Total Thickness of the sample ~ 4012nm(4micron)

Organic-Based Micropillar Structure Fabrication by Advanced FIB Milling Techniques 451

Fig 2 The PL spectrum measurement of reflectivity of the planar cavity (open circles) the PL emission of the unpatterned planar cavity (solid line) and the Lumogen Red (dashed line)

Fig 3 PL emission for the 3 5 and 6 microm micropillars the calculated energy of the (100) (110) and (200) cavity modes for the 3 microm pillar are indicated with dash lines the insert shows PL emission from the lowest energy mode of a 10 microm pillar

Figure 3 shows a series of emission spectra from micropillars having diameters of 3 5 and 6 microm recorded in the far field using optical spectroscopy In comparison with the planar cavity emission with Fig 2 the micropillar emission consisted of series of sharp lines Such quantization effects have been reported in inorganic materials system and photonic dots As anticipated a micropillar of large diameter supports a more complex optical-mode structure Apart from this it is also clear that a blue-shift of the energy of all cavity modes appeared as the diameter of the pillars was reduced

550 600 650 700 750 800

ReflectivityR

elat

ive

Inte

nsity

Wavlength (nm)

PL from planar cavityPL from lumogen film

550 600 650 700 750 800

ReflectivityR

elat

ive

Inte

nsity

Wavlength (nm)

PL from planar cavityPL from lumogen film

452 Wen-Chang Hung et al

The dashed line in Fig3 represents the energies of the optical modes of the 3microm pillar each optical mode has been assigned the quantum numbers (nr nφ nz) where the subscripts r φ and z represent the radial azimuthal and vertical coordinates Notice there is another peak located at 671 nm which could not be assigned for the quantum number it is likely that such an optical mode originates from a splitting of the (110) modes as the pillar is very slightly elliptical in shape

Also as shown in the Fig 3 it is immediately apparent that the line widths of the micropillar modes are significantly narrower than that of the planar cavity We also find that the larger diameter micropillars have a shaper mode line width especially from the insert in Fig 3 The narrowest optical mode was recorded from a 10 microm pillar which had a line width of 033 nm corresponding to a cavity Q-factor of 2100 This maximum Q-factor is in fact only a factor of two smaller than the theoretical maximum Q-factor for the planar cavity of 4000 Such increases in the Q-factor of the micropillar result from a reduction in microcavity surface area Therefore modification and design the structure of the microcavity could actually improve the enhancement of Q-factor 4 Conclusion Focused ion beam milling techniques has created a novel aspect of organic based device fabrication Such techniques are quite useful for research purpose because the device fabrication time is faster than for any other conventional methods The understanding of organic materials also contributes and leads to a new field of the optoelectronic research not only the creation of the new material but also with advantages that dominate over the semiconducting materials Most importantly the result of reducing mode volume by use of the Purcell Effect (as a modification in cavity structures) can provide in higher Q-factor and therefore enhance spontaneous emission rate Furthermore the development of very high-Q cavities may help promote the observation of stimulated scattering phenomena in strongly coupled organic microcavities Such microcavities can be achieved using metal coating methods to suppress photon leakages or by adoption of other molecular species of higher refractive index References 1 Tessler N Denton G J and Friend R H 1996 Nature 382 695 2 Kuwata M and Takeda K 1998 Opt Matter 9 12 3 Dodabalapur A Berggren M Slusher R E Bao Z Timko A Schiortino P et al 1998 IEEE J

Sel Yop Quantum Electron 4 67 4 Frolov S V Vardeny Z V and Yoshino K 1998 Appl Phys Lett 72 1802 5 Megens M Wijnhoven J E G J Lagendijk A and Vos W L 2000 Phys Rev A At Mol Opt

Phys 63 118014 6 Lawrence J R Turnbull G A and Samuel I D W 2003 Appl Phys Lett 82 4023 7 Jebai A Mahrt R F Moll N Erni D et al 2004 J Appl Phys 96 3043 8 Hung W C Adawi A Tahraoui A Cullis A G 2005 Int J Quantum Information 3 223 9 Kin Zenken Kajii Hirotake and Ohmori Yutaka 2006 Thin Solid Films 499 392 10 Gerard J M Sermage B Gayral B Legrand B Costard E and Thierry V 1998 Phys Rev Lett

81 1110 11 Sanvitto D Daraei A Tahraoui A Hopkinson M Fry P W Whittaker D M Skolnick M S 2005

Appl Phys Lett 86 191 12 Reithmaier J P Sek G Loffler A Hofmann C Kuhn S Reitzenstein S Kulakovskii L V

Reinecke T L and Forchel A 2004 Nature 432 197

Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-Cathodoluminescence G Salviati L Lazzarini N Armani M Felici1 A Polimeni1 M Capizzi1 F Martelli2 S Rubini1 and A Franciosi1 IMEM-CNR Parco Area delle Scienze 37A 43101 Parma Italy 1 CNISM and Physics Department University of Rome La Sapienza P le A Moro 5 00185

Roma Italy 2 TASC-INFM-CNR and CENMAT University of Trieste Trieste Italy Summary Hydrogen is known to passivate nitrogen in dilute nitrides such as Ga(AsN) and Ga(PN) By focusing an electron beam on the surface of hydrogenated GaAs1-xNxGaAs (GaP1-yNyGaP) we remove hydrogen atoms from their passivation sites thus leading to a controlled decrease of the crystal band gap in the spatial region where the e-beam is steered The area designated by the electron beam acts in all respects as a potential well for carriers Cycling the samples several times between T=5 K and room temperature the same CL images and spectra were recorded thus demonstrating the thermal stability of the H displacement process The 100 pre hydrogenation conditions are achieved after 30-40 sec of irradiation at T=5 K 1 Introduction In modern epitaxial growth techniques the control of the electronic and optical properties of a semiconductor heterostructure along the growth direction is easily achieved via layer-by-layer deposition of materials with different chemical composition and thickness [1 2] On the contrary the control of those material properties in the growth plane which is required to fabricate 1D or 0D nanostructures is not easy to attain That limits the freedom of modulating the in-plane optical properties of a heterostructure where materials with different band gaps and refractive indices are present on a same chip Two main methods are used for the in-plane control of the physical properties

i ldquotop-downrdquo methods based on lithographic processes which give a lateral modulation of the material band gap by chemical removal of parts of the specimen allow the growth of highly uniform quantum dots wires and rings (poor optical quality) [3]

ii ldquobottom-uprdquo methods based on nanometer-sized aggregates forming spontaneously by self-assembly in highly-strained heterostructures [4 5] (lack of control of the spatial arangement)

A third route to engineer the electronic properties in the growth plane of a semiconductor can be achieved by exploiting the effect hydrogen has on dilute nitrides such as GaAs1-xNxGaAs (and GaP1-xNxGaP) [6] In these material systems the replacement of a tiny fraction (x~1) of arsenic (phosphorus) atoms by nitrogen atoms leads to highly non-linear effects in the electronic properties of the host lattice [7] These include a giant reduction in the band gap energy and a deformation of the conduction band structure which renders this material of great potential for telecommunications through fiber-optic cables

[8] multi-junction solar cells [9] heterojunction bipolar transistors [10] and Terahertz applications [11]

Previous experiments have shown that post-growth irradiation of GaAs1-xNx with atomic hydrogen leads to a complete reversal of the drastic band gap reduction as well as of other

454 G Salviati et al

material parameters caused by nitrogen incorporation [12 13 14 15 16] Further by deposition of metallic masks on and subsequent hydrogen irradiation of GaAs1-xNx a planar heterostructure with zones having the band gap of a GaAs1-xNx well surrounded by GaAs-like barriers is created [17] In this paper an alternative way based on the controlled electron beam irradiation of hydrogenated samples is presented By focusing an energetic electron beam on the surface of hydrogenated GaAs1-xNx and GaP1-yNy we remove hydrogen atoms from their passivation sites thus leading to a controlled decrease of the crystal band gap in the spatial region where the electron beam is steered 2 Experimental All the samples investigated have been grown by solid source molecular beam epitaxy A radio frequency plasma source was used for N2 cracking The N concentration was determined by combining optical and X-ray diffraction techniques 30 nm thick single layers of GaAs1-xNx (00001 ltxlt0001) were grown on (001)-oriented GaAs substrates and then capped with a 50 nm thick GaAs layer As for the GaP1-yNy (0005ltylt13) samples they consisted of a single 250 nm thick layer grown on (001)-oriented GaP substrates

The hydrogenation was obtained by ion beam irradiation from a Kaufman source The specimen temperature was 300 degC and the ion energy was about 100 eV with a current density of a few tens of microA cm-2 Different hydrogen doses 1014ltdslt1020 ion cm-2 were used

The electron beam irradiation experiments on hydrogenated samples were performed at 6ltTlt300 K under different injection power conditions in a Cambridge 360 Stereoscan scanning electron microscope (SEM) The band gap variations were monitored in-situ by cathodoluminescence (CL) spectroscopy carried out by means of a Gatan MonoCL2 system using a multialkali photomultiplier attached to the same SEM 3 Results and Discussion The possibility of focusing electrons on a small area (beam diameter ~10-100 nm) gives an insightful tool for investigating locally the interaction of hydrogen with point defects [18 19] In particular it was shown that an energetic (10-50 keV) beam of electrons can break the bonds that hydrogen forms with silicon impurities in GaAs through an electronic excitation of the Si-H complexes [19] Here an unpatterned 30times40 microm2 rectangular area of a GaAs1-xNx sample treated previously with hydrogen was scanned by an intense electron beam having current Ib=400 nA and energy Eb=5 keV

Figure 1a shows two monochromatic CL images obtained at T=5 K around the sample region where the rectangle was irradiated (ldquowritingrdquo process) by the electron beam To collect the CL signal (ldquoreadingrdquo process) a reduced beam current (Ib=10 nA or lower) was used in order to avoid any further modification of the carrier potential A typical irradiation time of 40 sec is enough to obtain a complete recovery of the GaAs1-xNx band gap value before H irradiation The images in Fig 1a were collected with the monochromator energy set at Edet=1415 eV (left image) and 1465 eV (right image) Therefore the two bright areas (each complementary to the other) correspond to photons having energy equal to that of the band gap of the as-grown (left) or hydrogenated (right) sample at T=5 K

A spectral analysis of the light emitted from the electron-irradiated rectangle as well as from the area outside is shown in Fig 1b The CL spectrum of hydrogenated plus electron-irradiated GaAs1-xNx (middle line band gap energy equal to 1415 eV) coincides with that of the as-grown lattice (topmost line) and differs markedly from that of the hydrogenated sample (bottommost line energy gap equal to 1465 eV) In other words the rectangular area designed by the (writing) electron beam acts to all respects as a potential well for carriers

Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-C 455

0 50 100 150 200 250

102

103

104

105

E=1451 + 0002 eV hydrogenated GaAsN emission

E=1409 + 0002 eV GaAsN as-grown emission

CL

Inte

nsity

(a

u)

time (sec)

Fig 1 a) Low-temperature (T=5 K) CL images acquired after sweeping an hydrogenated GaAs1-xNx sample with an electron beam having current Ib=400 nA and energy Eb=5 keV Upper image CL from the GaAs1-xNx well region Lower image same as before but at E=1465 eV the band gap energy of the hydrogenated GaAs1-xNx barrier region b) CL spectra recorded at T=5 K in the region outside (bottommost line) and inside (middle line) the rectangular area swept by the electron beam The CL spectrum of the GaAs1-xNx sample before H irradiation is also shown (topmost line) for comparison purposes The temporal evolution of the CL emission intensity under electron beam irradiation is shown

in Figure 2 The GaAs characteristic emission is not affected by the ldquowritingrdquo process and is not reported The curves shown in Figure 2 heve been obtained recording the CL intensity with an energy window ∆E ltlt FWHM of the monitored CL band

Fig 2 Dynamical behaviour of the ldquowritingrdquo process The N-H dissociation and consequently the restoring of the original band gap of GaAsN is complete in about 30 - 40 sec in these ldquowritingrdquo conditions In particular an Ib of about hundreds of nA is necessary for all the structures studied (threshold effect)

140 145 150

CL

inte

nsity

(arb

uni

ts)

Energy (eV)

untreated

hydrogenated

+ e- irradiated

T=5 K

Ga(AsN)

Ga(AsN)H

GaAsexciton

(eC)GaAs

hydrogenated

20 micro m

E =1415 eV

20 microm T =5 K

det

20 micro m 20 micro m

E =1415 eV

20 microm T =5 K

det

E=1465 eV

a b

456 G Salviati et al

The curve relative to the emission at E= 1451 eV after the initial 40 sec shows first a partial recovery of the CL intensity followed by a much slower and constant decrease This behaviour could be due to different mechanisms involved in the nitrogen-dihydrogen complex breaking process but it is not yet understood In this respect time resolved PL and CL studies are in progress

These findings demonstrate that the electron beam has broken the bonds of the nitrogen-dihydrogen complexes responsible for the dramatic changes in the electronic properties of the crystal Such breaking results most likely in a displacement of H atoms from the nitrogen-dihydrogen complex but not in hydrogen outdiffusion from the lattice We point out that after irradiation with the electron beam the sample was cycled between T=5 K and room temperature several times Nevertheless the same CL images and spectra were recorded after each cycle thus demonstrating the thermal stability of the H displacement process It must be stressed that the specimen temperature during the e-beam irradiation affects the final results Typically only 80-85 of the pre-hydrogenated condition is achieved by increasing the specimen temperature from 5 to 77 K Increases of the lattice temperature of about 120 degK and 30 degK have been estimated at room and liquid helium irradiation temperature respectively [20] Since a 100 recovery of the pre-hydrogenation conditions is achieved only at liquid helium temperature these results suggest the effect should not be thermally assisted

The data shown so far indicate that spatially selective displacement of hydrogen defines a rather sharp vertical interface between planar zones having different band gap energies The abruptness of the crossover region between H-free and hydrogenated areas can be assessed by CL [17] by modeling the impinging electron beam as having a Gaussian section with standard deviation σ =100 nm which was estimated by Monte Carlo simulations performed in GaAs under the given experimental conditions By setting the origin at the impingement point of the electron beam the number of carriers diffused at a point (xy) of the plane perpendicular to the beam is given by

( )( ) ( )

2

21

21

21

21

211 σ

yxL

yyxx

eedydxCyxn D

+minus

minus+minusminusinfin

infinminus

infin

infinminusintint= (1)

where C is a constant the first exponential function represents the typical diffusive profile of the excited carriers with diffusion length LD and the Gaussian function represents the electron beam section The CL intensity corresponding to photons emitted from the whole well region after impingement of the electron beam in x0 is then given by

( ) ( )220220CL b

b

yxxndxdyCxIx

x

minus= intintinfin+infin

infinminus

(2)

where xb indicates the position of the border of the rectangular area We find LD=062 microm for GaAsN samples which compares well with values of the carrier diffusion length reported in III-V semiconductors [21] A similar analysis performed at room temperature gives LD=18 microm consistent with the temperature increase of the samples This also implies that the spatial sharpness of the edge between the H-diffused and the H-free part of the sample ought to be better than 06 microm namely the carrier diffusion length at low temperature This value confirms that our present capability of determining the sharpness of the in-plane band gap profile is limited by carrier diffusion only

The method presented here for in-plane engineering of the band gap of GaAs1-xNx can be readily extended from the near infrared to the visible spectral range by using GaP1-yNyGaP

Controlled Band Gap Modulation of Hydrogenated Dilute Nitrides by SEM-C 457

heterostructures whose emission wavelength can be varied from red to green by hydrogen irradiation [22] In Fig 3 the effects of electron beam irradiation at T= 5 K on a GaPNH sample are reported Also in this case a complete recovery of the pre-hydrogenation conditions is achieved

CL

inte

nsity

(arb

uni

ts)

Fig 3 CL spectra obtained at T= 5K Eb=5 keV Ib= 400 nA at 1000x after 30 seconds of irradiation on a GaPNH specimen

The same experiment performed at T= 77 K and RT (not shown here) showed that only

85-90 of the pre-hydrogenation conditions could be achieved in GaPNH specimens with no difference in the amount of recovery between 77 K and RT

The modulation of the material band gap described here should affect the refractive index n which increases as the N concentration increases (and the band gap decreases) in GaAs1-xNx [23] Since n should be larger in as-grown GaAs1-xNx than in the hydrogenated material selective incorporationdisplacement of hydrogen would allow us to design integrated optical circuits In particular optical elements like planar waveguides and optical couplers working in the telecommunication wavelength range could be implemented using the methods presented in this paper 4 Conclusions By spatially controlled displacement of H atoms from hydrogenated GaAs1-xNx and GaP1-yNy by direct electron beam writing we are able to modulate on a small scale the band gap of a semiconductor heterostructure in its growth plane Only 30-40 sec of irradiation at T= 6 K Ib=400 nA and Eb=5 keV are sufficient to achieve 100 of the pre-hydrogenation conditions By carefully selecting the irradiation dose any intermediate band gap values can be obtained The effect is totally stable in time and its efficiency depends on the specimen temperature Micrometric planar waveguides with pre-defined refractive indexes can be designed In perspective we should be able to attain such a modulation on a nanometre scale as to lead to quantum confinement effects on carriers

19 20 21 22 23

GaP 09919 N

00081

T=5K

0

04

08

12

16

2

24

28

32

Energy (eV)

untreated

hydrogenated

e - irradiated

458 G Salviati et al

References [1] Capasso F 1987 Science 235 172 [2] Weisbuch C and Vinter B 1991 Quantum Semiconductor Structures (Academic Press San

Diego USA) [3] Greacuteus Ch Butov L Daiminger F Forchel A Knipp P A and Reinecke T L 1993 Phys Rev B

47 7626 (R) [4] Bimberg D Grundmann M and Ledentsov N N 1998 Quantum Dot Heterostructures (Wiley

Chichester UK) [5] Polimeni A Henini M Patanegrave A Eaves L Main P C and Hill G 1998 Appl Phys Lett 73

1415 [6] Ciatto G Boscherini F Amore Bonapasta A Filippone F Polimeni A and Capizzi M 2005

Phys Rev B 71 201301 [7] Physics and Applications of Dilute Nitrides Eds I A Buyanova and W M Chen 2004 (Taylor

amp Francis New York USA) [8] Steinle G Mederer F Kicherer M Michalzik R Kristen G Egorov A Y Riechert H

Wolf H D and Ebeling K J 2001 Electron Lett 37 632 [9] Kurtz S R Allerman A A Jones E D Gee J M Banas J J and Hammons B E 1999 Appl Phys

Lett 74 729 [10] Welty R J Xin H Tu C W and Asbeck P M 2004 J Appl Phys 95 327 [11] Ignatov A Patanersquo A Makarovsky O and Eaves L 2006 Appl Phys Lett 88 032107 [12] Polimeni A Baldassarri G H v H Bissiri M Fischer M Reinhardt M and Forchel A 2001

Phys Rev B 63 201304 (R) [13] Baldassarri G H v H Bissiri M Polimeni A Capizzi M Fischer M Reinhardt M and Forchel

A 2001 Appl Phys Lett 78 3472 [14] Amore Bonapasta A Filippone F Giannozzi P Capizzi M and Polimeni A 2002 Phys Rev

Lett 89 216401 [15] Polimeni A Baldassarri G H v H Masia F Frova A Capizzi M Sanna S Fiorentini V

Klar P J and Stolz W 2004 Phys Rev B 69 041201 (R) [16] Polimeni A Ciatto G Ortega L Jiang F Boscherini F Filippone F Amore Bonapasta A

Stavola M and Capizzi M 2003 Phys Rev B 68 085204 (R) [17] Felici M Polimeni A Salviati G Lazzarini L Armani N Masia F Capizzi M Martelli F

Lazzarino M Bais G Piccin M Rubini S and Franciosi A 2006 Advanced Materials 18 1993 [18] Pavesi M Manfredi M Salviati G Armani N Rossi F Meneghesso G Levada S Zanoni E

Du S and Eliashevich I 2004 Appl Phys Lett 84 3403 [19] Silvestre S Bernard-Loridant D Constant E Constant M and Chevallier J 2000 Appl Phys

Lett 77 3206 [20] Myhailenko S Ke W K and Hamilton B 1983 J Appl Phys 54 862 [21] Chao L-L Cargill III G S Levy M Osgood Jr R M and McLane G F 1997 Appl Phys Lett

70 408 [22] Polimeni A Bissiri M Felici M Capizzi M Buyanova I A Chen W M Xin H P and Tu C W

2003 Phys Rev B 67 201303 (R) [23] Leibiger G Gottschalch V Rheinlaumlnder B Šik J and Schubert M J 2001 Appl Phys 89 4927

Interdiffusion as the First Step of GaN Quantum Dot Degradation Demonstrated by Cathodoluminescence Experiments B Sieber Laboratoire de Structure et Proprieacuteteacutes de lrsquoEtat Solide Universiteacute des Sciences et Technologies de Lille UMR CNRS 8008 Bacirctiment C6 59655 Villeneuve drsquoAscq Ceacutedex France Summary In-situ cathodoluminescence experiments have been performed to follow the first step of the degradation of GaN quantum dots embedded in AlGaN barriers The time evolution of the spectra is interpreted as resulting from the interdiffusion of Al in GaN dots 1 Introduction The degradation of the optical properties of GaN quantum dots (GaN-QDs) under electron beam (e-beam) injection has been previously reported [1-4] Most of the studies have been performed by means of cathodoluminescence (CL) on specimens in which five or three layers of GaN-QDs are embedded in AlGaN epilayers From the temporal evolution of the CL spectra it was suggested that interdiffusion from the AlGaN barriers to the GaN dots could be involved in the degradation of the optical properties of the quantum dots [5] In this work we focus on the time evolution of CL spectra under electron beam injection The experimental conditions have been chosen such that the degradation rate is low enough to follow the beginning of the degradation 2 Experimental Details In order to study the time evolution of the luminescence issuing from both the GaN quantum dots and the AlGaN barrier we have chosen a specimen with Al-rich AlGaN barriers It is a graded index separate confinement heterostructure (SCH) which was grown at 730degC by plasma assisted molecular beam epitaxy on a 6H (0001)-SiC substrate A 1microm AlN epilayer was first deposited on the substrateThe SCH - or active - region consisted of three layers of GaN QDs embedded in 12 nm Al05Ga05N cladding layers deposited between two 86 nm Al05Ga05 N optical waveguide layers coherent on AlN The GaN QDs were formed in the StranskindashKrastanov growth mode with a typical density in the 1011 cm-2 range [6] They are hexagonal truncated pyramids with 1-103 facets They have an average size (heightdiameter) of about 315 nm and nucleate on top of a wetting layer [7] A 50 nm AlN cladding layer was grown on the top of the structure In situ plane-view CL experiments were performed at room temperature in a Hitachi 4700 cold field-emission scanning electron microscope operating at 8 keV and equipped with a Gatan CL collecting mirror A Jobin-Yvon H20 UV monochromator and a Perkin-Elmer photomultiplier were used to record CL spectra The beam current was equal to 05 nA The time evolutions of monochromatic CL intensities were recorded while the electron beam was kept stationary for 30 min The beam injection conditions are such as to ensure flat band conditions [3] 3 Results and Discussion The total CL spectrum recorded on the SCH-QD heterostructure is shown in Fig 1 Two CL bands are clearly visible the first one is intense with a maximum located at 3621 eV The second one exhibits a much lower intensity and peaks at 442 eV The latter corresponds to the AlxGa1-xN band to band (BB) transition The fact that its luminescence is large enough to be detected indicates that

460 B Sieber

the carrier transfer from the barriers to the QDs is not complete The Al content (x) is 045 when determined with the equation Eg (x) =(1-x) Eg (GaN) + x Eg (AlN) ndash bx(1-x) (1) with the bowing parameter b = 098 eV [8] the energy band gaps Eg of GaN and AlN have been assumed as 340 and 62 eV respectively at room temperature

00

02

04

06

08

10

12

310 360 410 460Photon Energy (eV)

Nor

mal

ized

CL

Inte

nsity

AlGaN

GaN QDs

Fig 1 CL spectrum recorded on the SCH-QD heterostructure at the beginning of the e-beam injection Two Gaussian curves fit the low energy CL band The high energy CL band is due to band to band (BB) recombinations in the AlGaN epilayers The low (high) energy CL bands have a width of 229 meV (224meV)

Recording and fitting of many CL spectra have shown that two Gaussian bands best

deconvolute the more intense CL band of all experimental spectra This is illustrated in Fig 1 in the case of the CL spectrum recorded at the beginning of the e-beam injection The Gaussian band with the lower energy peak is tentatively ascribed to a defect located in the AlGaN layer [9] In the following we concentrate on both the GaN QDs and AlGaN BB bands From the shape and peak position of the former it is possible to derive the average height of the QDs as well as its dispersion We make the usual assumption that the inhomogeneous broadening of the luminescence band mainly results from the variation of dots height in the volume probed by the electron beam (the e-beam penetration depth is close to 400 nm with a total lateral extension of 300 nm at the dot level) Thus the spontaneous cathodoluminescence intensity can be expressed as [10]

( ) ( ) z0 zLzCL dLEf)L(nEI intinfin

= (2) with n(Lz) the quantum dot height distribution chosen to be Gaussian fLz(E) is the CL of a set of quantum dots with a given height Lz

( ) ( )[ ]

minusminusπ∆

= 2

2zCL

zL ELEE2exp

2E1Ef (3)

∆E is the homogeneous phonon-induced broadening Figure 2 shows that the experimental GaN QD band can be fitted by assuming a height dispersion ∆LZ of 13 nm and ∆E equal to 120 meV The mean height Lz0 of the fully strained dots is calculated as in [5] The GaN QDs peak value being 3629 eV we find that Lz0 is equal to 366 nm The calculated curve is located below (above) the GaN QDs Gaussian fit for larger (smaller) dots This could be due to a higher (lower) non radiative recombination rate of larger (smaller) dots During e-beam injection i) the CL peak intensity of the GaN QDs remains stable until 20 min and then decreases to reach about 70 of its initial value after 30 minutes ii) the intensity value of the AlGaN BB peak is nearly constant iii) the FWHM and

Interdiffusion as the First Step of GaN QD Degradation Demonstrated by CL Experiments 461

00

02

04

06

08

10

12

340 360 380Photon Energy (eV)

Nor

mal

ized

CL

Inte

nsity

GaN QDs Gaussian fit

calculated curve

Fig 2 GaN QDs CL band at the beginning of the degradation (Gaussian fit ndash continuous line) It is well reproduced by the curve (dotted curve) calculated with Lz0 = 366 nm ∆LZ = 13 nm and ∆E = 120 meV The circles correspond to CL values obtained for Lz0 ndash (∆LZ2) = 301 nm and Lz0 + (∆LZ2) = 431 nm

the peak position of both GaN QDs and AlGaN BB bands are modified just the opposite (Fig 3)

After 30 minutes of e-beam injection the FWHM of GaN QDs band decreases from 195 meV to 180 meV whereas that of AlGaN BB band increases from 224 meV to about 230 meV (Fig 3a) Such opposite variations are also seen in the energy shifts the peak of the GaN QDs band is blue shifted while that of the AlGaN-BB band is red shifted (Fig 3b) The decrease of the FWHM of the

010

015

020

025

030

0 10 20 30Injection time (min)

FWH

M (

eV) AlGaN BB

GaN QDs

a)

-10

-5

0

5

10

15

0 10 20 30injection time (min)

Ene

rgy

shift

(meV

)

AlGaN BB

GaN QDs

b)

red shift

blue shift

Fig 3 a) Time evolutions of the FWHM of the GaN QDs and AlGaN BB CL bands b) energy shifts of the GaN QDs and AlGaN BB CL band peaks during injection

GaN QDs band results from a blue shift of the luminescence associated with larger dots alone (Fig 4a) The broadening of the AlGaN BB band is due to a red shift of its low energy side and to a blue shift of its high energy side (Fig 4b)

The blue shift of the GaN QDs CL peak (Fig 3b) can be attributed to an increase of the aluminium content in the dots This is consistent with the observed red shift of the AlGaN BB peak (Fig 3b) arising from a decrease of the Al content in the barriers The experimental broadening of the AlGaN BB band (Fig 4b) could then result from alloy disorder During e-beam injection initially abrupt GaNAlGaN interfaces [11] then become less and less sharp as a result of Ga-Al interdiffusion Strain as well as Al and Ga gradients between the dots and the barriers would enhance the interdiffusion across interfaces Generally the width of a QD luminescence band results from the QD nonuniformity (size shape and strain) In usual interdiffusion experiments where the specimens are thermally annealed the blue shift of the peak occurs together with a bandwidth narrowing The latter is explained by QD confining potentials becoming shallower [12] andor by a better homogeneity in QD size [13] In our experiments the thermal activation of the diffusion process is provided by non radiative (NR) recombination which has been shown to be involved in the degradation process [1-3]

Larger dots could be interdiffused first since as shown in Fig 2 only the low energy part of the GaN QD band blue shifts Dislocations located near them would provide the NR recombination

462 B Sieber

0

02

04

06

08

1

12

330 350 370 390

Photon Energy (eV)

Nor

mal

ized

CL

inte

nsity

0 min

30 min

GaN QDs

a)

-15

-10

-5

0

5

10

15

0 10 20 30Injection time (min)

Ene

rgy

shift

(meV

) blue shift

red shift

high energy side

low energy side

peak

b)

AlGaN BB

Fig 4 a) CL spectra of the GaN QDs band at 0 min and 30 min of beam injection The circles have the same meaning as in Fig 2 b) Time variations of the high and low energy sides (taken here at ICL peak2) of the AlGaN BB band

needed for the diffusion of Ga and Al species out from and into the dots Another possibility is that the smaller dots are interdiffused first and much faster thus resulting in a relaxation of the strain at least partly This could explain their energy stability with e-beam injection seen in Fig 2 4 Conclusion We have reported the in-situ evolution of CL spectra under the injection of a 8 keV electron beam The injection conditions have been chosen to ensure a low degradation rate The blue (red) shift of the GaN QDs (AlGaN BB) band peak associated with a decrease (increase) of their respective bandwidths were interpreted in terms of dotbarrier interdiffusion Acknowledgements The author would like to thank C Vanmansart for the CL spectrum software B Daudin (CEA-Grenoble) is gratefully acknowledged for the SCHndashQD heterostructure References 1 Mera Y Suzuki K and Maeda K 2003 Physica B 340ndash342 488 2 Verbert J Barjon J Monroy E Daudin B and Sieber B 2004 J Phys Condens Matter 16 S243 3 Sieber B 2005 J Appl Phys 98 083520 4 Sieber B 2006 J Phys Condens Matter 18 1033 5 Sieber B 2007 Phys Stat Sol c 4 1517 6 Daudin B Widmann F Feuillet G Samson Y Arlery M and Rouviegravere J L 1997 Phys Rev B

56 R7069 7 Gogneau N et al 2004 Phys Status Solidi C 1 1445 8 Khan M R H Koide Y Itoh H Sawaki N and Akasaki I 1986 Solid State Commun 60 509 9 Polyakov A Y et al 2003 J Vac Sci Technol B 21 2500 10 Ledoux G Guillois O Porterat D Reynaud C Huisken H Kohn B and Paillard V 2000 Phys

Rev B 62 15942 11 Chamard V et al 2004 Phys Rev B 69 125327 12 Perret N Morris D Franchomme-Fosseacute L Cocircteacute R Simon Fafard S Aimez V and Beauvais J

2000 Phys Rev B 62 5092 13 Mackowski S Smith L M Jackson H E Heiss W Kossut J and Karczewski G 2003 Appl

Phys Lett 83 2

Calibration and Applications of Scanning Capacitance Microscopy n-Type GaN J Sumner R A Oliver M J Kappers and C J Humphreys Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK Summary To understand the basic mechanisms of scanning capacitance microscopy (SCM) four GaN-based test structures were grown on sapphire These have been designed to test the carrier concentration and spatial detection limits of the technique and it has been noted that SCM reliably distinguished between different carrier concentrations for levels ge 5times1017cm-3 and for layers equal to or thicker than 25nm The response of SCM is seen to be highly dependent on the particular probe used necessitating the use of calibration standards for the quantification of unknown samples 1 Introduction Scanning capacitance microscopy (SCM) enables users to study carrier profiles within semiconducting materials It is based on atomic force microscopy (AFM) by applying an alternating bias to a metal-coated probe carriers alternately accumulate and deplete within the semiconductor surface layers changing the tip-sample capacitance The magnitude of this change in capacitance with voltage gives information about the concentration of carriers (SCM amplitude data) whilst the difference in phase between the capacitance change and the applied alternating bias carries information about the sign of the carriers (SCM phase data)

Currently there is a lot of interest in using SCM to study GaN in particular using it to investigate how defects (eg charges on dislocations) affect carriers [1] or as a way of assessing the homogeneity of InGaNGaN quantum wells [2] However despite the interest in these fairly complex systems no work has yet been carried out on basic calibration of the technique for GaN This paper aims to supply these necessary basic data 2 Experimental Details All samples were grown on c-plane sapphire substrates using a 6times2rdquo Thomas Swan CCS metalorganic vapour phase epitaxy (MOVPE) reactor Trimethyl gallium (TMGa) silane (SiH4) and ammonia (NH3) were used as precursors and hydrogen as the carrier gas

Sample preparation for SCM involved the cleaving of a sample following the making of a short scratch using a diamond scribe from the top (GaN) face along the GaN lt1120gt [3] All SCM data were collected using a Veeco Dimension 3100 atomic force microscope equipped with an SCM module and using Pt-Ir coated SCM tips Secondary ion mass spectrometry (SIMS) was carried out at Loughborough Surface Analysis Ltd Data analysis was carried out using WSxM freeware [4]

Four samples were analysed Two samples were designed to test SCMrsquos response to changing carrier concentrations and both had n-type layers of ca 5times1017 75times1017 1times1018 25times1018 5times1018 75times1018 and 1times1019 with a top contact layer of 1times1018cm-3 One of these samples (Sample A) had doped layers ca 200nm thick and separated by ca 200nm thick non-intentionally-doped (nid) GaN spacers The second sample (Sample B) had ca 400nm thick layers with no spacers

464 J Sumner et al

A further two samples were grown to test the resolution of SCM in terms of feature size n-doped layers with thicknesses of ca 200nm 100nm 50nm 25nm and 10nm were separated by ca 200nm thick GaN spacers In Sample C these spacers were nid and the layers were Si-doped at 1times1018cm-3 whilst in Sample D the spacers were doped at 1times1018cm-3 and the layers at 1times1019cm-3 3 Results and Discussions 31 Samples With Varying Dopant Concentration Figure 1 illustrates various data from Sample A The SCM amplitude image (Fig 1a) clearly shows all seven differently doped regions in addition to an unintended impurity region (also visible in the SIMS data ndash Fig 1b) to the far right demonstrating an ability to detect dopant levels from 5times1017cm-3 to 1times1019cm-3 Indeed we have previously used SCM to detect dopant levels down to ca 2times1017cm-3 [3] Additionally the technique can detect differences in dopant levels as small as the change from 5times1017cm-3 to 75times1017cm-3 It is worth noting that the SCM technique is more sensitive to changes in n-type doping than doping contrast using secondary electrons in the scanning electron microscope is [5]

The presence of dark almost horizontal lines in Fig 1a arises from changes in the tip-sample contact area when the SCM probe passes over a sharp cleavage step formed during sample preparation This emphasizes the importance of good sample preparation and also demonstrates the influence of topography on AFM-based electrical measurements

Figure 2 shows similar data to Fig 1 but for Sample B Interestingly it should be noted that the lowest doped layer in Sample B (5times1017cm-3) often fails to be detected by SCM independent of the tip used This issue is not yet well understood

07 microm

00 05 10 15 20 25 30 35

1014

1015

1016

1017

1018

1019

1020

02004006008001000120014001600

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M a

mpl

itude

dat

a m

V

Fig 1 Sample A a) Raw SCM amplitude data The top surface is to the left and the pale vertical stripes correspond to the doped layers The two lsquohorizontalrsquo black lines are caused by topography convolution b) Processed SCM amplitude data (grey) is plotted with SIMS dopantconcentration data (black) showing their correspondence

(a)(b)

07 microm07 microm07 microm

00 05 10 15 20 25 30 35

1014

1015

1016

1017

1018

1019

1020

02004006008001000120014001600

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M a

mpl

itude

dat

a m

V

Fig 1 Sample A a) Raw SCM amplitude data The top surface is to the left and the pale vertical stripes correspond to the doped layers The two lsquohorizontalrsquo black lines are caused by topography convolution b) Processed SCM amplitude data (grey) is plotted with SIMS dopantconcentration data (black) showing their correspondence

(a)(b)

00 05 10 15 20 25 30 35

1017

1018

1019

0

2

4

Dop

ant C

onc

(SIM

S)

cm-3

Distance From Surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 2 Sample B a) Raw SCM amplitude data The vertical stripes correspond to doped layers b)Processed SCM amplitude data (grey) is plotted with SIMS data (black)

(a) (b)

00 05 10 15 20 25 30 35

1017

1018

1019

0

2

4

Dop

ant C

onc

(SIM

S)

cm-3

Distance From Surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 2 Sample B a) Raw SCM amplitude data The vertical stripes correspond to doped layers b)Processed SCM amplitude data (grey) is plotted with SIMS data (black)

00 05 10 15 20 25 30 35

1017

1018

1019

0

2

4

Dop

ant C

onc

(SIM

S)

cm-3

Distance From Surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 2 Sample B a) Raw SCM amplitude data The vertical stripes correspond to doped layers b)Processed SCM amplitude data (grey) is plotted with SIMS data (black)

(a) (b)

Calibration and Applications of Scanning Capacitance Microscopy n-Type GaN 465

The curves shown in Figs 1b and 2b represent the average in the vertical direction of the data in Fig 1a and 2a and illustrate various points Firstly an inverse relationship between carrier concentration (here assumed equivalent to the SIMS dopant levels ndash an assumption not valid for p-type GaN) and SCM amplitude intensity is observed ndash smaller carrier levels are easier to deplete and hence give rise to a larger change in capacitance This forms the basis of calibration curves such as those in Fig 3 However it must be noted that in addition to being strongly dependent upon the AC bias under which the data is taken such calibration curves are extremely sensitive to the SCM tip used in data acquisition Even using the tip changes the SCM signal over the course of several scans since the metalic coating wears Differences between individual tips ndash even from the same batch ndash are much more profound and give rise to different SCM signals

Secondly the layers with higher dopant levels demonstrate a lsquobowingrsquo in the centre of their SCM amplitude profile (Fig 1b) arising from carrier spillage into the nid-spacers between the layers Thirdly the SCM data seem slightly lsquostretched outrsquo compared to the SIMS profile This stems from the SCM sample preparation in which the cleave fails to produce a cross-section exactly perpendicular to the surface

Considering several measurements on samples A and B with different tips in which the applied AC bias was systematically varied the strongest SCM amplitude signal can usually be obtained between 8 and 15V AC Also in the case of Sample A which has nid-spacer regions carriers spread further into the spacer regions with higher applied bias ultimately coming to overlap (though sufficient contrast remains for the individual layers to be distinguished) 32 Samples With Varying Layer Thicknesses Figures 4 and 5 give data for Samples C and D respectively The SCM amplitude images show light vertical stripes corresponding to the doped layers of varying thickness in Sample C (Fig 4a) and dark vertical stripes for Sample D (Fig 5a) (The doped regions in Sample C are separated by nid-regions with too few carriers for detection whilst in Sample D the doped layers of varying thickness are separated by spacers with lower doping which will give higher SCM amplitude signals thus making the layers of varying thickness in Sample D appear dark instead of light) It should be noted that an unintended impurity layer lies to the right of the 200nm layer in Sample C

SIMS data from both Figs 4 and 5 appear to show a reduction in dopant levels for the thinner layers Given that all doped layers were grown under identical conditions this is believed to be an artifact of the

1018 1019100

150

200

250

300

350

400

450

For 10V AC bias

SCM

dC

dV

Ampl

itude

Inte

nsity

mV

Dopant Density from SIMS cm-3

Fig 3 Calibration curve plotting SCM amplitude response to SIMS dopant densities

1018 1019100

150

200

250

300

350

400

450

For 10V AC bias

SCM

dC

dV

Ampl

itude

Inte

nsity

mV

Dopant Density from SIMS cm-3

Fig 3 Calibration curve plotting SCM amplitude response to SIMS dopant densities

00 05 10 15

1014

1015

1016

1017

1018

-100

0

100

200

300

400

500

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 4 Sample C a) Raw SCM amplitude data Top surface to the left the pale vertical stripes correspond to doped layers b) Processed SCM amplitude data (grey) with SIMS data (black)

(a) (b)

00 05 10 15

1014

1015

1016

1017

1018

-100

0

100

200

300

400

500

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 4 Sample C a) Raw SCM amplitude data Top surface to the left the pale vertical stripes correspond to doped layers b) Processed SCM amplitude data (grey) with SIMS data (black)

(a) (b)

466 J Sumner et al

SIMS measurement due to transients and ion-beam-induced intermixing The 25nm thick layer is routinely detected However detection of the 10nm layer is strongly tip

dependent and it rarely appears as more than a faint change in the SCM amplitude signal even with the best tips The 10nm layer is seen more frequently in Sample D than Sample C

Observed widths in SCM are always greater than the actual layer widths as determined by reflectivity measurements carried out in situ during growth Initially the observed widths increase with applied AC biases of up to ~5V ac For higher biases the observed widths remain approximately constant However the observed width in SCM does increase with the actual layer thickness

Thinner layers (50-10nm) tend to have stronger SCM amplitude signals than wider layers (100 and 200nm) This may be due to carriers diffusing out of the thinner doped layers leading to a decreased peak carrier concentration and hence increased SCM amplitude In a sample with unknown layer thicknesses this could cause significant data interpretation problems with a thinner higher doped layer being confused with a wider lower-doped layer 4 Conclusions SCM data can reliably distinguish qualitatively between differently doped layers in n-type GaN with dopant levels greater than 5times1017cm-3 To account for differences between tips and to quantify this data calibration structures and curves are required Additionally it has been shown that layers with thicknesses down to 10nm can be observed However all of those observations are highly tip dependent and the authors would recommend the development of better more consistent and more stable tips Acknowledgements This work has been funded in part by the EPSRC (GRS 4939101) JS would like to acknowledge funding from Thomas Swan Scientific Equipment Ltd RAO would like to acknowledge funding from the Royal Society References 1 Hansen P J Strausser Y E Erickson A N Tarsa E J Kozodoy P Brazel E G Ibbetson J P

Mishra U Narayanamurti V DenBaars S P and Speck J S 1998 Appl Phys Lett 72 2247 2 Zhou X Yu E T Florescu D Ramer J C Lee D S and Armour E A 2004 Appl Phys Lett

85 407 3 Sumner J Oliver R A Kappers M J and Humphreys C J 2007 PSS (c) Proceedings of IWN

2006 in press 4 WSxM copy httpwwwnanoteces 5 Elliott S L Broom R F and Humphreys C J 2002 J Appl Phys 91 9116

00 05 10 15 20

1017

1018

1019

-100-50050100150200250300

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 5 Sample D a) Raw SCM amplitude data Top surface to the left dark vertical stripes are doped layers Topography convolution can be seen in this image b) Processed SCM amplitude data (grey) is plotted with SIMS data (black)

(a) (b)

00 05 10 15 20

1017

1018

1019

-100-50050100150200250300

Dop

ant c

onc

(SIM

S)

cm-3

Distance from surface microm

SC

M A

mpl

itude

Dat

a m

V

07 microm

Fig 5 Sample D a) Raw SCM amplitude data Top surface to the left dark vertical stripes are doped layers Topography convolution can be seen in this image b) Processed SCM amplitude data (grey) is plotted with SIMS data (black)

(a) (b)

The Factors Influencing the Stability of Scanning Capacitance Spectroscopy Mao-Nan Chang Tung-Huan Chou Che-Yu Yang1 and Jeng-Hung Liang1 Division of Nano Metrology National Nano Device Laboratories Hsinchu 30078 Taiwan 1 Department of Engineering and System Science National Tsing Hua University Hsinchu 30043

Taiwan Summary We have used front-wing conductive probes to investigate the factors that most obviously influence the stability of scanning capacitance spectroscopy (SCS) Photoperturbations and environmental humidity are the dominant factors influencing SCS stability of samples with a thermal oxide layer Without photoperturbation and humidity problems the peak difference between the traced and retraced SCS curves was stable depending only on the dielectric thin film of the studied samples The experimental results indicate that non-photoperturbed SCS with a dry ambient is a practical method for investigating the quality of dielectric thin films 1 Introduction Scanning capacitance microscopy (SCM) is a well-known technique that has been widely used in the mapping of the two-dimensional carrier distribution and electrical junctions of semiconductor devices [1-5] The high sensitivity and high spatial resolution of SCM means that the topography image and the corresponding differential capacitance (dCdV) image can be synchronously obtained from semiconductor surfaces This has resulted in many unique applications in recent years [6-8] Scanning capacitance spectroscopy (SCS) an extension of SCM also has potential as a method for studying the quality of dielectric thin films and can provide the complete dCdV as a function of sample bias [9] The quality of dielectric films has typically been examined by the C-V or charge pumping measurements A structure like a gate electrode is necessary for these two methods Working on bare dielectric films SCS can be applied to directly characterize semiconductor surfaces and dielectric thin films A point contact is used without the gate electrode process However it has been revealed in prior work that photoperturbations arising from the laser beam of the atomic force microscope (AFM) can affect the accuracy of SCM measurements [10] Since SCM suffers from photoperturbation problems it is thus natural to question whether photoperturbations might also influence SCS which would lead to unstable SCS measurements Recently Chang et al successfully demonstrated a conductive probe with a front-wing (FW) cantilever which significantly reduced the photoperturbation effects providing SCM measurements with a well-controlled photoperturbation level [11] In this work we investigate the factors influencing the stability of SCS measurements and discuss the SCS applications 2 Experimental Procedure The specimens used in this study were lt100gt n-type silicon wafers with and without a thermally grown 3 nm thick silicon dioxide (SiO2) layer denoted as samples 1 and 2 respectively Since sample 2 was not covered by a SiO2 film there was a native oxide layer on its surface during the SCS measurements The doping level of the sample substrate was about 5times1015 cm-3 A lower substrate doping means that the SCS profiles can sensitively respond to the photoperturbation effects The environmental humidity was well-controlled by the ambient nitrogen All of the SCS profiles were obtained using a Veeco multimode scanning probe microscope operated in constant

468 Mao-Nan Chang et al

0 1 2 3 4 5 600

01

02

03

04

05

06

07

dCd

V (V

)

Photoperturbation level

Depletion regime Inversion regime

Low High

0 1 2 3 4 5 600

01

02

03

04

05

06

07

dCd

V (V

)

Photoperturbation level

Depletion regime Inversion regime

Low High

Fig 1 dCdV signals of sample 1 and sample biases at 097 V and 21 V which correspond to depletion and inversion regimes respectively

-3 -2 -1 0 1 2 3-10

-05

00

dC

dV

(V)

DC bias (V)

-10

-05

00

-10

-05

00

-10

-05

00

Trace Retrace

(d)

(c)

(b)

(a)

Lowhumidity

High humidity

VFB

061 V

055 V

052 V

050 V

-3 -2 -1 0 1 2 3-10

-05

00

dC

dV

(V)

DC bias (V)

-10

-05

00

-10

-05

00

-10

-05

00

Trace Retrace

(d)

(c)

(b)

(a)

Lowhumidity

High humidity

Lowhumidity

High humidity

VFB

061 V

055 V

052 V

050 V

VFB

061 V

055 V

052 V

050 V

Fig 2 ∆Vfb slightly decreases with environmental humidity for sample 2

voltage mode using an ac bias at 89 kHz For the SCS measurements the dc bias cycling of the sample electrode ranged from -3V to 3V The wavelength of the AFM laser beam was 670 nm and the output power was 1 mW The conductive tips of the FW cantilever (produced by MikroMasch) were commercial silicon tips coated with Cr-Co alloys The force constant of the cantilevers was less than 4 Nm These FW conductive probes allowed us to fine-tune the photoperturbation level without topographic image measurement problems [11] 3 Results and Discussion The dCdV signals for sample 1 at biases of 097 V and 21 V which correspond to depletion and inversion regimes respectively obviously depend on the photoperturbation level as shown in Fig 1 Since photo-perturbations can enhance the effective carrier concentration and reduce the life time of minority carriers it is expected that one would observe lower (higher) dCdV signals in depletion (inversion) regimes The results in Fig 1 indicate that the photoperturbation problems make the SCS run by run measurements very instable Figures 2a 2b 2c and 2d show the SCS profiles of sample 2 after nitrogen purging for 90 120 150 and 180 minutes respectively During the acquisition of SCS profiles in Fig 2 the conductive probe was lifted from the sample surface after each bias cycle It is very clear that the environmental humidity may induce unstable hysteresis behavior [12] The peak shift (∆V) from the traced to retraced SCS curves would be variable if the environmental humidity cannot be well controlled In other words reducing environmental

humidity is necessary for stable SCS measurements Figures 3a 3b 3c and 3d show the SCS profiles for sample 2 after nitrogen purging for 90 120 150 and 180 minutes respectively However the conductive probe continued to be in contact with the sample surface and the ac bias was constant during the acquisition of the SCS profiles as seen in Fig 3 It is evident that the ∆V significantly decreased with the operation time Since the humidity in Figs 2 and 3 is the same we can

The Factors Influencing the Stability of Scanning Capacitance Spectroscopy 469

0 1 2 3 4 5 600

01

02

03

04

05

06

07

dCd

V (V

)Photoperturbation level

Depletion regime Inversion regime

Low High

0 1 2 3 4 5 600

01

02

03

04

05

06

07

dCd

V (V

)Photoperturbation level

Depletion regime Inversion regime

Low High

Fig 1 dCdV signals of sample 1 and sample biases at 097 V and 21 V which correspond to depletion and inversion regimes respectively

-3 -2 -1 0 1 2 3

-05

00

-05

00

-05

00

-05

00

Trace Retrace

dC

dV

(V)

DC bias (V)

(d)

(c)

(b)

(a)

VFB

061 V

054 V

031 V

0 V

Lowhumidity

High humidity

-3 -2 -1 0 1 2 3

-05

00

-05

00

-05

00

-05

00

Trace Retrace

dC

dV

(V)

DC bias (V)

(d)

(c)

(b)

(a)

VFB

061 V

054 V

031 V

0 V

VFB

061 V

054 V

031 V

0 V

Lowhumidity

High humidity

Lowhumidity

High humidity

Fig 3 When the environmental conditions for sample 2 are the same as in Fig 2 ∆V quickly decreases with continued ac charging

attribute this result to a charging effect on the sample surface Due to the high frequency of the ac bias the surface traps were compensated by continued ac charging which significantly suppresses the hysteresis behavior To observe the stability of the charging effect with the conductive probe continuing to be in contact with the sample surface we turned the applied ac bias off after the ∆V significantly decreased and then turned the ac bias on for the SCS measurements The alternative SCS measurements are shown in Fig 4 It is obvious that after ac charging and completely suppressing the ∆V turning the ac bias off may discharge the contact area and hence the hysteresis behavior occurs once again even if the nitrogen purging is continued This chargingdischarging phenomenon was repeatable indicating that the dominant factor for the SCS stability for sample 2 would be surface traps The results in Fig 4 not only clearly reveal the influence of surface traps on SCS stability but also imply that using SCS to identify the surface condition of cross-sectional SCM specimens might be unreliable Figure 5 shows the ∆V versus ac charging for samples 1 and 2 in a very low humidity and non-photoperturbed environment Sample 1 exhibits a smaller ∆V than does sample 2 implying that for sample 1 the trap density from the SiO2 surface and the SiO2Si interface

was smaller than for sample 2 As a result with an increase in the measuring times the ∆V of sample 1 quickly fell to zero If the experimental factors influencing the hysteresis behavior are controlled the ∆V responding to the flat-band voltage shift for the studied sample can be a quality indicator of dielectric thin films The above results suggest that one can apply non-photoperturbed SCS in ambient dryness to characterize the quality of dielectric thin films

VFB

053 V

008 V

062 V

002 V

-3 -2 -1 0 1 2 3

-05

00

-05

00

-05

00

-05

00

trace retrace

DC bias (V)

dCd

V (V

)

(c)

(a)

(b)

(d)Lowhumidity

High humidityVFB

053 V

008 V

062 V

002 V

VFB

053 V

008 V

062 V

002 V

-3 -2 -1 0 1 2 3

-05

00

-05

00

-05

00

-05

00

trace retrace

DC bias (V)

dCd

V (V

)

(c)

(a)

(b)

(d)Lowhumidity

High humidity

Lowhumidity

High humidity

Fig 4 The ∆V of sample 2 can be controlled by ac charging Discharging by turning the ac bias off represents the hysteresis behavior of the SCS curves

470 Mao-Nan Chang et al

4 Conclusion In conclusion we investigated factors influencing the stability of SCS measurements for the characterization of dielectric thin films FW conductive probes can aggressively promote the accuracy of the SCS analysis of samples with a dielectric film It is revealed that the photoperturbation effect and environmental humidity are the major factors affecting the accuracy of SCS SCS will be more reliable and more stable in a dry ambient without photoperturbations Moreover it is not suggested that ∆V be used as an indicator of the surface quality of cross-sectional SCM specimen since ∆V might be unstable for a trap-rich surface References 1 Abraham K W Williams C C Slinkman J and Wickramasinghe H K 1991 J Vac Sci Technol

B 9 703 2 Kopanski J J Marchiando J F Berning D W Alvis R and Smith H E 1998 J Vac Sci Technol

B 16 339 3 Zavyalov V V McMurray J S and Williams C C 1999 J Appl Phys 85 7774 4 OrsquoMalley M L Timp G L Timp W Moccio S V Garno J P and Kleiman R N 1999 Appl

Phys Lett 74 3672 5 Nakakura C Y Hetherington D L Shaneyfelt M R Shea P J and Erickson A N 1999 Appl

Phys Lett 75 2319 6 Chang M N Chen C Y Pan F M Chang T Y and Lei T F 2002 Electrochem Solid-State Lett

5 G69 7 Leu C C Chen C Y Chien C H Chang M N Hsu F Y and Hu C T 2003 Appl Phys Lett 82

3493 8 Hansen P J Strausser Y E Erickson A N Tarsa E J Kozodoy P Brazel E G Ibbetson J P

Mishra U Narayanamurti V DenBaars S P and Speck J S 1998 Appl Phys Lett 72 2247 9 Edwards H McGlothlin R Martin R S U E Gribelyuk M Mahaffy R Shih C K List R S and

Ukraintsev V A 1998 Appl Phys Lett 72 698 10 Chang M N Chen C Y Pan F M Lai J H Wan W W and Liang J H 2003 Appl Phys Lett 82

3955 11 Chang M N Chen C Y Huang W J and Cheng T C 2005 Appl Phys Lett 87 023102 12 Isenbart J Born A and Wiesendanger R 2001 Appl Phys A Mater Sci Process 72 S243

0 2 4 6 8 10

-02

00

02

04

06

08

10

∆V FB

(V)

Runs

Thermal Oxide Native Oxide

Fig 5 As the measurement time increased the ∆V of sample 1 (Thermal oxide) quickly fell down to zero

Growth and in vivo STM of III-V Compound Semiconductors F Bastiman A G Cullis M Hopkinson and M Green1 Department of Electronic and Electrical Engineering University of Sheffield Mappin Street Sheffield S1 3JD UK 1 OMICRON NanoTechnology Ltd Imberhorne Lane East Grinstead W Sussex RH19 1XP UK Summary A combinational machine (MBSTM) capable of III-V molecular beam epitaxial deposition whilst performing scanning tunnelling microscopy (STM) is described Epitaxial deposition lsquounder the STM tiprsquo heralds a new world of discovery in the field of III-V epitaxial growth What is fashionably referred to as in vivo STM offers the potential to observe a medley of speculated transitions that until now have been glimpsed frozen in time It is potentially possible to observe in real time and on the atomic scale GaAs surface processes such as reconstruction transformations monolayer steps sweeping across the growth surface and for eg (001) orientation the structure evolution during heteroepitaxial InAs deposition through Stranski-Krastanow (S-K) wetting layer formation and quantum dot (QD) growth To this end an overview of the machine is given detailing the crucial composition of the MBSTM chamber Key aspects related to tip preparation temperature regulation and deposition inception are detailed providing a picture of the future of III-V epitaxial research 1 Introduction Integrating molecular beam epitaxy (MBE) and scanning tunnelling microscopy (STM) into a closed system [1] has been extensively used to investigate semiconductor surfaces So called in situ (or in vacuo) MBE-STM observations cannot be expected to reflect as-grown surfaces as a result of the unknown effects of rapid thermal quenching to room temperature necessary before imaging

Integrating an MBE growth module into an existing STM chamber (MBSTM) or similarly integrating an STM unit into an MBE chamber has recently been achieved for group IV [2] and III-V [3] semiconductors

Temperature control is crucial to MBE operation [4] similarly the condition of the STM tip is paramount to STM operation [5] These two fundamental issues are compounded by molecular source control issues and protection of the STM unit The culmination of these issues is discussed herein and hence the requirements for operation of an MBSTM system 2 Experimental The MBSTM chamber discussed in this paper is the Omicron VT SPM shown in Fig 1 The chambers require careful design to ensure elimination of contamination of the electronics due to deposition fluxes [6] Specifically shielding of the piezoelectric drive is paramount (Fig 2)

Further contamination of the STM tip adversely effects image quality Deposition onto the tip implies a portion of the sample is shaded by the tip which has a typical radius of curvature of tens of nm The presence of As deficient structures would strongly suggest such an occurrence [6] However the absence of such domains implies that the diffusion length of As is sufficiently large to compensate for the shading

472 F Bastiman et al

Fig 1 Image and schematic of MBSTM and components

Fig 2 STM head and piezo shielding

Sample heating is performed directly by passing a current through the sample Heating of any order generates thermal drift Samples must be left to stabilize for an hour at a given heating current in order to minimize drift Any residual drift can henceforth be corrected automatically via software correction tools

Group IV MBSTM work is more straightforward than III-V work as an overpressure of the group IV element is not necessary to stabilize the growth surface Hence the sheer density of atoms and molecules in the chamber for a given growth rate is higher for III-V MBE The ability to

Floating stage

Wobble Stick

Tip and piezoelectric drivers

Floating stage release

Cryo-lock tool

Transfer arm and flip stage

Sample Carouse

Triple e-beam source

Floating Stage

As flux In flux

Piezo-electric drive shielding

Sample Piezo-tip

Growth and in vivo STM of III-V Compound Semiconductors 473

resolve atomically during As-overpressure is severely limited [3 6] and has not been successfully attempted during growth 3 Source Control Data acquisition for an STM image has two key length scales A resolvable length scale of 10-10 m allows for reconstruction and alloying investigation where as 10-7 m suits island and step-terrace observations Typical acquisition times for such images are approximately 600 - 900 seconds though can be reduced depending on data collection calibrations and image quality Low growth rate MBE (0013 ML s-1 or 77 s ML-1) would clearly allow for only one tenth of an image per ML which can be extrapolated to almost half an image for the full 2DS-Kcoherent QD transition allowing 3 - 4 ML of growth

Thus far III-V imaging has involved growth then scanning using As to stabilize the surface for imaging [3 6-8] This has the clear disadvantage of a pseudo-post-growth anneal and cannot be report as-grown Thus the (counter-) effects of quenching are still unresolved Whilst growth at low temperatures (Ts = 350 degC) reduces annealing effects neither ultra low growth rates nor low temperatures accurately reflect most of the InAsGaAs growth conditions utilised in modern devices

Thus the areas of contention and investigation for InAs on GaAs are 1) growth and post-imaging at Ts = 400-520 degC 2) simultaneous growth and imaging with 100s interruptions 3) simultaneous growth and imaging at low growth rate and Ts and 4) modulation enhanced epitaxy

Fig 3 STM cell configurations a) binary InAs two (single sources) and

b) InxGa1-xAsGaAs (one single and one triple source)

For S-K transition observations under binary InAsGaAs the critical thickness of interest is between 14 and 18 ML for Ts = 350 to 520 degC respectively Clearly the inclusion of InxGa1-

xAsGaAs with x ~ 025 would delineate a greater critical thickness and hence ldquoslowrdquo the transition-wise experiment and allow more detailed observation

Two viable MBSTM configurations are shown in Fig 3 The single sources have a maximum crucible capacity of 075 cc for As and 06 cc for In where as the triple source only permits 028 cc crucibles Hence for In Ga and As deposition the single source would be utilised for As overpressure whereas two crucibles of the triple source contain Ga and a single crucible contains In Operation of the triple source is more complicated due to the required coalescence of three

Camera

STM Chamber

As source

In source

STM Chamber

Cam

era As source Triple source

a b

474 F Bastiman et al

separate fluxes and the triple-control shutter mechanism Though pragmatically this is the only available means to deposit ternary compounds 4 Tip Preparation and Maintenance Electro-chemically etch tungsten tips are routinely employed for STM investigations [9 10] The fabrication procedure is a multipart process consisting of etching (optional) post-etch ex situ processing in situ cleaning and maintenance

The etching process itself is a widely employed but often poorly understood process The concentration and type of solution etching voltage cut-off method and insertion depth and anode-cathode interaction all hold bearing on the final tip shape A lengthy discussion regarding optimisation is beyond the scope of this paper though with careful control of these parameters short sharp and symmetric tips with 1-5 nm radius of curvature can be generated at 80 success rate (Fig 4a)

The main problem with etching is that an oxide is always left on the surface as shown in the high resolution SEM image in Fig 4b FIB milling can both remove the oxide and be used to shape the apex of the tip (Fig 4c) [11 12] Though the time scale for a single tip generated by this step is extensive and ultra-sharpened tips are quickly damaged by forces inherent to STM operation Nevertheless these tips are essential for probing the shallow narrow surface trenches evident on GaAs(001)-2times4 surfaces

Fig 4 STM tip images a) post-etch light optical image and SEM images b) post-etch SEM

c) post-FIB-clean In situ cleaning typically involves either heating (long system baking or rapid e-beam heating) or ion bombardment to remove the oxide As a simplistic e-beam heating method of in situ heating is currently under development whereupon the sharpness of the tip depends solely on optimisation of the etching parameters

Once cleaned an STM tip has a finite lifetime even in the absence of tip crashes for operation in the presence of As4 causes build up on the tip apex Low deposition rates can reduce the frequency of cleaning Whilst the cleaning procedure itself involves scanning at relatively high

a b

c 1microm

1microm

01mm

Growth and in vivo STM of III-V Compound Semiconductors 475

current and voltages resulting in tip heating and field desorption [6] Without the ability for utilising this ad hoc cleaning method MBSTM would be a laborious task 5 Temperature Control Sample heating is performed by direct heating whereby a current is passed into the sample via a pair of contact bars (with one side electrically isolated from the plate) (Fig 5a) For lightly doped wafers a sample bias correction must be applied to compensate for the voltage drop across the sample

Temperatures have been monitored by placing a thermocouple in direct contact with the sample surface with the temperature verified by optical pyrometer (Fig 6) This technique is limited both by the quality of the thermocouple contact and the estimation of the GaAs emissivity which alters with temperature Temperatures nominally take an hour to stabilize and suffer from plusmn5 degC drift as observed by thermocouple measurement

Fig 5 Direct Heating plate a) exploded diagram and

b) RHEED compatible alternative sample mounting

Fig 6 Direct heating thermocouple response

Dashed line marks incipient glow power

Ta base plate

Contact Bars

Sample

Ceramic top-plate

Threaded Bars

a b

00 02 04 06 08 10 12 14 16100

200

300

400

500

600

100

200

300

400

500

600 ThermocouplePyrometer

Tem

pera

tue

(o C)

Current (A)

476 F Bastiman et al

Altering the direct heating plate to facilitate RHEED observations of the sample surface allows the reconstruction transition temperatures to be calibrated to known heating currents Namely c(4times4) to (2times4) at ~480 degC and (2times4) to (2times1) at ~580 degC for GaAs(001) allowing further verification of sample temperature However with the inability to utilise either thermocouples or RHEED in the MBSTM chamber there is currently no method to verify the temperature during growth nor the extent of thermal gradients across the sample This is an area of active research 6 Conclusion The operation of an MBSTM system capable of imaging during MBE growth has been described The ability for ad hoc tip decontamination allows cleaning to be carried out quickly and efficiently removing the necessity for laborious cleaning procedures during a growth run Temperature control has limited accuracy and reproducibility outside the precise reconstruction transition temperatures Whilst careful source operation is necessary to prevent damage to the STM unit initial results offer great promise in this exciting area of hybrid research The further development of MBSTM for investigation of III-V MBE growth is thus realisable Acknowledgements Thanks go to Y Peng F Stolze and R Frith for assistance with FIB tip fabrication temperature calibrations and general machine operation respectively References 1 Butz R and Wagner H 1990 J Elec Mat 19 1107 2 Voigtlander B and Zinner A 1993 Appl Phys Lett 63 3055 3 Tsukamoto S and Koguchi N 1999 J Crys Grow 202 118 4 Joyce B A 1985 Rep Prog Phys 48 1637 5 Kubby J A and Boland J J 1996 Surf Sci Rep 26 61 6 Tsukamoto S Bell G R and Arakawa Y 2006 Micro J 37 1498 7 Tsukamoto S Honma T Bell G R Ishii A and Arakawa Y 2006 Small 2 386 8 Tsukamoto S and Koguchi N 2000 J Crys Grow 209 258 9 Ravi T S Marcus R B Gmitter T Busta H H and Niccum J T 1990 Appl Phys Lett 57 1413 10 Oliva A I Romero A Pena J L Anguiano E and Aguilar M 1996 Rev Sci Ins 67 1917 11 Bryant P J Kim H S Zheng Y C and Yang R 1987 Rev Sci Ins 58 1115 12 Vasile M J Grigg D A Griffith J E Fitzgerald E A and Russell P E 1991 Rev Sci Ins 62

2167

Mapping Defects in Dielectrics with Dynamic Secondary Electron Contrast in the low Vacuum SEM Brad Thiel College of Nanoscale Science and Engineering University at Albany Albany NY USA Summary A model for the contrast arising from dynamic charging and discharging of a poorly conducting sample imaged in a low vacuum scanning electron microscope is presented The system is treated as a resistor-capacitor circuit with the flux of positive gaseous ions to the surface acting as a tuneable contribution to the time constant Contrast is shown to arise from local variations in the electron trap density associated with defects and impurities 1 Introduction In the early 1970rsquos Shaffner and van Veld showed that the charging behaviour of specimens imaged in a scanning electron microscope (SEM) can be modeled as a resistor-capacitor (RC) circuit [12] Every point in the imaged region is subject to a periodic impulse of charge when the beam sweeps past during an image frame cycle The residual implanted charge then decays via surface and bulk conduction to ground with an RC time constant which is determined by the local resistivity and permittivity Unfortunately such models are of limited use in high vacuum conditions as the time constants for most insulators are several orders of magnitude greater than the frame time causing charge to accumulate and ultimately degrade the image quality For conductors the time constants are infinitesimal and charging is not an issue

In low vacuum SEM positive gaseous ions mediate the electronic charging of insulating materials Because the ion flux to the surface acts as an additional pathway to ground for the excess electrons the operator effectively can tune the nominal RC time constant to make it comparable to the frame time In this case the specimen rapidly reaches a steady-state charge condition where the emission increases such that the additional charge implanted in each frame decays completely during the frame time Under those circumstances local variations in the capacitance andor resistivity (eg due to defects) result in different local values for the steady-state charge Since the secondary electron (SE) emission is linked to that value an SE contrast mechanism develops that reflects the local dielectric properties

We have constructed a model for the dynamic charging process which predicts contrast between two regions of a sample having different electronic properties as a function of instrument operating conditions including beam current scan rate magnification and ion generation rate By systematically varying such operating parameters it is possible to interrogate the nature of the defects giving rise to the contrast 2 Description of the Model The rate at which charge is deposited into a point on the sample by the rastering beam is given by the incident beam current Ib less the secondary and backscattered emission currents While the backscattered emission coefficient η is treated as a constant the secondary emission coefficient δ changes as a function of the charge state of the sample Accordingly the charge density input per frame σi is determined from the net current the frame rate F and the size of area being imaged A according to σi = Ib(1-η-δ)FA After the beam moves away from a given point the implanted charge density decays exponentially over time as described by σ(t) = σi(t)exp(-tτ) where time

478 Brad Thiel

constant τ is given by the product of the local permittivity and resistivity values ε and ρ [12] As electronic charge accumulates the surface potential shifts an amount according to the

stored charge and the capacitance of the sample The shift in surface potential alters the landing energy of the incident electrons which in turn determines δ according to the SE yield curve of the material A simple universal yield curve law δ = K(EL)-1725 is used to describe the SE emission as a function of the landing energy EL K is a material-dependent constant [3] Strictly this description does not apply for low vacuum imaging as the ion flux keeps the surface potential very near zero However we have demonstrated previously that increased emission due to charge implantation can still take place in the absence of a shift in surface potential The emission enhancement is attributed to the formation of sub-surface dipoles between the implanted charge and positive ions at the surface [4] The dipole field strength is a function of the local stored charge density and influences the energy deposition density of the incident electrons in a manner similar to changes in the surface potential

To determine the SE signal the imaged area is assumed to consist of 106 pixels resulting in a pixel dwell time td = F106 The SE signal current S is taken as the product of the beam current and the changing value of δ integrated over td The charging and discharging cycle is iterated ten times simulating ten imaging frames but always using the residual charge from the previous frame as the starting point Contrast C between two different points A and B (having different values for ε and ρ) is defined as C = (SB ndash SA)(SB + SA)

In our model the key parameter is the characteristic time constant τ Several factors influence τ analogous to there being a number of parallel pathways to ground These include bulk and surface conduction radiation induced conductivity and a term due to the presence of defects All of the foregoing contributions are common to high and low vacuum but in low vacuum there is an additional term coming from the positive ion flux to the surface As with RC circuits in parallel the individual components add in reciprocal leading to

ionsdefectsRICsurfacebulk ττττττ111111

++++=

The model predicts the stored charge and the SE emission as a function of imaging parameters

so contrast can be predicted by keeping those parameters constant and varying selected terms in the time constant equation In this fashion contrast from two regions with different dielectric properties can be predicted as a function of beam current frame time (scan rate) magnification and ion flux 3 Results and Discussion First to demonstrate the effect of the positive ions on contrast a hypothetical specimen was considered where two regions of the imaged area were assigned intrinsic SE emission coefficients of 04 and 05 Both regions had a resistivity of 1012 Ω-cm and a dielectric constant of 99 (these values are representative of Al2O3 a good insulator) For the imaging conditions a 1 nA beam current 100 mm frame width and a 1 second frame time was used In high vacuum the resistivity of the ion path was set to infinity making τ = 87 sec In low vacuum the ion resistivity path was set to 109 Ω-cm (still fairly resistive) making τ = 009 sec The model results are shown in Fig 1

In the high vacuum case contrast is observed in the initial frame due to the differences in the intrinsic SE emissivity However as the steady-state charging behaviour evolves the emissivity of both regions must approach unity and so contrast rapidly vanishes despite the increased emission That the emissivity approaches unity is reflected in the observation that the net charge increase in each successive frame approaches zero To accomplish this the two regions must have different amounts of charge stored at steady-state Conversely the low vacuum case very rapidly establishes steady-state conditions that give rise to a contrast of about 10 with less stored charge

Mapping Defects in Dielectrics with Dynamic SE Contrast in the low Vacuum SEM 479

Fig 1 Comparison of charging and contrast behaviour from a high intrinsic SE region (upper curve) and low intrinsic SE region (lower curve) in high (left) and low (right) vacuum conditions The contrast that is realized in each frame is indicated by a dot corresponding to the scale on the right-hand axis of each chart

Synthetically grown gibbsite (Al[OH]3) is well-known for exhibiting dynamic SE contrast in

low vacuum SEM with the contrast between impuritydefect-rich and impuritydefect-free regions changing dramatically as imaging conditions are varied [56] It is supposed that the sub-surface dipole field promotes hopping conductivity of excess electrons between trap sites Particles of gibbsite mounted in epoxy and polished were used to test the predictions of our model These specimens were imaged at 20 kV in an FEI Nova NanoSEM (field emission environmental SEM) using the Large View Detector In all cases the imaging gas was 133 Pa (1 torr) of water vapour Although ldquobest guessrdquo values were used for the material parameters the model nevertheless correctly predicts the trends in contrast as imaging parameters are changed A value of 20 was used for the dielectric constant while 1012 Ω-cm was used for the nominal resistivity giving a baseline value for τ = 17 sec One region was designated as defect-free while the other was given a resistivity of 5x1010 Ω-cm (τ = 08 seconds) to account for hopping conduction between trap sites in the presence of the dipole field The ion contribution to the baseline resistivity was 1011 Ω-cm giving the defect-free region an effective τ of 17 sec

0

005

01

015

02

025

01 1 10Frame time (sec)

Con

tras

t

Fig 2 (left) Images of gibbsite taken with frame rates of a) 028 b) 14 c) 56 and d) 29 sec per frame The field of view in each image is 150 microm (Ib = 068 nA) Fig 3 (right) Contrast as a function of frame time as predicted by the model

The most striking dynamic contrast effect exhibited by the gibbsite is the dependence on scan

rate (inverse of the frame rate) [5] An example of this contrast is shown in Fig 2 as the frame rate is varied from 028 to 29 seconds Optimal contrast is seen at 14 seconds midway between

a

c d

b

480 Brad Thiel

the two time constants chosen above for defect-free and defect-rich regions At shorter frame times less contrast develops because less charge is implanted per frame and the time is sufficient for charge decay Conversely at longer frame times more charge is implanted and the decay time is slower The model correctly predicts this behaviour as seen in Fig 3

The contrast from gibbsite also changes dramatically as a function of incident beam current as shown in Figs 4 and 5

000200400600801

01201401601802

001 01 1 10Beam Current (nA)

Cont

rast

Fig 4 (left) Images of gibbsite taken with beam currents of a) 0085 b) 034 c) 14 and d) 27 nanoamps The field of view in each image is 150 microm (frame rate = 14 sec per frame ) Fig 5 (right) Contrast as a function of beam current as predicted by the model

Furthermore the model predicts the correct trends for ion flux and magnification With

additional information on the nature of the defects in specific systems it may be possible to identify different kinds of defects and deduce their distributions by systematically varying operating conditions References 1 Van Veld R D and Shaffner T J 1971 Scanning Electron Microscopy ed Johari O M (ITT

Research Institute Chicago) p 17 2 Shaffner T J 1973 Scanning Electron Microscopy ed Johari O M (ITT Research Institute

Chicago) p 293 3 Joy D C and Joy C S 1998 Microsc Microanal 4 475 4 Toth M Phillips M R Craven J P Thiel B L and Donald A M 2002 J ApplPhys 91 4492 5 Griffin B J 2000 Scanning 22 2 6 The gibbsite specimen was provided courtesy of Dr Brendan Griffin

a b

c d

EBIC Characterization of Light Emitting Structures Containing InGaNGaN MQW E B Yakimov Institute of Microelectronics Technology RAS Chernogolovka 142432 Russia Summary Blue light emitting structures based on the InGaNGaN multiple quantum wells have been studied in the electron beam induced current (EBIC) mode It is shown that quantum wells noticeably decrease the excess carrier collection efficiency by enhancing the recombination inside the depletion region That allows one to monitor the active layer transparency for minority carriers and its lateral homogeneity by the EBIC investigations Two types of extended defects with the bright EBIC contrast locally increasing the barrier transparency are revealed 1 Introduction In spite of essential progress in the technology of multiple quantum well (MQW) InGaNGaN based light emitting diodes (LEDs) such problems as the high quantum efficiency in the structures with very high threading dislocation density the quantum efficiency decrease with increase of the injection current the dependence of tunnelling current and quantum efficiency on the defect structure ordering etc have not been totally solved yet To obtain additional information clarifying these problems the electron beam induced current (EBIC) method could be very promising This method allows the measurement of the excess carrier diffusion length in semiconductor structures to reveal electrically active extended defects and to estimate their recombination activity [1 2] Usually the spatial resolution of this method is not high enough to separate individual defects when their density exceeds 106-107cm-2 However as shown in [3 4] in GaN structures threading dislocations with a density exceeding 109cm-2 could be resolved in the EBIC mode and their recombination activity could be estimated Concerning diffusion length measurements it was shown in [5] that in the structures with the diffusion length in the submicron range the most reliable results could be obtained using the approach proposed in [6 7] which was based on the measurement of the collected current dependence on beam energy

In the present paper the EBIC investigations of blue light emitting structures based on InGaNGaN MQW have been carried out It is shown that measurements of the collected current dependence on beam energy in such structures allow one to estimate the diffusion length in the n-GaN layer the depth of p-n junction and the active layer transparency for minority carriers A bright EBIC contrast associated with extended defects is revealed This contrast is explained by the local increase of MQW layer transparency near threading extended defects 2 Experimental The investigated blue (450-470 nm) LED structures were similar to those studied in [8 9] and were grown by metal-organic vapour phase epitaxy on (0001) sapphire substrates They consisted of 3 microm thick n-GaN lower layer MQW InGaNGaN active region (as a rule consisting of 5 periods of 3 nm InGaN and 12 nm GaN) and a thin highly magnesium doped (Na ~ 2middot1020cm-3) p-GaN cap layer

For the EBIC and capacitance-voltage (C-V) measurements mesa structures with a diameter of 045 mm were prepared by Ar ion sputtering The EBIC measurements were carried out at zero bias in the normal geometry with e-beam perpendicular to the p-n junction plane In the GaN-

482 E B Yakimov

based structures the diffusion length is usually comparable with the depletion region width but smaller than the electron range As shown in [5] in this case the most reliable diffusion length values could be obtained by fitting the measured collection efficiency β dependence on primary electron energy Eb For this reason in the present study this approach was used for the characterization of

MQW LED structures As usual the collection efficiency was determined as η

βbb

ic

EIEI

= where Ic is

the collected current Ib is the beam current Ei is the average energy for electron-hole pair creation and η is the average beam energy absorption coefficient For GaN the iEη relation is close to

810-2 [5] Ic was obtained as int=infin

0dz)z(h)z(Ic ψ [2] where ψ(z) is the collection probability (the

probability for a minority carrier created at a depth z to be collected in the EBIC mode) and h(z) is

the depth-dose dependence calculated for GaN in [5] ])R

z(Aexp[R

)z(hBethBeth

21102073minusminus= where

the Bethe range RBeth(microm) = 00132middotEb(keV)175 and

sdotge

sdotlt=

Beth

Beth

RzRz

A110516110842

For GaN films

ψ(z) was obtained by a numerical solution of the drift-diffusion equation and for LED structures it was calculated as ψ(z) = ψ1(z-W)middotψDR where ψ1(z-W) is the probability for carriers to reach the depletion region W is the depth of the depletion region edge and ψDR is the probability to permeate through the depletion region By fitting the experimental β(Eb) dependence ψ1(z-W) was obtained by a numerical solution of the diffusion equation with ψ1(0) = 1 and ψDR was used as a fitting parameter describing the carrier recombination in the MQW layer

The C-V measurements were carried out at 1 MHz at room temperature These measurements were used for the estimations of quantum well location inside the depletion region and of the effective donor concentration in the active layer 3 Results and Discussion Typical measured (symbols) and simulated (solid lines) β(Eb) dependences for two different LED structures are presented in Fig 1 (1 and 2) For comparison similar dependences obtained on the GaN layer with Lh of 250 nm are also presented (3) As fitting parameters the values of average donor concentration Nd inside the depletion region p-n junction depth d and the minority carrier diffusion length in p- (Le) and n-regions (Lh) are used The acceptor concentration in p+-layer is assumed to be much higher than that of donors in n-layers that means that the most part of depletion region is located in the n-region The correctness of this assumption for the structures studied is confirmed by the C-V measurements

It should be noted that the rather large number of fitting parameters used decreases the precision of diffusion length estimations because in the most studied GaN and LED structures the values of the depletion region width and diffusion length are comparable Therefore both parameters affect the β(Eb) dependence Thus if the EBIC data only are used the experimental β(Eb) dependence for the GaN film presented in Fig 1 could be fitted with Lh varied in the range from 230 to 260 nm Nd being varied from 2 to 3sdot1016cm-3 respectively For LED structures the fitting procedure gives Lh

5 10 15 20 25 30 35 40001

01

1

3

2

1

β

Eb keV

Fig 1 Measured (symbols) and simulated (lines) β(Eb) dependences for LEDs with different p+-layer thickness (1 2) and for GaN film (3)

EBIC Characterization of Light Emitting Structures Containing InGaNGaN MQW 483

values varying in the range from 20 (Nd= 1017cm-3) to 70 nm (Nd= 5sdot1017cm-3) and from 90 (Nd= 5sdot1016cm-3) to 170 nm (Nd= 2sdot1017cm-3) for the structures 1 and 2 respectively The larger is the Lh value the smaller is the Nd effect on its estimation especially at large enough Nd values Therefore for the reliable Lh estimations by this method Nd should be obtained by complementary methods for example from the C-V measurements Fitting the experimental dependences with Nd values obtained from the C-V measurements gives the diffusion length Lh of 60 and 140 nm for the LED structures 1 and 2 shown in Fig 1 respectively The p-n junction depth d could be estimated with a precision of about 10 nm and it is equal to 100 and 240 nm for the structures 1 and 2 respectively In the p+-layer the effective Le value only could be obtained by fitting because at small Eb the collection efficiency depends on both Le and the surface recombination velocity A rather small p+-layer thickness does not allow us to separate their effects

Besides as seen in Fig 1 the collection efficiency measured in the LED structures is essentially lower than that in the GaN film This could be easily explained taking into account that in spite of rather small diffusion length ψDR in the GaN Schottky barriers is usually larger than 095 However in the MQW structures the excess carriers are captured into quantum wells and could be collected only if they overcome the barriers between the wells by thermal activation or by tunneling that leads to an essential ψDR decrease Thus for the LED structures 1 and 2 ψDR is equal to 04 and 055 respectively As shown in [8] by a comparison of β(Eb) dependences with the corresponding C-V curves the ψDR value is mainly determined by the position of quantum wells inside the depletion region and if they are located deep in the depletion region their effect on the minority carrier recombination inside the depletion region is negligible and ψDR approaches 1 In the structures in which at least a few quantum wells are located close to the depletion region edge or even outside the depletion region ψDR could be as low as 025 ie 75 of excess carriers recombine in quantum wells The dependence of ψDR on the LED defect structure could be also revealed although it is not so pronounced

For more careful investigations of extended defect behavior in the MQW structures the plan-view EBIC micrographs are analyzed In the LED structures with ψDR close to 1 the usual black dot contrast associated with threading dislocations similar to that observed in the GaN layers [3 4] is

observed However in the structures with ψDR lt 1 some defects with a high (20-50) bright EBIC contrast are revealed (Fig 2 left image) Density of these defects is of about 105cm-2 and a width of their image could exceed 1 microm Additionally in some structures a large density (108-109cm-2) of defects with a bright contrast of about 1-3 is observed (Fig 2 right image) The width of their image is as small as 100-200 nm when Eb= 35 keV is used The density of these defects and the dependence of their image width on Eb are similar to those of dark threading dislocation contrast in GaN [3 4] that allows the association of the defects with the weak bright contrast also with threading

Fig 2 EBIC images of two types of bright defects in the LED structures

484 E B Yakimov

dislocations The defects with the stronger bright contrast could be associated with bunches of dislocations or with micropipes

It is well known that extended defects could produce the bright contrast in the EBIC mode due to recombination center gettering [10] or due to an enhanced minority carrier transport near charged defects [11 12] Fitting the β(Eb) dependence in the region close to the large bright defects showed (Fig 3) that in this region only ψDR increases and other parameters are practically the same as those far from the defects That means that the corresponding defects affect mainly the active layer transparence for minority carriers ie the recombination inside the depletion region Thus the defects with the bright EBIC contrast in the LED structures increase the probability for minority carriers to pass the active layer containing MQW Such a probability increase could be determined by a local change of barrier

height andor thickness that leads to an increase of tunneling probability near the threading defects The bright EBIC contrast was found [9] to decrease logarithmically with an increase in beam current this could mean that the corresponding defects were charged and that their charge decreased with increasing beam current

Thus it is shown that the EBIC measurements allow one to monitor the excess carrier recombination efficiency inside the MQW structures The channels for enhanced minority carrier transport across the MQW structure associated with threading extended defects have been revealed Formation of such channels could be a reason for the tunneling current dependence in the III-nitride LEDs on their defect structure ordering [13] Acknowledgements The author would like to thank Dr NM Shmidt for helpful discussions and assistance in the sample preparation and PS Vergeles for help with the EBIC measurements References 1 Leamy H J 1982 J Appl Phys 53 R51 2 Yakimov E B 1992 Bul Russian Acad Sci 56 312 3 Shmidt N M Soltanovich O A Usikov A S Yakimov E B and Zavarin E E 2002 J Phys

Condens Matter 14 13285 4 Shmidt N M Sirotkin V V Usikov A S Yakimov E B and Zavarin E E 2003 Inst Phys Conf

Ser No 180 eds A G Cullis and P A Midgley p 597 5 Yakimov E B Borisov S S and Zaitsev S I 2007 Semiconductors 41 411 6 Wu C J and Wittry D B 1978 J Appl Phys 49 2827 7 Chi J Y and Gatos H C 1979 J Appl Phys 50 3433 8 Shmidt N M Vergeles P S and Yakimov E B 2007 Fiz Tekhn Poluprov 41 501 9 Belrsquonik S A Vergeles P S Shmidt N M and Yakimov E B 2007 to be published in J Surf

Investigation 1 10 Yakimov E B 2005 Bull Mater Sci 28 367 11 Alexander H Dietrich S Huumlhne M et al 1990 Phys Stat Sol (a) 117 417 12 Eremenko V G and Yakimov E B 2004 Eur Phys J Appl Phys 27 349 13 Greshnov A A Chernyakov A E et al 2007 Phys Stat Sol (c) 4 to be published

0 5 10 15 20 25 30 35 40001

01

1

2

1

β

Eb keV

Fig 3 Measured (symbols) and simulated (lines) β(Eb) dependences near the bright defect (1) and far from it (2)

EBIC Characterisation of Diffusion and Recombination of Minority Carriers in GaN-Based LEDs G Moldovan V K S Ong1 O Kurniawan1 P Kazemian P R Edwards2 and CJ Humphreys Department of Materials Science and Metallurgy University of Cambridge Pembroke Street Cambridge CB2 3QZ UK 1 School of Electrical and Electronic Engineering Nanyang Technological University Block S2

Nanyang Avenue 639798 Singapore 2 SUPA Department of Physics University of Strathclyde John Anderson Building 107

Rottenrow Glasgow G4 0NG UK Summary As light emitting diodes are minority charge carrier devices characterisation of diffusion and recombination of minority carriers in the various layers that compose such devices is essential One of the best methods that can provide information on diffusion and recombination of minority carriers in semiconductor devices with high spatial resolution is cross-sectional electron beam induced current (EBIC) characterisation It will be shown that dark defects can be observed in cross-sectional EBIC images and that correlation with cathodoluminescence maps them as threading dislocations A narrower depletion width and a longer minority carrier diffusion length are found at dislocation sites in p GaN 1 Introduction GaN-based light emitting diodes (LEDs) are set to play a central role in the development of solid state lighting large panel displays and full-colour indicators Present limitations in the efficiency and lifetime of these devices are given by their high density of threading dislocations and point defects Whilst great advances have been obtained in reducing this defect density more detailed investigations are needed to understand the relationship between point defects dislocations and diffusion of charge carriers Electron beam induced current (EBIC) characterisation can provide details of the diffusion of minority charge carriers with high spatial resolution supply direct evidence on recombination activity of extended defects and quantify the charge accumulated at dislocation cores Whilst EBIC has been applied extensively to Si-based devices [1] application to GaN-based devices has been impeded by difficulties in sample preparation and challenging demands on electron optics 2 Experimental Results A Thomas Swan MOCVD reactor was used to grow the LED structure on a 430microm thick c-plane sapphire substrate (Fig 1a) A 1microm thick layer of un-doped GaN was deposited first followed by a 19microm thick layer of Si-doped GaN with a carrier concentration of 2-3 1018cm-3 The multi-quantum well (MQW) structure was then deposited consisting of a succession of five 3 nm thick un-doped InGaN wells with an indium concentration of 16 interleaved with 18nm thick un-doped GaN barriers with a nominal carrier concentration of 1-2 1017cm-3 A final 173nm thick epilayer of Mg-doped GaN was deposited on top This structure was then annealed in situ at 800ordmC for 30min to activate the Mg-doped GaN producing a nominal carrier concentration of 1-2 1017cm-3 A density of threading dislocations of mid-108cm-2 is expected The wafer was processed into dies and packaged

now at Department of Materials University of Oxford Parks Road Oxford OX1 3PH UK

486 G Moldovan et al

commercially showing a luminous intensity of 18cd with a peak emission at 458nm and a forward voltage of 385V at 30mA forward bias

A die was mechanically polished from one side to a mirror finish using a succession of SiC and diamond films and then loaded into an IV4 Technoorg Ar+ ion miller A number of milling steps were used gradually reducing the accelerating voltage from 2kV to 200V The resulting surface was investigated with a Dimension atomic force microscope (AFM) and its current-voltage characteristics measured with a Keithley 2400 source-meter The die was then loaded into a XL30s SEM and imaged using a 1keV electron beam The current induced by the electron beam was mapped using the XL30s amplifier and calibrated with a Keithley 2400 source-meter The accelerating voltage was then raised to 4kV to record secondary electron (SE) images with improved signalnoise ratio The die was then loaded into a Cameca SX100 EPMA equipped with a Oriel MS125 spectrograph and an Andor Peltier-cooled CCD and cathodoluminescence (CL) images were obtained from the same area using an accelerating voltage of 7kV 3 Results and Discussion

AFM and electrical characterisation show a very flat cross-sectional surface of a relatively high equivalent resistance of 4 MΩmm This demonstrates that minimum damage has been induced at the cross-sectional surface and gives confidence that volume of material probed with the electron beam is representative of bulk GaN Monte-Carlo simulations of the interaction of a 6nm wide 1keV e-beam and GaN show that 95 of the energy is lost in a 22nm deep 22nm wide

Fig 1 a) diagram of the nominal structure of the device under investigation b) cross-sectional electron beam induced current image obtained at an accelerating voltage of 1kV

Fig 2 Cross-sectional a) secondary electron and b) 440-480nm integrated spectral cathodo-luminescence images obtained at accelerating voltages of 4kV and 7kV respectively

(a) (b)

(a) (b)

contact

p-GaN

MQW

n-GaN 100nm

Au bond

p-GaN

MQW

n-GaN

contact

p-GaN

MQW

n-GaN

NiAu

100nm 500nm

Diffusion and Recombination of Minority Carriers in GaN-based LEDs 487

interaction volume Given this reduced generation volume EBIC maps at 1kV were recorded first for the purpose of quantification (Fig 1b) A bright band with exponentially decaying edges is observed in this image corresponding to the depletion region in this device Areas of reduced induced current with a density of mid-108cm-2 can be observed indicating increased local recombination activity SE images from the same locations (Fig 2a) show grey n-GaN and MQW layers followed by brighter p-GaN darker NiAu contacting layer and bright Au wire bond Correlation is observed between the areas of increased recombination activity in EBIC maps and apparent extensions of p-GaN into the n-GaN It is considered that these apparent extensions indicate the presence of additional local electric fields Integrated 440-480nm CL spectral images taken from the same area reveal a very strong blue emission originating from the MQW region with varying intensity along the device (Fig 2b) Areas of reduced emission again with a density of mid-108cm-2 are observed and are attributed to the presence of dislocations The apparent extension of p-GaN identified in the SE image is correlated with an area of reduced emission in the CL image These observations correlate the areas of reduced EBIC signal with the presence of threading dislocations

Quantification of the variations in EBIC signal along the device is pursued using a one-dimensional approximation (Fig 3a) The maximum induced current holds information about the local recombination activity whilst the position of peak EBIC signal locates the p-n junction as for this case p-GaN and MQW have identical levels of doping The edges of the depletion region (Fig 3b) are

Fig 3 a) Variation in induced current across the junction showing the main points of interest b) junction position and edges of the depletion region obtained from (a)

Fig 4 Minority carrier diffusion lengths in a) p-GaN and b) MQW region obtained from Fig 1b

(a) (b)

(a) (b)

488 G Moldovan et al

found using a methodology based on the first derivative of the logarithmic EBIC profile [2] A dislocation contrast of 069 can be measured but the charge accumulated at the dislocation core cannot be obtained because the basic grey approximation does not apply for this case [3]

Significant roughening of the p-n junction is also observed along the sample suggesting a non-uniform distribution of Mg dopant atoms into the top barrier and perhaps non-uniform formation of nitrogen vacancies in p-GaN It appears that this diffusion process is not conditioned by the presence of extended defects The amount of diffusion measured here is in very good agreement with a SIMS study of Mg segregation and diffusion along the c-axis in GaN-based LEDs [4] Away from dislocation sites an average depletion width of 140nm is found compared with the expected value of 206nm This could indicate that the doping concentration in p GaN is slightly higher than the nominal value A much shorter average depletion width of about 80nm is obtained at the dislocation site perhaps correlated with the much increased local density of trapping centres This change occurs within a radius of ~175nm from the dislocation core

Minority carrier diffusion lengths were obtained from best linear fit in a semi-logarithmic EBIC plot [5] For the case of electrons in p GaN an average diffusion length of 23nm is found away from the dislocation site with a peak value of 37nm at the dislocation site (Fig 4a) This is understood in terms of gettering of point defects at the dislocation core producing a cylinder of material around the dislocation of reduced point defect This appears to alter the properties of charge carriers within a radius of ~150nm from the dislocation core Accurate determination of depletion edges allows for the first time a precise determination of the diffusion length of holes in the MQW region (Fig 4b) revealing an average value of 32nm with no clear relationship with dislocations An average diffusion length of 26nm is found for the case of holes in the n-GaN layer away from dislocation sites Insufficient signal was obtained to measure the diffusion length of holes in n-GaN at dislocation sites Only reduced surface recombination velocity coefficients were found indicating limited electrical activity at the surface 4 Conclusion It has been found that cross-sectional specimens with very good surface morphology and very reduced surface recombination can be obtained using low-angle low-voltage Ar+ ion milling This enables EBIC characterisation to be carried out with high spatial resolution allowing accurate measurement of the diffusion of dopants across the p-n junction and variations in depletion width along the sectioned device Areas of reduced induced current were found and correlation with secondary electron and cathodoluminescence images identifies them as threading dislocations A narrower depletion region was found at a dislocation site suggesting an increased density of occupied traps Longer diffusion lengths were found for electrons at a dislocation site in p-GaN suggesting gettering of point defects at the dislocation core Acknowledgements This work was supported under LINK programme GRS28150P01 We thank Thomas Swan Scientific Equipment Ltd for supplying the wafer and Forge Europa Ltd for processing and packaging the LEDs Automated analysis was developed using ImageJ image processing software References 1 Fell T S Wilshaw P R and De Coteau M D 1993 Phys Stat Solidi (a) 138 2 2 Ong V K S Hurniawan O Moldovan G and Humphreys C J 2006 J Appl Phys 100 114501 3 Kittler M and Seifert W 1996 Mater Sci Eng B 42 8 4 Koumlhler K Stephan T Perona A Weigert J Maier M Kunzer M and Wagner J 2005 J Appl

Phys 97 104914 5 Ong V K S Phang J C H and Chan D S H 1994 Solid-State Electron 37 1

A Parametric Study of a Diode-Resistor Contrast Model for SEM-REBIC of Electroceramics A G Wojcik and L E Wojcik1 Faculty of Engineering University College London Torrington Place London WC1E 7JE UK 1 Matelect Ltd 7 Park Place Newdigate Road Harefield Uxbridge UB9 6EJ UK Summary The observation of terrace contrast in REBIC studies of polycrystalline electroceramics such as ZnO has been reported previously and a theoretical model postulated for its formation This developed from a simple model containing purely resistive elements to one comprising both resistive and non-linear diodic ones Presented here are the results of theoretical and experimental work centred around this latter model A parametric study has been performed to examine the sensitivity of the predicted contrast to the relative magnitudes of the models resistor and diode elements By varying the values of these the overall nature of the contrast response was shown to alter significantly as well as the superimposed and finer local terrace contrast The model delivered lsquolinescansrsquo representing the contrast responses obtained The model also showed that the linescans were sensitive to the injected beam current level These findings suggested a route that could eventually allow the extraction of local graingrain boundary characteristics including breakdown behaviour from global REBIC contrast data 1 Introduction Remote contact electron beam induced current methods used during the scanning electron microscope characterisation (SEM-REBIC) of electrical ceramics have been employed in the past to produce both quantitative data and qualitative images of electrical activity [1] The REBIC technique first described by Matre and Laakso [2] and later named [3] is ideal for the characterisation of semi-insulating (rather than semi-conducting) materials REBIC methods require no bias and rely on measuring currents created by carrier drift to collection electrodes

REBIC has been successfully used on electrical ceramics such as ZnO The remote nature of the contacts invariably means that the parameters measured reflect global (ie integrated) rather than localized phenomenon although as previous work has highlighted [45] localized effects are sometimes observed particularly if a degree of contrast due to lsquotruersquo induced current is present (as opposed to that due to injected current) Furthermore once a greater understanding of the factors affecting observed contrast have been determined it should be possible through REBIC studies to characterize the quality of electrical ceramics such as ZnO and to do so on a localized microstructural level in addition to globally Here we present the results of further work aimed at enhancing the understanding of how variations in the properties of ZnO on a local level affect image contrast and also discuss the sensitivity of such contrast to experimental conditions 2 Theory and Discussion In semi-insulators such as ZnO the image contrast is dependent upon the resistive nature of the speci-men less any loss of beam current through electron backscattering and secondary emission Materials that lie in between certain overall resistivity limits will generate measurable contrast the nature of which will depend upon the relative resistances of the paths through the specimen taken by the injected current In early work by the authors REBIC measurements on ZnO varistor material [1] clearly showed contrast terraces the number and extent of which appeared to correlate well with the IV characteristics

490 A G Wojcik and L E Wojcik

and hence the quality of the varistor material In the field of view terraces sometimes appeared as multiple sets of linearly graded levels of grey (running from white to black) A one dimensional model to explain this contrast was postulated [4] using a string of resistive elements to represent hypothetical grain boundary and bulk resistances The shape of the resultant (modelled) linescans resembled those experimentally obtained Dramatic non linearities in contrast sometimes generating a single terrace (ie one black to white transition in the centre of the field of view) were also observed and prompted a modification of the resistive model [5] to include ldquodiodicrdquo components Such contrast was reliably associated with ldquogoodrdquo varistor material with a global non linearity in conduction

If no or minimal charge amplification occurs in a homogeneous material when an incident electron beam is absorbed REBIC contrast for a purely resistive case is simple to model The specimen would be expected to generate a smooth image contrast that runs linearly between bright (large measured current) and dark (small measured current) corresponding to when the beam is close to the input of the current amplifier and when it is close to the earthed contact on the specimen (Fig1 AampB respectively) If the specimen contains discontinuities in spatial resistivity (for example due to grain boundaries) or if charge traps or charge separation occurs then the REBIC contrast will reveal superimposed local variations upon the global linear response

Specimen Specimen

A) B)

I y B = I I y = 0

Fig 1 Schematic of conditions at the extremes of electron beam travel where Iy = REBIC current and IB = incident beam current

The corresponding charge collection and electronic analogues for a system made up of alternating

resistive elements (eg representing grain boundaries and bulk crystals) are reproduced below in Fig 2 In the model Iy represents the measured current Ix is the current in the connection directly flowing to earth IB is the injected or ldquobeamrdquo current and the overall specimen resistance is RT The voltage at the impingement point is VT and VS and VD are the supply potentials

V

A

V D

I X I Y

I B V T

S

R R 1 2

R 1 Beam at 1 1 2 3

mR 1 mR 2

Beam positions

Beam

Fig 2 Electrical analogues of Fig 1 for a hypothetical ceramic [4]

If RY and RX are the resistances from the point of impingement of the beam to the left and right

connector pads respectively and it is assumed that these values are built up from alternating resistive elements R1 and R2 then a series of equations (tabulated in [4]) were derived which could be expanded to yield the REBIC current with distance between the contact pads Linescan traces could thus be modelled By ascribing real values to the hypothetical elements and by performing measurements with real resistors this model was shown to be valid (Fig 3) The individual step gradients present in the simulated line-scans were a function of the resistance of the elements in the resistor chains and could be varied by varying the values of R1 and R2 This raised the possibility of determining grain boundary and bulk resistances in specimens by measurement of the gradients from REBIC line-scan traces

A Parametric Study of a Diode-Resistor Contrast Model for SEM-REBIC of Electroceramics 491

It was noted in the present parametric study however that both the gradients existing within one R1 and R2 pair alter even if only R1 (or R2) is varied thus making direct measurement from linescans complicated It is clear therefore that the gradients of individual elements cannot be directly related to their resistance without reference to the gradient of adjoining elements

The present study shows however that the resistance data required can be extracted from a linescan by first determining the ratio of the gradients within the terrace pair Also from a knowledge of the width of a terrace one should also be able to derive the actual resistivity of the aforementioned element if some basic assumptions are made Consider Fig 4a which represents the detail of a resistorterrace pair taken from a modelled linescan

Fig 4 a) Detail from a pair of adjacent terraces on a hypothetical linescan and b) detail for the more representative case where feature widths and resistivities are different

The shallow gradient pertains to the lower of the two resistances in a chain The ldquoline of uniform

resistancerdquo will always run from zero to IB The stepped response touches this line (and is ldquofixedrdquo to it) only at each alternate resistor node As the value R2 (or R1) changes point A (in essence a ldquofreerdquo node) will move towards the uniform line until both gradients lie along it Here R2 must equal R1 and the ratio of the gradients is unity The question remains however as to the exact locus of point A when the resistances are not the same If we set R1 = R22 then from Fig 4a the gradient ascribed to R1 = xL and that for R2 = yL where L = the ldquofeaturerdquo width The ratio of the gradients is then xy By comparison with the tabulated equations cited earlier and experimental data from resistor chains the ratio of the gradients was confirmed to be equivalent to that of the resistances

If the overall resistance of the chain is measured the absolute resistance values of the elements which generate the terrace contrast can be determined The algebra is straightforward and only requires that the total number of terraces be counted from the linescan and that the major assumption (that the system consists of only two uniform resistance elements) holds The resistance of the chain can be regarded as equivalent to the global specimen resistance (ie that between the contact pads however see below for a possible error) whilst the individual resistances represent those of the grains and grain boundaries

To deal with differences in feature width given that the terraces are likely to be much wider than the boundary area between them it is necessary for the model to consider resistivities rather than resistances Importantly if resistances of two adjacent elements are the same (irrespective of their resistivities or widths) then their linescan gradients must also be the same and these must lie along the ldquoline of uniform resistancerdquo given that their end nodes are fixed to this line Thus for the general case shown in Fig 4b if L2 = L12 then from the equation that defines resistivity

002040608

112

0 10 20 30Resistor position

Mea

sure

d cu

rren

t (u

A)

Fig 3 Trace obtained for case where R1 = 100 Ohms R2= 1 k Ohm amp IB = 100 microA

y

x

L L

R2 R1

a)

y

x

R2 R1

b) L1 L2

ρ1 ρ2 Line of uniform resistance

Node A

492 A G Wojcik and L E Wojcik

R1 = ρ1L1A and R2 = ρ2L2A but R1 = R2 hence ρ1L1 = ρ2L2 and thus ρ1 = ρ22 which is as expected given that ρ1 must be half the value of ρ2 if the same resistance is to result over twice the length Extending this to the more representative case where R1 ne R2 and L1 ne L2 (Fig 4b) then if we assume R1 = kR2 then by substitution in the above kR2 = ρ1L1kA

Fig 5 Hypothetical strip of resistive elements of finite area If a strip of resistors is considered (Fig 5) containing m resistors of value R1 and n resistors of R2 then it is a simple matter to show that the total strip resistance RT is given by RT = m(ρ1L1A) + n(ρ1L1Ak) Given that RT A L1 L2 and k can be obtained from direct measurement on a specimen (and the associated REBIC linescan) then ρ1 can be calculated and hence ρ2

In practice if we consider bulk material then the global resistance is that of a very much greater body of material ndash namely that which extends below and alongside the strip These adjacent strips will provide alternative current paths and the overall contrast is affected by the diffusion of the injected current into these An examination of this suggests that the assumption that the global specimen resistance is fully represented by a chain resistance is not correct Indeed it is more likely that the value of area A used in the previous analysis is better represented by the excitation volume of the incident electron beam and that the terrace contrast may be dependent upon the accelerating voltage employed

Mention is made here of experimental work performed on diode and dioderesistor chains which more closely approximated the conditions within ZnO [5] and which was also strongly suggestive of a parameter dependency (in this case incident beam current) A diode chain is more difficult to model given that the transfer function (IV characteristic) for a diode is highly non linear This has the effect of fundamentally altering the equations that govern the resistive chain model given that the currents in each arm of the model are controlled by the terminal voltage VT and this is in turn controlled by the values of the armrsquos resistances (which alter with VT) The solution to the constituent equations must therefore be iterative It is predicted that the linescan profile will contain at least two distinct regimes Initially when VT is large enough to force at least some of the diodes into conduction the response will be sharply delineated between black and white contrast then as the beam current rises (and all the diodes are forced into conduction) the response will be more like that of a chain of resistors (ie linear) although it is difficult to say whether an electron beam can provide sufficient current to achieve this Furthermore at very low injection currents one can speculate whether the value of VT will be so low as to prevent any diode in the chain from conducting and hence whether a third contrast regime occurs Such effects are best modelled first and then checked experimentally Work is on-going in this area References 1 Wojcik A G and Mason L E 2001 Inst Phys Conf Ser 169 579-582 2 Matare H F and Laakso C W 1969 J App Phys 40 476 3 Bubulac L O and Tennant W E 1988 Appl Phys Lett 52 1255 4 Wojcik A G and Wojcik L E 2003 Inst Phys Conf Ser 180 589-592 5 Wojcik A G and Wojcik L E 2005 Microscopy of Semiconducting Materials 107 519-522

R1R2

R1

L1 L2

Area A

injected current

ρ1 ρ2

Author Index Acciarri M 305 Aden P 203 Alexandre L 415 Alexandrou I 203 Alfonso C 415 Anderson T 37 Andreev A 13 Andrieu F 419 Arbiol J 273 Argyropoulos K 119 Armani N 453 Arvanitidis J 41 Asayama K 329 Ashfold M N R 127 Ashley T 153 Assayag G Ben 321 Auvray L 431 Bai J 21 33 Baranov A N 251 Barbeacute J C 419 Barber Z H 153 Barnard J S 3 85 Baroacute M D 309 Baron T 217 Barthel J 133 Bastiman F 471 Basu J 237 Beacutecheacute A 419 Becker J 321 Beleggia M 383 Bender H 375 387 411 Benedetti A 411 Bertin F 399 Beyer Y S 85 Biance A L 431 Bimberg D 255 Binetti S 305 Bleloch A 269 Bogumilowicz Y 149 Bohils F 309 Bonafos C 321 Bonanni A 77 Bongiorno C 291 Boninelli S 291 Borghs G 61 Borot G 349 Bourhis E 431 Bove P 53 Branford W R 153 Breil N 337

Brown P D 103 Bruchhaus L 431 Buckle L 153 Buckle P 153 Bullough T J 221 Cacho F 423 Cadby A 449 Calestani D 241 Califano M 269 Campbell S A 333 Campion R P 103 Canino A 291 Capizzi M 453 Carbone L 181 Cardoso F 309 Carlino E 165 173 177 181 Carter C B 237 285 333 Carter R 213 Castell O 309 Cavalcoli D 301 305 Cavallini A 301 305 Cerezo A 161 Chabli A 399 Chakk Y 403 Chalker P R 221 Chang M-N 467 Charaiuml A 415 Chee K W A 407 Chen G 277 Chen G Y 281 Cherns D 127 Cherns P D 25 Chegraveze C 221 225 Choi H W 81 Chou T-H 467 Chrastina D 301 305 Ciasca G 173 Claverie A 149 Clegg W J 73 Cleacutement L 349 423 Clifton P H 161 Clowes S K 153 Cohen L F 153 Connolly L G 449 Cooper D 391 399 441 Cox D C 277 281 Craven A J 313 317 325 Cullis A G 21 33 259 263 269 449 471 Czerwinski A 337 Danilov Yu A 123

494 Author Index

Daudin B 13 Dawi A M 449 Dawson P 3 De Seta M 173 De Gendt D 313 De Gendt S 325 Degroote S 61 Deguffroy N 251 Delaportas D 203 Delimitis A 41 65 Demidov E S 123 den Hertog M I 217 Denisov D V 115 Deppert K 229 Dhalluin F 217 di Forte Poisson M A 57 Di Stefano G 291 Dieker Ch 119 Dimakis E 41 Dimitrakis P 321 Dimitrakopulos G P 65 225 Dimoulas A 119 Divakar R 237 Dłużewski P 233 Dobos L 53 57 Docherty F T 325 Doherty R P 127 Dubois E 337 Dunin-Borkowski R E 379 391 441 445 Dutartre D 349 Edmonds K W 103 Edwards P R 485 Engelmann H J 345 Estradeacute S 273 Eustace D A 153 Eymery J 419 Fallica G 291 Fay M W 103 Fazzini P F 383 Felici M 453 Felisari L 181 Ferrari C 173 Ferret P 217 Ferro G 353 Flahaut E 213 Fompeyrine J 119 Fox A M 449 Foxon T 103 Franciosi A 453 Franzograve G 291 Gallagher B L 103 Galtrey M J 3 161

Gass M 221 269 Geelhaar L 221 225 Gentile P 217 Georgakilas A 41 65 Gierak J 431 Gilbertson A M 153 Giusca C 213 Goumlsele U 115 Graham D M 3 Green M 471 Grillo V 165 173 177 181 Grosjean C 415 Gruumltzmacher D 111 Guiller A 119 Han Y 103 Haq S 203 Harding J 213 Hartmann J-M 149 Hashikawa N 329 Haumlusler I 255 Hawkes P 431 Heard P 127 Hirayama T 395 Holland M 317 Hommel D 17 Hopkinson M 259 263 471 Houben L 133 Huumle F 149 Hui K N 81 Humphreys C J 3 25 61 161 407

463 485 Hutchison J L 213 Hyumltch M J 149 Iacona F 291 Ikonic Z 269 Iliopoulos E 65 Ioannou-Sougleridis V 321 Irrera A 291 Irsen S 259 Isella G 301 305 Janik E 233 Jede R 431 Jia C L 133 Jin C Y 263 Johansson J 229 Jurczak G 415 Kalio A 375 387 Kappers M J 3 25 29 69 161 463 Karakostas Th 41 65 225 Karczewski G 233 Karlsson L S 229 Kątcki J 337

495 Author Index

Katsikini M 41 Kawakami M 329 Kazemian P 485 Kehagias Th 65 225 Kelsall R W 269 Ketteniss N 69 Kioseoglou J 65 Kirkland A I 213 Kirmse H 233 255 Komninou Ph 41 65 225 Kret S 233 Kroumlger R 17 49 Kryliouk O 37 Kunert B 107 Kups T 353 Kurniawan O 485 Lafosse X 431 Lahregraveche H 53 Lai P T 81 Laister A 345 Lamoen D 189 195 Lancin M 157 305 Langer F 57 Langer R 53 Lari L 221 Larrieu G 337 Łaszcz A 337 Laugier F 399 Lazzarini L 241 453 Le Donne A 301 305 Lee K B 33 Lentzen M 133 Lesnikov V P 123 Leung C H 81 Lever L 269 Li T 77 Liang J-H 467 Lidzey D G 449 Lieten R R 61 Liew S L 259 Liliental-Weber Z 37 Lin G 213 Lindan P J D 213 Liu H Y 263 Liu Z 213 Locquet J-P 119 Longo P 317 Luna E 99 MacKenzie M 313 325 Madouri A 431 Malm J-O 229 Mangum J 37 Manna L 181

Mardinly J 361 Martelli F 453 Maringrtensson T 229 Matsuda T 395 Maximov G A 123 McAleese C 25 61 69 McComb D W 153 313 325 McFadzean S 313 325 McGilvery C M 313 325 McLaughlin K K 73 Mermoux M 419 Meyer R R 213 Midgley P A 379 391 441 449 Miritello M 291 Mogilatenko A 45 Moldovan G 485 Monroy E 13 Montoacuten H 309 Mori H 329 Mouti A 321 Muckle C 203 Muumlller E 111 Muumlller K 17 Murray R T 221 Mussler G 111 Navarro-Quezada A 77 Neacutemeth I 107 Neumann W 45 233 255 Ng W N 81 Nikolitchev D E 123 Normand P 321 Nouet G 41 Novikov S V 103 Nowak J Deneen 285 333 Oliver R A 3 29 69 161 463 Ong V K S 485 Ootomo S 395 Palazzari P 177 Paloura E C 41 Panayiotatos Y 119 Pantel R 349 423 Parbrook P J 21 33 Park H J 37 Paskova T 49 Patriarche G 431 Paul D J 269 Pavelescu E-M 99 Peacutecz B 53 57 Peiroacute F 273 Pessa M 99 Pezoldt J 353 Piana A 291 Pichaud B 157 301 305

496 Author Index

Pinakidou F 41 Pizzini S 301 305 Podolskii V V 123 Polimeni A 453 Potapov P 345 Pozzi G 383 Pretorius A 17 Priolo F 291 Qiu Y 263 Quast M 77 Ratajczak J 337 Razilov R 403 Regula G 157 Reiszligmann L 255 Richard O 375 387 Richter E 45 Riechert H 221 225 Rodenburg C 407 Roldaacuten M 309 Rosado M 309 Rosenauer A 17 49 169 189 195 Ross I M 269 Rossi M 301 305 Rossinyol E 309 Rouchon D 419 Rouviegravere J 13 Rouviere J L 199 217 419 423 Rubaldo L 349 Rubini S 453 Ruh E 111 Sadler T C 29 Sahonta S-L 41 65 225 Saikaly W 415 Salviati G 241 453 Samuelson L 229 Saacutenchez-Chardi A 309 Sanfilippo D 291 Sarigiannidou E 13 Sasaki H 395 Satpati B 251 Schaff W 37 Schamm S 321 Schattschneider P 345 Schmidt B 321 Schowalter M 169 189 195 Scott J 317 Seifert W 229 Seo J W 119 Sieber B 459 Silva S R 277 Silva S R P 213 281 341 Simbrunner C 77

Singh L J 153 Skolnick M S 259 Skorupa W 353 Sloan J 213 Smith David J 91 Sobolev N A 115 Song S H 333 Sotiropoulos A 119 Soueidan M 353 Sourty E 375 387 Sousa M 119 Spinella C 291 Staddon C R 103 Stoumlger-Pollach M 345 Stokes D J 161 Stolojan V 277 281 341 Stolz W 107 Strittmatter A 255 Suenaga K 213 Sumner J 463 Sun Y 127 Sun Y K 127 Svensson C P T 229 Tahraoui R D A 449 Takeda S 209 Tasco V 251 Ternon C 217 Texier M 157 301 305 Thayne I 317 Thibault J 415 Thiel B 477 Thust A 133 Tillmann K 133 Tison Y 281 Titantah J T 189 195 Tomasi A 301 305 Toacuteth L 57 53 Tournieacute E 251 Trampert A 99 251 Treutlein R 203 Truche R 399 441 Tsang W 341 Twitchett A C 391 Twitchett-Harrison A C 379 445 Ubaldi F 383 Uchiyama T 209 Uecker R 45 Ulysse C 431 Urban K 133 van der Laak N 3 Vdovin V I 115 Velickov B 45

497 Author Index

Ves S 41 Vickers M E 29 Vidoshinsky I 403 Vlandas A 213 Voelskow M 353 Volz K 107 Wacaser B A 229 Wallenberg L R 229 Walther T 185 247 259 263 269 Wang Q 21 33 Wang T 21 33 Wang X H 81 Watts P C P 281 Wegscheider M 77 Wen-Chang H 449 Werner P 115 Weyers M 45 Wojcik A G 489 Wojcik L E 489 Wojtowicz T 233 Xiu H 61 Yakimov E B 481 Yamaguchi T 17 Yamamoto K 395 Yang C-Y 467 Yeates S 203 Yoshida H 209 Zakharov N D 115 Zha M 241 Zhang J 269 Zhang Y 61 Zubkov S Yu 123

Page 3: Microscopy of Semiconducting Materials 2007
Page 4: Microscopy of Semiconducting Materials 2007
Page 5: Microscopy of Semiconducting Materials 2007
Page 6: Microscopy of Semiconducting Materials 2007
Page 7: Microscopy of Semiconducting Materials 2007
Page 8: Microscopy of Semiconducting Materials 2007
Page 9: Microscopy of Semiconducting Materials 2007
Page 10: Microscopy of Semiconducting Materials 2007
Page 11: Microscopy of Semiconducting Materials 2007
Page 12: Microscopy of Semiconducting Materials 2007
Page 13: Microscopy of Semiconducting Materials 2007
Page 14: Microscopy of Semiconducting Materials 2007
Page 15: Microscopy of Semiconducting Materials 2007
Page 16: Microscopy of Semiconducting Materials 2007
Page 17: Microscopy of Semiconducting Materials 2007
Page 18: Microscopy of Semiconducting Materials 2007
Page 19: Microscopy of Semiconducting Materials 2007
Page 20: Microscopy of Semiconducting Materials 2007
Page 21: Microscopy of Semiconducting Materials 2007
Page 22: Microscopy of Semiconducting Materials 2007
Page 23: Microscopy of Semiconducting Materials 2007
Page 24: Microscopy of Semiconducting Materials 2007
Page 25: Microscopy of Semiconducting Materials 2007
Page 26: Microscopy of Semiconducting Materials 2007
Page 27: Microscopy of Semiconducting Materials 2007
Page 28: Microscopy of Semiconducting Materials 2007
Page 29: Microscopy of Semiconducting Materials 2007
Page 30: Microscopy of Semiconducting Materials 2007
Page 31: Microscopy of Semiconducting Materials 2007
Page 32: Microscopy of Semiconducting Materials 2007
Page 33: Microscopy of Semiconducting Materials 2007
Page 34: Microscopy of Semiconducting Materials 2007
Page 35: Microscopy of Semiconducting Materials 2007
Page 36: Microscopy of Semiconducting Materials 2007
Page 37: Microscopy of Semiconducting Materials 2007
Page 38: Microscopy of Semiconducting Materials 2007
Page 39: Microscopy of Semiconducting Materials 2007
Page 40: Microscopy of Semiconducting Materials 2007
Page 41: Microscopy of Semiconducting Materials 2007
Page 42: Microscopy of Semiconducting Materials 2007
Page 43: Microscopy of Semiconducting Materials 2007
Page 44: Microscopy of Semiconducting Materials 2007
Page 45: Microscopy of Semiconducting Materials 2007
Page 46: Microscopy of Semiconducting Materials 2007
Page 47: Microscopy of Semiconducting Materials 2007
Page 48: Microscopy of Semiconducting Materials 2007
Page 49: Microscopy of Semiconducting Materials 2007
Page 50: Microscopy of Semiconducting Materials 2007
Page 51: Microscopy of Semiconducting Materials 2007
Page 52: Microscopy of Semiconducting Materials 2007
Page 53: Microscopy of Semiconducting Materials 2007
Page 54: Microscopy of Semiconducting Materials 2007
Page 55: Microscopy of Semiconducting Materials 2007
Page 56: Microscopy of Semiconducting Materials 2007
Page 57: Microscopy of Semiconducting Materials 2007
Page 58: Microscopy of Semiconducting Materials 2007
Page 59: Microscopy of Semiconducting Materials 2007
Page 60: Microscopy of Semiconducting Materials 2007
Page 61: Microscopy of Semiconducting Materials 2007
Page 62: Microscopy of Semiconducting Materials 2007
Page 63: Microscopy of Semiconducting Materials 2007
Page 64: Microscopy of Semiconducting Materials 2007
Page 65: Microscopy of Semiconducting Materials 2007
Page 66: Microscopy of Semiconducting Materials 2007
Page 67: Microscopy of Semiconducting Materials 2007
Page 68: Microscopy of Semiconducting Materials 2007
Page 69: Microscopy of Semiconducting Materials 2007
Page 70: Microscopy of Semiconducting Materials 2007
Page 71: Microscopy of Semiconducting Materials 2007
Page 72: Microscopy of Semiconducting Materials 2007
Page 73: Microscopy of Semiconducting Materials 2007
Page 74: Microscopy of Semiconducting Materials 2007
Page 75: Microscopy of Semiconducting Materials 2007
Page 76: Microscopy of Semiconducting Materials 2007
Page 77: Microscopy of Semiconducting Materials 2007
Page 78: Microscopy of Semiconducting Materials 2007
Page 79: Microscopy of Semiconducting Materials 2007
Page 80: Microscopy of Semiconducting Materials 2007
Page 81: Microscopy of Semiconducting Materials 2007
Page 82: Microscopy of Semiconducting Materials 2007
Page 83: Microscopy of Semiconducting Materials 2007
Page 84: Microscopy of Semiconducting Materials 2007
Page 85: Microscopy of Semiconducting Materials 2007
Page 86: Microscopy of Semiconducting Materials 2007
Page 87: Microscopy of Semiconducting Materials 2007
Page 88: Microscopy of Semiconducting Materials 2007
Page 89: Microscopy of Semiconducting Materials 2007
Page 90: Microscopy of Semiconducting Materials 2007
Page 91: Microscopy of Semiconducting Materials 2007
Page 92: Microscopy of Semiconducting Materials 2007
Page 93: Microscopy of Semiconducting Materials 2007
Page 94: Microscopy of Semiconducting Materials 2007
Page 95: Microscopy of Semiconducting Materials 2007
Page 96: Microscopy of Semiconducting Materials 2007
Page 97: Microscopy of Semiconducting Materials 2007
Page 98: Microscopy of Semiconducting Materials 2007
Page 99: Microscopy of Semiconducting Materials 2007
Page 100: Microscopy of Semiconducting Materials 2007
Page 101: Microscopy of Semiconducting Materials 2007
Page 102: Microscopy of Semiconducting Materials 2007
Page 103: Microscopy of Semiconducting Materials 2007
Page 104: Microscopy of Semiconducting Materials 2007
Page 105: Microscopy of Semiconducting Materials 2007
Page 106: Microscopy of Semiconducting Materials 2007
Page 107: Microscopy of Semiconducting Materials 2007
Page 108: Microscopy of Semiconducting Materials 2007
Page 109: Microscopy of Semiconducting Materials 2007
Page 110: Microscopy of Semiconducting Materials 2007
Page 111: Microscopy of Semiconducting Materials 2007
Page 112: Microscopy of Semiconducting Materials 2007
Page 113: Microscopy of Semiconducting Materials 2007
Page 114: Microscopy of Semiconducting Materials 2007
Page 115: Microscopy of Semiconducting Materials 2007
Page 116: Microscopy of Semiconducting Materials 2007
Page 117: Microscopy of Semiconducting Materials 2007
Page 118: Microscopy of Semiconducting Materials 2007
Page 119: Microscopy of Semiconducting Materials 2007
Page 120: Microscopy of Semiconducting Materials 2007
Page 121: Microscopy of Semiconducting Materials 2007
Page 122: Microscopy of Semiconducting Materials 2007
Page 123: Microscopy of Semiconducting Materials 2007
Page 124: Microscopy of Semiconducting Materials 2007
Page 125: Microscopy of Semiconducting Materials 2007
Page 126: Microscopy of Semiconducting Materials 2007
Page 127: Microscopy of Semiconducting Materials 2007
Page 128: Microscopy of Semiconducting Materials 2007
Page 129: Microscopy of Semiconducting Materials 2007
Page 130: Microscopy of Semiconducting Materials 2007
Page 131: Microscopy of Semiconducting Materials 2007
Page 132: Microscopy of Semiconducting Materials 2007
Page 133: Microscopy of Semiconducting Materials 2007
Page 134: Microscopy of Semiconducting Materials 2007
Page 135: Microscopy of Semiconducting Materials 2007
Page 136: Microscopy of Semiconducting Materials 2007
Page 137: Microscopy of Semiconducting Materials 2007
Page 138: Microscopy of Semiconducting Materials 2007
Page 139: Microscopy of Semiconducting Materials 2007
Page 140: Microscopy of Semiconducting Materials 2007
Page 141: Microscopy of Semiconducting Materials 2007
Page 142: Microscopy of Semiconducting Materials 2007
Page 143: Microscopy of Semiconducting Materials 2007
Page 144: Microscopy of Semiconducting Materials 2007
Page 145: Microscopy of Semiconducting Materials 2007
Page 146: Microscopy of Semiconducting Materials 2007
Page 147: Microscopy of Semiconducting Materials 2007
Page 148: Microscopy of Semiconducting Materials 2007
Page 149: Microscopy of Semiconducting Materials 2007
Page 150: Microscopy of Semiconducting Materials 2007
Page 151: Microscopy of Semiconducting Materials 2007
Page 152: Microscopy of Semiconducting Materials 2007
Page 153: Microscopy of Semiconducting Materials 2007
Page 154: Microscopy of Semiconducting Materials 2007
Page 155: Microscopy of Semiconducting Materials 2007
Page 156: Microscopy of Semiconducting Materials 2007
Page 157: Microscopy of Semiconducting Materials 2007
Page 158: Microscopy of Semiconducting Materials 2007
Page 159: Microscopy of Semiconducting Materials 2007
Page 160: Microscopy of Semiconducting Materials 2007
Page 161: Microscopy of Semiconducting Materials 2007
Page 162: Microscopy of Semiconducting Materials 2007
Page 163: Microscopy of Semiconducting Materials 2007
Page 164: Microscopy of Semiconducting Materials 2007
Page 165: Microscopy of Semiconducting Materials 2007
Page 166: Microscopy of Semiconducting Materials 2007
Page 167: Microscopy of Semiconducting Materials 2007
Page 168: Microscopy of Semiconducting Materials 2007
Page 169: Microscopy of Semiconducting Materials 2007
Page 170: Microscopy of Semiconducting Materials 2007
Page 171: Microscopy of Semiconducting Materials 2007
Page 172: Microscopy of Semiconducting Materials 2007
Page 173: Microscopy of Semiconducting Materials 2007
Page 174: Microscopy of Semiconducting Materials 2007
Page 175: Microscopy of Semiconducting Materials 2007
Page 176: Microscopy of Semiconducting Materials 2007
Page 177: Microscopy of Semiconducting Materials 2007
Page 178: Microscopy of Semiconducting Materials 2007
Page 179: Microscopy of Semiconducting Materials 2007
Page 180: Microscopy of Semiconducting Materials 2007
Page 181: Microscopy of Semiconducting Materials 2007
Page 182: Microscopy of Semiconducting Materials 2007
Page 183: Microscopy of Semiconducting Materials 2007
Page 184: Microscopy of Semiconducting Materials 2007
Page 185: Microscopy of Semiconducting Materials 2007
Page 186: Microscopy of Semiconducting Materials 2007
Page 187: Microscopy of Semiconducting Materials 2007
Page 188: Microscopy of Semiconducting Materials 2007
Page 189: Microscopy of Semiconducting Materials 2007
Page 190: Microscopy of Semiconducting Materials 2007
Page 191: Microscopy of Semiconducting Materials 2007
Page 192: Microscopy of Semiconducting Materials 2007
Page 193: Microscopy of Semiconducting Materials 2007
Page 194: Microscopy of Semiconducting Materials 2007
Page 195: Microscopy of Semiconducting Materials 2007
Page 196: Microscopy of Semiconducting Materials 2007
Page 197: Microscopy of Semiconducting Materials 2007
Page 198: Microscopy of Semiconducting Materials 2007
Page 199: Microscopy of Semiconducting Materials 2007
Page 200: Microscopy of Semiconducting Materials 2007
Page 201: Microscopy of Semiconducting Materials 2007
Page 202: Microscopy of Semiconducting Materials 2007
Page 203: Microscopy of Semiconducting Materials 2007
Page 204: Microscopy of Semiconducting Materials 2007
Page 205: Microscopy of Semiconducting Materials 2007
Page 206: Microscopy of Semiconducting Materials 2007
Page 207: Microscopy of Semiconducting Materials 2007
Page 208: Microscopy of Semiconducting Materials 2007
Page 209: Microscopy of Semiconducting Materials 2007
Page 210: Microscopy of Semiconducting Materials 2007
Page 211: Microscopy of Semiconducting Materials 2007
Page 212: Microscopy of Semiconducting Materials 2007
Page 213: Microscopy of Semiconducting Materials 2007
Page 214: Microscopy of Semiconducting Materials 2007
Page 215: Microscopy of Semiconducting Materials 2007
Page 216: Microscopy of Semiconducting Materials 2007
Page 217: Microscopy of Semiconducting Materials 2007
Page 218: Microscopy of Semiconducting Materials 2007
Page 219: Microscopy of Semiconducting Materials 2007
Page 220: Microscopy of Semiconducting Materials 2007
Page 221: Microscopy of Semiconducting Materials 2007
Page 222: Microscopy of Semiconducting Materials 2007
Page 223: Microscopy of Semiconducting Materials 2007
Page 224: Microscopy of Semiconducting Materials 2007
Page 225: Microscopy of Semiconducting Materials 2007
Page 226: Microscopy of Semiconducting Materials 2007
Page 227: Microscopy of Semiconducting Materials 2007
Page 228: Microscopy of Semiconducting Materials 2007
Page 229: Microscopy of Semiconducting Materials 2007
Page 230: Microscopy of Semiconducting Materials 2007
Page 231: Microscopy of Semiconducting Materials 2007
Page 232: Microscopy of Semiconducting Materials 2007
Page 233: Microscopy of Semiconducting Materials 2007
Page 234: Microscopy of Semiconducting Materials 2007
Page 235: Microscopy of Semiconducting Materials 2007
Page 236: Microscopy of Semiconducting Materials 2007
Page 237: Microscopy of Semiconducting Materials 2007
Page 238: Microscopy of Semiconducting Materials 2007
Page 239: Microscopy of Semiconducting Materials 2007
Page 240: Microscopy of Semiconducting Materials 2007
Page 241: Microscopy of Semiconducting Materials 2007
Page 242: Microscopy of Semiconducting Materials 2007
Page 243: Microscopy of Semiconducting Materials 2007
Page 244: Microscopy of Semiconducting Materials 2007
Page 245: Microscopy of Semiconducting Materials 2007
Page 246: Microscopy of Semiconducting Materials 2007
Page 247: Microscopy of Semiconducting Materials 2007
Page 248: Microscopy of Semiconducting Materials 2007
Page 249: Microscopy of Semiconducting Materials 2007
Page 250: Microscopy of Semiconducting Materials 2007
Page 251: Microscopy of Semiconducting Materials 2007
Page 252: Microscopy of Semiconducting Materials 2007
Page 253: Microscopy of Semiconducting Materials 2007
Page 254: Microscopy of Semiconducting Materials 2007
Page 255: Microscopy of Semiconducting Materials 2007
Page 256: Microscopy of Semiconducting Materials 2007
Page 257: Microscopy of Semiconducting Materials 2007
Page 258: Microscopy of Semiconducting Materials 2007
Page 259: Microscopy of Semiconducting Materials 2007
Page 260: Microscopy of Semiconducting Materials 2007
Page 261: Microscopy of Semiconducting Materials 2007
Page 262: Microscopy of Semiconducting Materials 2007
Page 263: Microscopy of Semiconducting Materials 2007
Page 264: Microscopy of Semiconducting Materials 2007
Page 265: Microscopy of Semiconducting Materials 2007
Page 266: Microscopy of Semiconducting Materials 2007
Page 267: Microscopy of Semiconducting Materials 2007
Page 268: Microscopy of Semiconducting Materials 2007
Page 269: Microscopy of Semiconducting Materials 2007
Page 270: Microscopy of Semiconducting Materials 2007
Page 271: Microscopy of Semiconducting Materials 2007
Page 272: Microscopy of Semiconducting Materials 2007
Page 273: Microscopy of Semiconducting Materials 2007
Page 274: Microscopy of Semiconducting Materials 2007
Page 275: Microscopy of Semiconducting Materials 2007
Page 276: Microscopy of Semiconducting Materials 2007
Page 277: Microscopy of Semiconducting Materials 2007
Page 278: Microscopy of Semiconducting Materials 2007
Page 279: Microscopy of Semiconducting Materials 2007
Page 280: Microscopy of Semiconducting Materials 2007
Page 281: Microscopy of Semiconducting Materials 2007
Page 282: Microscopy of Semiconducting Materials 2007
Page 283: Microscopy of Semiconducting Materials 2007
Page 284: Microscopy of Semiconducting Materials 2007
Page 285: Microscopy of Semiconducting Materials 2007
Page 286: Microscopy of Semiconducting Materials 2007
Page 287: Microscopy of Semiconducting Materials 2007
Page 288: Microscopy of Semiconducting Materials 2007
Page 289: Microscopy of Semiconducting Materials 2007
Page 290: Microscopy of Semiconducting Materials 2007
Page 291: Microscopy of Semiconducting Materials 2007
Page 292: Microscopy of Semiconducting Materials 2007
Page 293: Microscopy of Semiconducting Materials 2007
Page 294: Microscopy of Semiconducting Materials 2007
Page 295: Microscopy of Semiconducting Materials 2007
Page 296: Microscopy of Semiconducting Materials 2007
Page 297: Microscopy of Semiconducting Materials 2007
Page 298: Microscopy of Semiconducting Materials 2007
Page 299: Microscopy of Semiconducting Materials 2007
Page 300: Microscopy of Semiconducting Materials 2007
Page 301: Microscopy of Semiconducting Materials 2007
Page 302: Microscopy of Semiconducting Materials 2007
Page 303: Microscopy of Semiconducting Materials 2007
Page 304: Microscopy of Semiconducting Materials 2007
Page 305: Microscopy of Semiconducting Materials 2007
Page 306: Microscopy of Semiconducting Materials 2007
Page 307: Microscopy of Semiconducting Materials 2007
Page 308: Microscopy of Semiconducting Materials 2007
Page 309: Microscopy of Semiconducting Materials 2007
Page 310: Microscopy of Semiconducting Materials 2007
Page 311: Microscopy of Semiconducting Materials 2007
Page 312: Microscopy of Semiconducting Materials 2007
Page 313: Microscopy of Semiconducting Materials 2007
Page 314: Microscopy of Semiconducting Materials 2007
Page 315: Microscopy of Semiconducting Materials 2007
Page 316: Microscopy of Semiconducting Materials 2007
Page 317: Microscopy of Semiconducting Materials 2007
Page 318: Microscopy of Semiconducting Materials 2007
Page 319: Microscopy of Semiconducting Materials 2007
Page 320: Microscopy of Semiconducting Materials 2007
Page 321: Microscopy of Semiconducting Materials 2007
Page 322: Microscopy of Semiconducting Materials 2007
Page 323: Microscopy of Semiconducting Materials 2007
Page 324: Microscopy of Semiconducting Materials 2007
Page 325: Microscopy of Semiconducting Materials 2007
Page 326: Microscopy of Semiconducting Materials 2007
Page 327: Microscopy of Semiconducting Materials 2007
Page 328: Microscopy of Semiconducting Materials 2007
Page 329: Microscopy of Semiconducting Materials 2007
Page 330: Microscopy of Semiconducting Materials 2007
Page 331: Microscopy of Semiconducting Materials 2007
Page 332: Microscopy of Semiconducting Materials 2007
Page 333: Microscopy of Semiconducting Materials 2007
Page 334: Microscopy of Semiconducting Materials 2007
Page 335: Microscopy of Semiconducting Materials 2007
Page 336: Microscopy of Semiconducting Materials 2007
Page 337: Microscopy of Semiconducting Materials 2007
Page 338: Microscopy of Semiconducting Materials 2007
Page 339: Microscopy of Semiconducting Materials 2007
Page 340: Microscopy of Semiconducting Materials 2007
Page 341: Microscopy of Semiconducting Materials 2007
Page 342: Microscopy of Semiconducting Materials 2007
Page 343: Microscopy of Semiconducting Materials 2007
Page 344: Microscopy of Semiconducting Materials 2007
Page 345: Microscopy of Semiconducting Materials 2007
Page 346: Microscopy of Semiconducting Materials 2007
Page 347: Microscopy of Semiconducting Materials 2007
Page 348: Microscopy of Semiconducting Materials 2007
Page 349: Microscopy of Semiconducting Materials 2007
Page 350: Microscopy of Semiconducting Materials 2007
Page 351: Microscopy of Semiconducting Materials 2007
Page 352: Microscopy of Semiconducting Materials 2007
Page 353: Microscopy of Semiconducting Materials 2007
Page 354: Microscopy of Semiconducting Materials 2007
Page 355: Microscopy of Semiconducting Materials 2007
Page 356: Microscopy of Semiconducting Materials 2007
Page 357: Microscopy of Semiconducting Materials 2007
Page 358: Microscopy of Semiconducting Materials 2007
Page 359: Microscopy of Semiconducting Materials 2007
Page 360: Microscopy of Semiconducting Materials 2007
Page 361: Microscopy of Semiconducting Materials 2007
Page 362: Microscopy of Semiconducting Materials 2007
Page 363: Microscopy of Semiconducting Materials 2007
Page 364: Microscopy of Semiconducting Materials 2007
Page 365: Microscopy of Semiconducting Materials 2007
Page 366: Microscopy of Semiconducting Materials 2007
Page 367: Microscopy of Semiconducting Materials 2007
Page 368: Microscopy of Semiconducting Materials 2007
Page 369: Microscopy of Semiconducting Materials 2007
Page 370: Microscopy of Semiconducting Materials 2007
Page 371: Microscopy of Semiconducting Materials 2007
Page 372: Microscopy of Semiconducting Materials 2007
Page 373: Microscopy of Semiconducting Materials 2007
Page 374: Microscopy of Semiconducting Materials 2007
Page 375: Microscopy of Semiconducting Materials 2007
Page 376: Microscopy of Semiconducting Materials 2007
Page 377: Microscopy of Semiconducting Materials 2007
Page 378: Microscopy of Semiconducting Materials 2007
Page 379: Microscopy of Semiconducting Materials 2007
Page 380: Microscopy of Semiconducting Materials 2007
Page 381: Microscopy of Semiconducting Materials 2007
Page 382: Microscopy of Semiconducting Materials 2007
Page 383: Microscopy of Semiconducting Materials 2007
Page 384: Microscopy of Semiconducting Materials 2007
Page 385: Microscopy of Semiconducting Materials 2007
Page 386: Microscopy of Semiconducting Materials 2007
Page 387: Microscopy of Semiconducting Materials 2007
Page 388: Microscopy of Semiconducting Materials 2007
Page 389: Microscopy of Semiconducting Materials 2007
Page 390: Microscopy of Semiconducting Materials 2007
Page 391: Microscopy of Semiconducting Materials 2007
Page 392: Microscopy of Semiconducting Materials 2007
Page 393: Microscopy of Semiconducting Materials 2007
Page 394: Microscopy of Semiconducting Materials 2007
Page 395: Microscopy of Semiconducting Materials 2007
Page 396: Microscopy of Semiconducting Materials 2007
Page 397: Microscopy of Semiconducting Materials 2007
Page 398: Microscopy of Semiconducting Materials 2007
Page 399: Microscopy of Semiconducting Materials 2007
Page 400: Microscopy of Semiconducting Materials 2007
Page 401: Microscopy of Semiconducting Materials 2007
Page 402: Microscopy of Semiconducting Materials 2007
Page 403: Microscopy of Semiconducting Materials 2007
Page 404: Microscopy of Semiconducting Materials 2007
Page 405: Microscopy of Semiconducting Materials 2007
Page 406: Microscopy of Semiconducting Materials 2007
Page 407: Microscopy of Semiconducting Materials 2007
Page 408: Microscopy of Semiconducting Materials 2007
Page 409: Microscopy of Semiconducting Materials 2007
Page 410: Microscopy of Semiconducting Materials 2007
Page 411: Microscopy of Semiconducting Materials 2007
Page 412: Microscopy of Semiconducting Materials 2007
Page 413: Microscopy of Semiconducting Materials 2007
Page 414: Microscopy of Semiconducting Materials 2007
Page 415: Microscopy of Semiconducting Materials 2007
Page 416: Microscopy of Semiconducting Materials 2007
Page 417: Microscopy of Semiconducting Materials 2007
Page 418: Microscopy of Semiconducting Materials 2007
Page 419: Microscopy of Semiconducting Materials 2007
Page 420: Microscopy of Semiconducting Materials 2007
Page 421: Microscopy of Semiconducting Materials 2007
Page 422: Microscopy of Semiconducting Materials 2007
Page 423: Microscopy of Semiconducting Materials 2007
Page 424: Microscopy of Semiconducting Materials 2007
Page 425: Microscopy of Semiconducting Materials 2007
Page 426: Microscopy of Semiconducting Materials 2007
Page 427: Microscopy of Semiconducting Materials 2007
Page 428: Microscopy of Semiconducting Materials 2007
Page 429: Microscopy of Semiconducting Materials 2007
Page 430: Microscopy of Semiconducting Materials 2007
Page 431: Microscopy of Semiconducting Materials 2007
Page 432: Microscopy of Semiconducting Materials 2007
Page 433: Microscopy of Semiconducting Materials 2007
Page 434: Microscopy of Semiconducting Materials 2007
Page 435: Microscopy of Semiconducting Materials 2007
Page 436: Microscopy of Semiconducting Materials 2007
Page 437: Microscopy of Semiconducting Materials 2007
Page 438: Microscopy of Semiconducting Materials 2007
Page 439: Microscopy of Semiconducting Materials 2007
Page 440: Microscopy of Semiconducting Materials 2007
Page 441: Microscopy of Semiconducting Materials 2007
Page 442: Microscopy of Semiconducting Materials 2007
Page 443: Microscopy of Semiconducting Materials 2007
Page 444: Microscopy of Semiconducting Materials 2007
Page 445: Microscopy of Semiconducting Materials 2007
Page 446: Microscopy of Semiconducting Materials 2007
Page 447: Microscopy of Semiconducting Materials 2007
Page 448: Microscopy of Semiconducting Materials 2007
Page 449: Microscopy of Semiconducting Materials 2007
Page 450: Microscopy of Semiconducting Materials 2007
Page 451: Microscopy of Semiconducting Materials 2007
Page 452: Microscopy of Semiconducting Materials 2007
Page 453: Microscopy of Semiconducting Materials 2007
Page 454: Microscopy of Semiconducting Materials 2007
Page 455: Microscopy of Semiconducting Materials 2007
Page 456: Microscopy of Semiconducting Materials 2007
Page 457: Microscopy of Semiconducting Materials 2007
Page 458: Microscopy of Semiconducting Materials 2007
Page 459: Microscopy of Semiconducting Materials 2007
Page 460: Microscopy of Semiconducting Materials 2007
Page 461: Microscopy of Semiconducting Materials 2007
Page 462: Microscopy of Semiconducting Materials 2007
Page 463: Microscopy of Semiconducting Materials 2007
Page 464: Microscopy of Semiconducting Materials 2007
Page 465: Microscopy of Semiconducting Materials 2007
Page 466: Microscopy of Semiconducting Materials 2007
Page 467: Microscopy of Semiconducting Materials 2007
Page 468: Microscopy of Semiconducting Materials 2007
Page 469: Microscopy of Semiconducting Materials 2007
Page 470: Microscopy of Semiconducting Materials 2007
Page 471: Microscopy of Semiconducting Materials 2007
Page 472: Microscopy of Semiconducting Materials 2007
Page 473: Microscopy of Semiconducting Materials 2007
Page 474: Microscopy of Semiconducting Materials 2007
Page 475: Microscopy of Semiconducting Materials 2007
Page 476: Microscopy of Semiconducting Materials 2007
Page 477: Microscopy of Semiconducting Materials 2007
Page 478: Microscopy of Semiconducting Materials 2007
Page 479: Microscopy of Semiconducting Materials 2007
Page 480: Microscopy of Semiconducting Materials 2007
Page 481: Microscopy of Semiconducting Materials 2007
Page 482: Microscopy of Semiconducting Materials 2007
Page 483: Microscopy of Semiconducting Materials 2007
Page 484: Microscopy of Semiconducting Materials 2007
Page 485: Microscopy of Semiconducting Materials 2007
Page 486: Microscopy of Semiconducting Materials 2007
Page 487: Microscopy of Semiconducting Materials 2007
Page 488: Microscopy of Semiconducting Materials 2007
Page 489: Microscopy of Semiconducting Materials 2007
Page 490: Microscopy of Semiconducting Materials 2007
Page 491: Microscopy of Semiconducting Materials 2007
Page 492: Microscopy of Semiconducting Materials 2007
Page 493: Microscopy of Semiconducting Materials 2007
Page 494: Microscopy of Semiconducting Materials 2007
Page 495: Microscopy of Semiconducting Materials 2007
Page 496: Microscopy of Semiconducting Materials 2007