photolithography

44
ECE614: Device Modelling and Circuit Simulation Simulation Unit 2 Photolithography By Dr. Ghanshyam Singh Sharda University

Upload: dr-ghanshyam-singh

Post on 24-Jan-2015

1.530 views

Category:

Technology


2 download

DESCRIPTION

 

TRANSCRIPT

Page 1: Photolithography

ECE614: Device

Modelling and Circuit

SimulationSimulation

Unit 2 Photolithography

By Dr. Ghanshyam Singh

Sharda University

Page 2: Photolithography

Outline

• Photolithography

– An introduction

– Photoresist (PR/resist)

• PR application• PR application

• Positive and Negative PR

– Soft and hard baking

– Alignment and Resist Exposure

– PR Development

Page 3: Photolithography

Introduction

• Photolithography literally meaning light-stone-

writing in Greek, is the process by which patterns

on a semiconductor material can be defined using

light.

• Photolithography is an optical means for

transferring patterns onto a substrate. transferring patterns onto a substrate.

• Patterns are first transferred to an imagable

photoresist layer.

• Photoresist is a liquid that can be applied onto a

substrate, exposed with a desired pattern, and

developed into a selectively placed layer for

subsequent processing.

• Photolithography is a binary pattern transfer: there

is no gray-scale, color, nor depth to the image.

Page 4: Photolithography

UV Exposure

Developed

PR Removed

Soluble

(UV)Insoluble

Page 5: Photolithography

Basic of Photolithography

• Microfabrication processes:

• Additive (Deposition, Metallisation,

Oxidisation)

• Subtractive (Etching)

• Modifying (Doping, Annealing)• Modifying (Doping, Annealing)

• Two Primary Techniques for Additive and

subtractive processes:

– Etch-back

– Lift-off

Page 6: Photolithography

Etch-Back

Q:What is the thickness of the substrate?

Page 7: Photolithography

Lift-off

Making metal

contacts

Page 8: Photolithography

Photolithography

processes• Surface Preparation

• Coating

• Soft baking

• Alignment

• Exposure

• Resist Development• Resist Development

• Hard Baking

• Processing Using the Photoresist as a

Masking Film

– (Etching/Film Developing)

• Stripping

• Cleaning

Page 9: Photolithography

Wafer Cleaning

• RCA clean: use for new silicon wafers out

of the box

– 1. SC1 @70 ºC for 15 min

– 2. DI water rinse for 5 min

– 3. SC2 @70 ºC for 15 min

– 4. DI water rinse for 5 min

– 5. Spin and rinse dry

• Standard degrease

– 5 min soak in Acetone > Methanol > DI water

with Ultrasonic > spin dry + N2 blow off

• Others:

– Refer to the Cleaning section

Page 10: Photolithography

Wafer Priming

• To promote adhesion (PR)

• Method:

– 15 min in 80-90ºC in convention

ovenoven

Page 11: Photolithography

Photoresist

• It is a must for Photolithography• Photoresist is an organic polymer which

becomes soluble/insoluble when exposed to ultraviolet light. It contains a light-sensitive substance whose properties allow image transfer onto a PCB board. Using photoresist transfer onto a PCB board. Using photoresist prevents etching or plating of the area it covers (this is also known as resist).

• Goals

– is to deposit a uniform, adherent,

defect-free and etch resistance

photoresist (PR) film on a wafer.

Page 12: Photolithography

What is a Resist?• There are 4 basic ingredients in PR

– Polymers

– Designed to react with UV / laser sources / X-ray /e-

Beam

– Large and heavy molecules

– Photosensitive

– Solvents:

– As a form of liquid and allows application to wafer – As a form of liquid and allows application to wafer

surface by spinning

– Sensitisers

– to control the reaction (broaden or narrow)

– Additives

– to control light rays in the resist film(-PR), inhibit

dissolution of the non-exposed parts(+PR)

Page 13: Photolithography

Positive and Negative

Resist

• Positive PR

• After exposure to the proper light

energy, the polymers are converted

into a more soluble state.

• Advantages: • Advantages:

» The unexposed region do not swell

much

» Higher resolution than -PR

» Response to 300-400 nm spectral

range

» More etch resistance, thermal

stability

» Reliable

» 1-3 micron thick (Typical after

baking 1 µm)

Page 14: Photolithography

Positive and Negative

PR

• Negative PR

• The first photoresist to be used

• After exposure to proper light

energy, the polymers are converted

into a less soluble stateinto a less soluble state

• Limited resolution

• Health/Environment hazard

• Cheap

Page 15: Photolithography

Performance factors for selection of

+PR and -PR

• Resolution capability– The smallest opening or line that can be

produced in a photoresist patterned layer

– Positive PR > Negative PR

– Polymer components are smaller

• Adhesion capability• Adhesion capability– A PR must have good adhesion property.

Lack of adhesion normally results in

distorted images.

– Positive PR < Negative PR

– Can use adhesion promoter (wafer priming)

Page 16: Photolithography

Performance factors for selection of

+PR and -PR

• Pin-holes– Pin-holes are microscopically small voids

in the resist bulk layer which can allow the

etchants to seep through the resist layer and

etch small holes in the wafer surface.

– Use thicker PR coating. +PR has higher

aspect ratio.

– Positive PR > Negative PR– Positive PR > Negative PR

• Exposure speed– An important selection factor for a PR is

the response time to an energy radiation.

– Faster means more productivity

– +PR: 20-60s, while -PR 3-4 times faster

– Positive PR < Negative PR

Aspect ratio is the ratio between the image opening to the resist thickness

Page 17: Photolithography

Performance factors for selection of

+PR and -PR

• Exposure sensitivity– An energy required to initiate the

polymerisation (for -PR) or

photosolubilisation ( for +PR)

– Ensure all parts of resist are fully exposed

– Depend on wavelength/energy

• Step coverage• Step coverage– An ability of the PR to cover the side-edge

of the surface steps produced by previous

lithography process with enough thickness

for the PR to still act as an etch barrier

– the ratio of the thickness of a film over a

step edge to the thickness in a flat area.

Most metalization processes result in a

thinner metal layer over a step than in a flat

area.

– Positive PR > Negative PR

Page 18: Photolithography

Performance factors for selection of

+PR and -PR

• Thermal flow– This refers to the fluidity of the PR during

heating process

– Must be able to maintain shape and

structure during baking

• Contrast• Contrast– The ability of a PR to distinguish between

the light and dark portion of a mask.

– The higher the contrast, the sharper the

edge profiles of developed lines

– D0 = incident dose, D100 = completion dose

(completely dissolved, +PR)0

100log

1

D

D=γ

Page 19: Photolithography

Contrast

Fraction of

resist remainedA B C

A: low exposure

where almost all

-PR is removed1

Depend on resist thickness, soft bake, hard bake, radiation,

development, water, surface reflectivity and etc.

D10

0

D0

Energy dose

(mJ/cm2)

1

0

0

1

0

B: Transition

C: High

exposure where

almost all -PR

remains

Page 20: Photolithography

Performance factors for selection of

+PR and -PR

• Etching resistance– The ability of a resist to withstand etching

process.

Page 21: Photolithography

Storage and Control of

PR• Light and heat

– Any light or heat can activate the

transformation

– Store in brown bottles

– UV-filtered room

– Temperature control– Temperature control

• Viscosity control– resist container must be kept capped to

prevent the evaporation of resist

solvent, which will result in high

viscosity

• Cleanliness– As clean as possible, filter

Page 22: Photolithography

Spin coating

• A resist is applied to the surface using a spin-

coating machine. This device holds the wafer of

semiconductor, using a vacuum, and spins it at

high-speed (3000-6000 rpm) for a period of 15-30

seconds. A small quantity resist is dispensed in the

centre of the wafer. The rotation causes the resist to

be spread across the surface of the wafer withbe spread across the surface of the wafer with

excess being thrown spun off. Close to the centre of

the wafer, the variation in the thickness of resist is

around 30 nm. Preparation of the resist is concluded

by a soft baking, where the wafer is a gently heated

in a convection oven and then a hotplate to

evaporate the resist solvent and to partially solidify

the resist.

Vacuum chuck Soft baking

Page 23: Photolithography

Coating….

Page 24: Photolithography

PR spin-coating thickness

• Resist thickness is set by

• viscosity

• rotational speed

• Resist thickness is given by t=kp2/w1/2,

wherewhere

• k=spinner constant (80-100)

• p=resist solids content in percent

• w=spinner rotational speed in

rpm/1000

– Typically 1-2 µm for Si processes

– Have to be measured

Problem? Edge

Bead

Page 25: Photolithography

Soft Baking• Why?

– After spin coating and air-drying, the polymer

film has two important characteristics:

– It contains about 1-3% residual solvent

» Affect exposure and development

– The film may have built-in stresses

» loss of adhesion and erratic etching» loss of adhesion and erratic etching

• To ensure reproducible processing by removal of

excess solvent from the resist

• Improve adhesion by reducing stress

• The thickness of the resist is usually decreased by

25%

Page 26: Photolithography

Baking methods

Page 27: Photolithography

Baking methods

Convention Oven: takes 30 min, good

temperature control (Tc), 400 wafer/hr,

Queuing (Q) (Solvent trapping)

Moving Belt: 5-7 min, Average Tc, 90

wafer/hr, No Qwafer/hr, No Q

Vacuum Oven: 30 min, Poor Tc, 200

wafer/hr, Queuing

Hot Plate: 45 s, Good Tc, (No Solvent

trapping)

Microwave and IR lamps are also

available for commercial use.

Page 28: Photolithography

Hot plate baking

Fast, suitable for automation, need extremely smooth surface

Get rid of Water and improve adhesion

Page 29: Photolithography

Overview of

Align/Expose/develop steps

Page 30: Photolithography

Methods of

Photolithography

Cont

act

Proximit

y

Projecti

onLight

source

Lens

syste

mMask

PR

WaferGap

Contact for

expose

Separate for

align

Less wear on

mask, but

poorer

resolutionNeed excellent

imaging optics

Page 31: Photolithography
Page 32: Photolithography

Alignment and Exposure

– For simple contact, proximity and projection

systems, the mask is the same size and scale as

the printed wafer pattern. i.e. 1:1

– Projection systems give the ability to change

the reproduction ratio. Going to 10:1 reduction

allows larger size patterns on the mask, which allows larger size patterns on the mask, which

is more robust to mask defects.

– Mask size is a problem for large wafers

– Most wafers contain an array of the same

pattern, so only one cell of the array is needed

on the mask. This system is call Direct Step on

Wafer(DSW). These machines are also called

Steppers

– The mask must be perfect!

Page 33: Photolithography

More Advanced

Lithography

Method Feature

Size (µµµµm)

UV Photolithography 1

Laser Direct Write Wafer 1-2

Electron Beam 0.25-0.1

Ion Beam 0.05-0.1

X-Ray Lithography <0.1

Smallest feature size for lithographic

techniques.

Will be discussed later……….

Page 34: Photolithography

Photomasks

• Master patterns which are transferred to

wafers

• Types:

• Photographic emulsion, Fe2O3, Cr on glass

• Cr on quartz glass (Expensive for deep • Cr on quartz glass (Expensive for deep

Uvlitho)

• Dimensions:

• 4”x4”x0.060” for 3 inch wafers

• 5”x5”x0.060” for 4 inch wafers

• Polarity:

• Light field= mostly clear, drawn feature=opaque

• Dark field=mostly opaque, drawn feature=clear

Page 35: Photolithography

Alignment (contact type)

vacuum

mask

lev

el

lev

el

wafer

Levelling

lens

Alignin

g

lev

el

UV

Contacted+

Exposure

Page 36: Photolithography

Resist Development

• After exposure, the pattern is developed by the

chemical dissolution of the unpolymerised regions,

leaving the polymerised region intact on the wafer.

• Important parameters:

– Developer strength– Developer strength

– pH

– Temperature

– Humidity

• Problems: Resist Swelling and Distortion

• Positive developer : KOH+H2O; Negative

developer: Organic Solvents

Page 37: Photolithography

Developing Methods

• Immersion Development

– Simple

– Immerse in a tank of developer solution for a specific

time, then rinsing and cleaning

– Reuse several times

• Spray Development• Spray Development

– Preferred

– less chemical

– Improve image definition (spray pressure)

– Cleaner (Always fresh)

– resist coating, spin dryer, and spray

developer can be integrated to one system.

developi

ng

rinsing drying

Page 38: Photolithography

Developers

• Negative developer• xylene;

• Rinser: n-butyl

• Positive developer:• NaOH, KOH, TMAH• NaOH, KOH, TMAH

• Rinser: DI water

• Problems– Incomplete development (leave a thin

resist layer)

– Over development (too much edge

removed)

Page 39: Photolithography

Development Inspection

• The first quality check after the

photolithography process is performed

after developing and baking.

• Checking:• Pattern

Start • Pattern

• Misaligned pattern

• Surface problems

(contamination/holes/lines..)

• Distorted pattern

Start

again!

After

developing

mask

Short,

contact

Page 40: Photolithography

Hard Bake

• Used to stabilise and harden the

developed photoresist.

• Hard Bake removes any

remaining traces of the coating remaining traces of the coating

solvent or developer

• Introduces some stress into the

photoresist

• Some shrinkage

• Longer or hotter hard bake

makes resist removal much

more difficult

Page 41: Photolithography

Hard Bake

• Hard Bake is needed for acid

etching. E.g. GaAs in H2SO4-H2O2-

H2O

• Hard Bake is not needed for • Hard Bake is not needed for

metal liftoff patterning (Metal

Contact)

• Some Flows..

100ºC 110ºC 120ºC 140ºC

Page 42: Photolithography

Photoresist Removal

• Want to remove the photoresist and any of

its residues

• Positive PR:

• Acetone,

• solvents• solvents

• Negative PR:

• CH3COC2H5(MEK),

• CH4COC4H9 (MIBK)

Page 43: Photolithography

Etching

• Is to remove unwanted regions,

where are not protected by resist

• Two main Etching Methods

• Wet Chemical Etching• Wet Chemical Etching

– Difficult to control

– Cheapest

• Dry Etching

– Ion Beam

– RIE

– Plasma

More accurate, but

expensive

Page 44: Photolithography

Final Inspection

• Checking for

• Dimensions

• Misaligned pattern

• Distorted shape

• Surface problems

» Contamination

» holes

» scratches

• Electrical properties: resistivity

etc.