pipe-islped2004-slides

Upload: suda-krishnarjunarao

Post on 07-Apr-2018

219 views

Category:

Documents


0 download

TRANSCRIPT

  • 8/6/2019 pipe-islped2004-slides

    1/27

    Power-Optimal Pipelining inDeep Submicron Technology

    Seongmoo Heo and Krste AsanoviComputer Architecture Group, MIT CSAIL

    ISLPED 20048/10/2004

  • 8/6/2019 pipe-islped2004-slides

    2/27

    Traditional Pipelining

    Goal: Maximum performance

    Clk

    Clk-Q SetupPropagation Delay

    Clk

    Clk

    Vdd

  • 8/6/2019 pipe-islped2004-slides

    3/27

    Pipelining as a Low-Power Tool

    Clk

    Clk-Q SetupPropagation Delay

    Time Slack

    Goal: Low-Power, Fixed Throughput

    Time Slack

    Vdd

    Clk

    Clk

  • 8/6/2019 pipe-islped2004-slides

    4/27

    Pipelining as a Low-Power Tool

    Clk

    Clk-Q SetupPropagation Delay

    Time Slack

    Goal: Low-Power, Fixed Throughput

    Time Slack

    Vdd

    Clk

    Clk

    Traded for Power(supply voltage scaling)

  • 8/6/2019 pipe-islped2004-slides

    5/27

    Pipelining as a Low-Power Tool

    Delay

    Power

    Pipelining

    Time slack

    Flip-flopPowerOverhead

    * Clock frequency fixed

  • 8/6/2019 pipe-islped2004-slides

    6/27

    Pipelining as a Low-Power Tool

    Delay

    Power

    Supplyvoltagescaling

    Power Saving

    * Clock frequency fixed

  • 8/6/2019 pipe-islped2004-slides

    7/27

    Power-Optimal Pipelining Power reduction from pipelining limited by power

    overhead of increased number of flip-flops Power-Optimal Pipelining

  • 8/6/2019 pipe-islped2004-slides

    8/27

    Power-Optimal Pipelining

    Delay

    Power

    Too shallow pipelining

    Power reduction from pipelining limited by poweroverhead of increased number of flip-flops Power-Optimal Pipelining

  • 8/6/2019 pipe-islped2004-slides

    9/27

    Power-Optimal Pipelining

    Delay

    Power

    Too deep pipelining

    Too shallow pipelining

    Power reduction from pipelining limited by poweroverhead of increased number of flip-flops Power-Optimal Pipelining

  • 8/6/2019 pipe-islped2004-slides

    10/27

    Power-Optimal Pipelining

    Delay

    Power

    OptimalPower Saving

    Too deep pipelining

    Too shallow pipelining

    Optimalpipelining

    Power reduction from pipelining limited by poweroverhead of increased number of flip-flops Power-Optimal Pipelining

  • 8/6/2019 pipe-islped2004-slides

    11/27

    Contribution Pipelining is an old idea. Research focus has been on performance impact of

    pipelining. Idea of using pipelining [Chandrakasan 92] to lower

    power has not been fully explored in deep submicron

    technology.

    Analysis and circuit-level simulation of Power-OptimalPipelining for different regimes of Vth, activity factor,

    clock gating

  • 8/6/2019 pipe-islped2004-slides

    12/27

    1. Impact of pipelining on power component

    2. Impact of pipelining on total power (with/withoutclock-gating)

    Bottom-to-Top Approach

    Total

    Power(clock-gated)

    Power

    Timeactive activeinactive

    SwitchingPower

    Component

    LeakagePower

    Component

    IdlePower

    Component

  • 8/6/2019 pipe-islped2004-slides

    13/27

    1. Impact of pipelining on power component

    2. Impact of pipelining on total power (with/withoutclock-gating)

    Bottom-to-Top Approach

    Total

    Power(not clock-gated)

    SwitchingPower

    Component

    LeakagePower

    Component

    IdlePower

    Component

    Power

    Timeactive inactive active

    *Idle power =power consumedwhen circuit is idleand not clock-gated

  • 8/6/2019 pipe-islped2004-slides

    14/27

    Target digital system: Fixed throughput,Highly parallel computation, Logic-dominant

    Test bencho BPTM (Berkeley Predictive Technology Model)

    70nm process:

    o LVT(0.17/-0.2), MVT(0.19/-0.22), HVT(0.21/-0.24)o Hspice simulation at 100C, Clock = 2 GHz

    Methodology

    Baseline

    N FO4 inverters (N= 2 ~ 24)

    One Pipeline Stage

    TG flip-flops TG flip-flops

  • 8/6/2019 pipe-islped2004-slides

    15/27

    Pipelining and Switching Power:Analytical Trend

    O(N2)

    O(1/N)

    Number of FO4 per stage, N

    Switching Power

    OptimalSaving

    Optimal FO4

    Quadratic reductionof logic switching power

    Flip-flop overhead

    Vdd2 N2

  • 8/6/2019 pipe-islped2004-slides

    16/27

    O(1/N)

    Leakage Power

    O(N ) (1

  • 8/6/2019 pipe-islped2004-slides

    17/27

    Pipelining and Idle Power:Analytical Trend

    Clock-gating is not always possibleo Increased control complexityo insufficient setup time of clock enable signal

    Leakage Power + Flip-flop Switching Powero Between leakage power scaling and flip-flop

    switching power scaling depending on leakage level

  • 8/6/2019 pipe-islped2004-slides

    18/27

    Pipelining and Idle Power:Analytical Trend

    O(1/N)

    Number of FO4 per stage, N

    e Power O(N ) (1

  • 8/6/2019 pipe-islped2004-slides

    19/27

    Simulation Results:Power Components

    PowerComponents

    SwitchingPower

    LeakagePower

    Idle Power

    Right hand

    side curve

    O(N2) O(N )

    (1

  • 8/6/2019 pipe-islped2004-slides

    20/27

    Optimal Power Saving

    Optimal FO4 = 6

    ClockGating

    NoClockGating

    Optimal FO4 = 6~8

    activity factor activity factor

    relative powerrelative power

    *2 GHz*Flip-flop delaynot included inoptimal FO4

  • 8/6/2019 pipe-islped2004-slides

    21/27

    IdlePower

    SwitchingPower

    Switching

    Power

    LeakagePower

    activity factor activity factor

    relative powerrelative power

    Optimal Power Saving

    Optimal FO4 = 6 Optimal FO4 = 6~8

    ClockGating

    NoClockGating

  • 8/6/2019 pipe-islped2004-slides

    22/27

    activity factor activity factor

    relative powerrelative power

    Optimal Power Saving

    Optimal FO4 = 6 Optimal FO4 = 6~8

    LVT

    ClockGating

    NoClockGating

  • 8/6/2019 pipe-islped2004-slides

    23/27

    Discussion

    LVT can be fast and power-efficiento enables lower Vdd

    Flip-flop delay more important than flip-floppower for power-optimal pipelining

  • 8/6/2019 pipe-islped2004-slides

    24/27

    Limitation of This Work

    Effect onoptimal logicdepth

    Effect onoptimal powersaving

    Super-linear growth of flip-flops

    Additional memory

    Reduced glitches

    Parasitic wire capacitance

  • 8/6/2019 pipe-islped2004-slides

    25/27

    Conclusion

    Pipelining is an effective low-power toolwhen used to support voltage scaling indigital system implementing highly parallelcomputation.

    Optimal Logic Depth: 6-8 FO4o ~ 8-10 FO4 including flip-flop delay

    Optimal Power Saving: 55 80%o It depends on Vth, AF, Clock-Gating

    Insights:

    o Pipelining is more effective with High AF Pipelining is most effective at saving switching power

    o Pipelining is more effective with lower Vth Except for when leakage power is dominant.

    o Pipelining is more effective with clock-gating reduced flip-flop overhead.

  • 8/6/2019 pipe-islped2004-slides

    26/27

    Acknowledgments

    Thanks to SCALE group members andanonymous reviewers

    Funded by NSF CAREER award CCR-

    0093354, NSF ITR award CCR-0219545, anda donation from Intel Corporation.

  • 8/6/2019 pipe-islped2004-slides

    27/27

    BACKUP SLIDES