plasma atomic layer etching* ankur agarwal a) and mark j. kushner b) a) department of chemical and...

24
PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana, IL 61801, USA Email: [email protected] b) Department of Electrical and Computer Engineering Iowa State Universit, Ames, IA 50011, USA Email: [email protected] http://uigelz.ece.iastate.edu 33 rd IEEE ICOPS, June 2006 * Work supported by the SRC and NSF

Post on 21-Dec-2015

218 views

Category:

Documents


2 download

TRANSCRIPT

Page 1: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

PLASMA ATOMIC LAYER ETCHING*

Ankur Agarwala) and Mark J. Kushnerb)

a)Department of Chemical and Biomolecular Engineering

University of Illinois, Urbana, IL 61801, USAEmail: [email protected]

b)Department of Electrical and Computer Engineering Iowa State Universit, Ames, IA 50011, USA

Email: [email protected]

http://uigelz.ece.iastate.edu

33rd IEEE ICOPS, June 2006

* Work supported by the SRC and NSF

Page 2: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

AGENDA

Atomic Layer Processing

Plasma Atomic Layer Etching (PALE)

Approach and Methodology

Demonstration Systems

Results

PALE of Si using Ar/Cl2

PALE of SiO2 using Ar/c-C4F8

Concluding Remarks

ANKUR_ICOPS06_Agenda

Page 3: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

MOSFET: METAL OXIDE SEMICONDUCTOR FET

ANKUR_ICOPS06_01

Most conventional microelectronics use MOSFETs.

Highly doped n-type source and drain produced by ion implantation.

n-type channel created in p-type substrate by “inversion” of substrate with bias on gate.

In scaling down transistors, the gate oxide layer very becomes very thin, 1 nm in advanced technologies.

Page 4: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

ATOMIC LAYER PROCESSING: ETCHING/DEPOSITION

Current etch technologies rely on energetic ions (> 100s eV) which does not allow precise control. Physical and electrical damage may occur.

Gate-oxide thickness of only a few monolayers for ≤ 65 nm node. For 32 nm node processes control at atomic scale is necessary.

ANKUR_ICOPS06_02

C.M. Osburn et al, IBM J. Res. & Dev. 46, 299 (2002)P.D. Agnello, IBM J. Res. & Dev. 46, 317 (2002)

10 Å

Gate Dielectric Thickness

Page 5: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

ATOMIC LAYER PROCESSING:ETCHING/DEPOSITION

As advanced structures (multiple gate MOSFETs) are implemented, extreme selectivity in etching different materials will be required.

Atomic layer processing may allow for this level of control.

Extreme cost of atomic layer processing hinders use.

In this talk, we will focus on Atomic Layer Etching using conventional plasma processing techniques.

ANKUR_ICOPS06_03

Double Gate MOSFET

Tri-gate MOSFET

Refs: AIST, Japan; Intel Corporation

Page 6: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

PLASMA ATOMIC LAYER ETCHING

In Plasma Atomic Layer Etching (PALE), etching occurs monolayer by monolayer in a cyclic, self limiting process.

Top monolayer is passivated in non-etching plasma in first step.

Passivation makes top layer more easily etched compared to sub-layers.

Second step removes top layer (self limiting)

Lack of control results in etching beyond top layer.

ANKUR_ICOPS06_04

Page 7: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

PLASMA ATOMIC LAYER ETCHING

Repeatability and self-limited nature of PALE has been established in GaAs and Si devices.

Commercially viable Si PALE at nm scale not yet available.

ANKUR_ICOPS06_05

Ref: S.D. Park et al, Electrochem. Solid-State Lett. 8, C106 (2005)

Page 8: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

HYBRID PLASMA EQUIPMENT MODEL (HPEM)

ANKUR_ICOPS06_06

Electromagnetics Module: Antenna generated electric and magnetic fields

Electron Energy Transport Module: Beam and bulk generated sources and transport coefficients.

Fluid Kinetics Module: Electron and Heavy Particle Transport.

Plasma Chemistry Monte Carlo Module:

Energy and Angular Distributions

Fluxes for feature profile model

Page 9: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

MONTE CARLO FEATURE PROFILE MODEL

Monte Carlo based model to address plasma surface interactions and evolution of surface morphology and profiles.

Inputs: Initial material mesh Surface etch mechanisms Ion flux energy and angular

dependence

Reactive fluxes used to determine launching and direction of incoming particles.

Flux distributions from equipment scale model (HPEM)

ANKUR_ICOPS06_07

Page 10: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

PALE OF Si IN Ar/Cl2

Proof of principal cases were completed using HPEM and MCFPM.

ICP with rf bias.

Node feature geometries investigated:

Si-FinFET Si over SiO2 deep trench

Si over SiO2 (conventional)

ANKUR_ICOPS06_08

Trench

Si-FinFET

Page 11: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

Ar/Cl2 PALE: ION DENSITIES

Inductively coupled plasma (ICP) with rf bias.

Step 1: Ar/Cl2=80/20, 20 mT, 500

W, 0 V

Step 2: Ar, 16 mTorr, 500 W, 100 V

ANKUR_ICOPS06_09

Step 1: Passivate

Step 2: Etch

Page 12: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

Ar/Cl2 PALE: ION FLUXES

ANKUR_ICOPS06_10

Ion fluxes:

Step 1: Cl+, Ar+, Cl2+

Step 2: Ar+

Cl+ is the major ion in Step 1 due to Cl2 dissociation.

Lack of competing attaching gas mixture increases Ar+ in Step 2.

Step 1: Ar/Cl2=80/20, 20 mT, 0 V

Step 2: Ar, 16 mTorr, 100 V

Page 13: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

Ar/Cl2 PALE: ION ENERGY ANGULAR DISTRIBUTION

ANKUR_ICOPS06_11

PALE of Si using ICP Ar/Cl2 with bias.

Step 1 Ar/Cl2=80/20, 20 mTorr, 0 V, 500 W Passivate single layer with SiClx

Low ion energies to reduce etching.

Step 2 Ar, 16 mTorr, 100 V, 500 W Chemically sputter SiClx layer. Moderate ion energies to activate

etch but not physically sputter.

IEADs for all ions

Step 1: Ar+, Cl+, Cl2+

Step 2: Ar+

Page 14: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

1-CYCLE OF Ar/Cl2 PALE : Si-FinFET

ANKUR_ICOPS06_12

Step 1: Passivation of Si with SiClx (Ar/Cl2 chemistry)

Step 2: Etching of SiClx (Ar only chemistry)

Note the depletion of Si layer in both axial and radial directions.

Additional cycles remove additional layers.

ANIMATION SLIDE-GIF

1 cycle 1 cell = 3 Å

Page 15: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge PhysicsANKUR_ICOPS06_13

Multiple cycles etch away one layer at a time on side. Self-terminating process established. Some etching occurs on top during passivation emphasizing

need to control length of exposure and ion energy.

ANIMATION SLIDE-GIF

3 cycles Layer-by-layer etching

1 cell = 3 Å

3-CYCLES OF Ar/Cl2 PALE : Si-FinFET

Page 16: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

Si OVER SiO2 TRENCH: SOFT LANDING

ANKUR_ICOPS06_14ANIMATION SLIDE-GIF

4 cycles

SiO2

Conventional etching takes profile to near bottom of trench.

PALE finishes etch with extreme selectivity (“soft landing”).

Small amount of Si etch.

Etch products redeposit on side-wall.

1 cell = 3 Å

Page 17: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

Si/SiO2- CONVENTIONAL: SOFT LANDING

ANKUR_ICOPS06_15

Optimum process will balance speed of conventional cw etch with slower selectivity of PALE.

To achieve extreme selectivity (“soft landing”) cw etch must leave many monolayers.

Too many monolayers for PALE slows process.

In this example, some damage occurs to underlying SiO2.

Control of angular distribution will improve selectivity.

ANIMATION SLIDE-GIF

18 PALE cycles

Main Etch and early PALE cycles

Later PALE cycles

Page 18: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

PALE OF SiO2 IN Ar/c-C4F8

Etching of SiO2 in fluorocarbon gas mixtures proceeds through CxFy passivation layer.

Control of thickness of CxFy layer and energy of ions enables PALE processing.

ANKUR_ICOPS06_16

Trench

Page 19: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

Ar/c-C4F8 PALE: ION DENSITIES

MERIE reactor with magnetic field used for investigation.

To control the ion energy during passivation, large magnetic field was used.

Step 1: Ar/C4F8=75/25, 40 mT,

250 G, 500 W

Step 2: Ar, 40 mTorr, 100 W, 0 G

ANKUR_ICOPS06_17

Step 1: Passivate

Step 2: Etch

Page 20: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

Ar/c-C4F8 PALE: ION ENERGY ANGULAR DISTRIBUTION

ANKUR_ICOPS06_18

PALE of SiO2 using CCP Ar/C4F8 with variable bias.

Step 1 Ar/C4F8=75/25, 40 mTorr, 500 W, 250 G Passivate single layer with SiO2CxFy

Low ion energies to reduce etching.

Step 2 Ar, 40 mTorr, 100 W, 0 G Etch/Sputter SiO2CxFy layer. Moderate ion energies to activate etch

but not physically sputter.

Process times

Step 1: 0.5 s Step 2: 19.5 s

Page 21: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

SiO2 OVER Si PALE USING Ar/C4F8-Ar CYCLES

ANKUR_ICOPS06_19ANIMATION SLIDE-GIF

10 cycles 1 cell = 3 Å

PALE using Ar/C4F8 plasma must address more polymerizing environment (note thick passivation on side walls).

Some lateral etching occurs (control of angular IED important)

Etch products redeposit on side-wall near bottom of trench.

Page 22: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

SiO2 OVER Si PALE: RATE vs STEP 2 ION ENERGY

ANKUR_ICOPS06_20

Increasing ion energy produces transition from chemical etching to physical sputtering.

Surface roughness increases when sputtering begins.

Emphasizes the need to control ion energy and exposure time.

1 cell = 3 Å

Sputtering

Etching

Page 23: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

SiO2/Si TRENCH: ETCH RATE vs. ION ENERGY

ANKUR_ICOPS06_21

Step 1 process time changed from 0.5 s to 1 s.

By increasing length of Step 1 (passivation) more polymer is deposited thereby increasing Step 2 (etching) process time.

At low energies (69 eV and 75 eV); non-uniform removal. At higher energies (100 eV and 140 eV); more monolayers are etched away.

1 cell = 3 Å

Sputtering

Etching

Page 24: PLASMA ATOMIC LAYER ETCHING* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois, Urbana,

Iowa State University

Optical and Discharge Physics

CONCLUDING REMARKS

Atomic layer control of etch processes will be critical for 32 nm node devices.

PALE using conventional plasma equipment makes for an more economic processes.

Proof of principle calculations demonstrate Si-FinFET and Si/SiO2 deep trenches can be atomically etched in self-terminating Ar/Cl2 mixtures.

SiO2/Si deep trenches can be atomically etched in self-terminating Ar/C4F8 mixtures.

Control of angular distribution is critical to removing redeposited etch products on sidewalls.

Passivation step may induce unwanted etching:

Control length of exposure

Control ion energyANKUR_ICOPS06_22