pres

14
Systems-on-a-chip overview Dmitry Medvedev, TUSUR.

Upload: artem-bydnikov

Post on 21-Jun-2015

232 views

Category:

Technology


9 download

TRANSCRIPT

Page 1: Pres

Systems-on-a-chip overview

Dmitry Medvedev, TUSUR.

Page 2: Pres

My background

• Microcontroller programming• Circuit design: schematics and printed circuit

boards (PCBs)• Integrated circuits design• Measuring apparatus• Digital signal processing (DSP)

Page 3: Pres

What is SoC?

A system-on-a-chip is an integrated circuit that integrates all components of computer or other electronic system into a single chip.

Page 4: Pres

What is SoC?

Page 5: Pres

SoC architecture

Page 6: Pres

SoC platforms comparisonTI OMAP 4 NVIDIA

Tegra 2 Apple A4 Qualcomm Marvell Armada 500

Architecture Cortex-A9 ARMv11 Cortex-A8 SnapDragon XScale

Core number 2 2 1 2 1

Frequency 1-1.5 GHz 1 GHz 0.8-1 GHz 0.8-1.5 GHz 1-1.25 GHz

Controllers USB, SATA USB, SATA, HDMI Proprietary SATA USB 2.0,

SATA, PCI-E

Utilizing Devices

RIM BlackBerry

Playbook, LG Optimus 3D

Samsung Galaxy Tab, LG Optimus

Pad

iPad, iPhone 4, Apple TV

HTC Inspire, HP Touch-

Pad

Quanta Netbook (concept)

Page 7: Pres

SoC applications

Page 8: Pres

What is unique about ARM architectures?

ARM-based chips can be found not only inside cell phones and tablet PC, but also set-top-boxes and game consoles.The main reasons of ARM popularity are:• relatively low cost• high performance• low power consumption.

Page 9: Pres

FPGA is an integrated circuit designed to be configured by the customer or designer after manufacturing.

SoC and FPGA

Page 10: Pres

FPGA structure

Page 11: Pres

VHDL Example-- (this is a VHDL comment)-- import std_logic from the IEEE librarylibrary IEEE;use IEEE.std_logic_1164.all;

-- this is the entityentity ANDGATE is

port (I1 : in std_logic;I2 : in std_logic;O : out std_logic);

end entity ANDGATE;architecture RTL of ANDGATE is begin

O <= I1 and I2;end architecture RTL;

Page 12: Pres

Matlab/Simulink modeling

Example of quadrature digital receiver

Page 13: Pres

Evaluation boards

Page 14: Pres

THANKS FOR ATTENTION!

My e-mail: [email protected]