products and technologies for advanced wafer · pdf fileproducts and technologies for advanced...

25
© 2012 ASM Products and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 11 2012 1 ASM Proprietary and Confidential Information

Upload: vudung

Post on 05-Feb-2018

220 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

Products and Technologiesfor Advanced Wafer Processing

Drive Innovation, Deliver Excellence

ASM International

Analyst and Investor Technology Seminar

Semicon West July 11 2012

1ASM Proprietary and Confidential Information

Page 2: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

Outline

• ASM Front-end Products and selected applications

• ALD High-k gate/metal gate

• PEALD for Spacer Defined Double Patterning

• Epitaxy

• Low-k

• Vertical Furnace

• Platform roadmap

• Summary

2ASM Proprietary and Confidential Information

Page 3: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 3

Scaling will increasingly be enabled by New Materials and 3D Technologies

1995 2000 2005 2010 20151990 2025

Scaling enabled by Litho

Scaling enabled by Materials

Scaling enabled by 3D

2020

Low-k

Strained Si

High-k

FinFET

3D Memory

IEDM 2002

IEDM 2003

IEDM 2007

3D SIC

Chipworks 2012

ASM Proprietary and Confidential Information

Page 4: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 4

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Advanced batch processing

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices

• Epitaxial films for NMOS/PMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Lowest Cost of Ownership

• Affordable method of high quality crystal growth

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic manufacturers

� Strengthening inroads into Memory with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only three top vendors in PE-CVD

ASM Proprietary and Confidential Information

Page 5: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 55

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Advanced batch processing

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices

• Epitaxial films for NMOS/PMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Lowest Cost of Ownership

• Affordable method of high quality crystal growth

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic manufacturers

� Strengthening inroads into Memory with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only three top vendors in PE-CVD

ASM Proprietary and Confidential Information

Page 6: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

High-k Metal Gate: Gate Dielectric

• Current practice: Hf based (HfO2 or HfSiO4) from HfCl4/SiCl4/H2O

• So far, MO chemistries have proven to be inferior (roughness, leakage,

reliability)

• Migration towards HfO2 as the standard because lower Equivalent Oxide Thicknesses (EOT) can be reached

• EOT’s in the range of 0.7-1.2nm demonstrated with HfO2

6

Pulsar 3000 with solid source

ASM Proprietary and Confidential Information

Page 7: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

High-k Material Adoption Trend High Performance and Low Standby Power

• ALD HfO2 is the only material for HP devices

• High-k for LSTP devices will transition to ALD HfO2

• Convergence to gate last process for all logic to enable optimization of work function

High Performance Low Standby Power

7ASM Proprietary and Confidential Information

Page 8: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

Transition to 3D Fully Depleted Devices and the Importance of Conformality

• Metal and high-k over very challenging topography

• EOT and work function have to be uniform over fin height

• FinFET’s drive need for conformal films with uniform thickness, composition and micro-structure

ASM Proprietary and Confidential Information 8

Page 9: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

Intel FinFET(Chipworks, May 2012)

Perpendicular to Fin

• Metal and high-k over very challenging topography

• EOT and work function have to be uniform over fin height

• Success of FinFETs is enabled with ALD metal gates

• Entire spectrum of work functions researched and available from ASM

9ASM Proprietary and Confidential Information

Page 10: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

High-k/metal gate

• ALD HfO2 has become the de-facto high-k standard

• Gate last process will be used for logic to enable work function optimization of the metal gate electrodes

• 3D FinFET’s drive adoption of ALD, not only for the dielectric, but now also for metals

• Metals and damascene like process flows have penetrated the Front-end of the Line

10ASM Proprietary and Confidential Information

Page 11: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

Front-End Operations

© 2012 ASM 11

ASM Front-end ProductsALD

• Pulsar® XP

• ALD for high-k

• Cross-flow reactor

• Solid source delivery system

• EmerALD® XP

• ALD for metal gates

• Showerhead reactorPulsar® XP

EmerALD® XPASM Proprietary and Confidential Information

Page 12: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 1212

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Advanced batch processing

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices

• Epitaxial films for NMOS/PMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Lowest Cost of Ownership

• Affordable method of high quality crystal growth

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic manufacturers

� Strengthening inroads into Memory with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only three top vendors in PE-CVD

ASM Proprietary and Confidential Information

Page 13: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

LT PEALD SiOSpacer Defined Double Patterning

After PEALD SiO2

deposition (on full stack)

SiOC

Polysilicon

Si substrate

PR/BARC PEALD SiO2

a-C

After PEALD spacer etch-back and photoresist strip

PEALD spacer

After SiOC opening

SiOC

After a-C opening

a-C

SiOC

After polysilicon etching, with a-C removal

a-C

After polysilicon etching, without a-C removal

Poly-Si

13ASM Proprietary and Confidential Information

Page 14: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

Spacer Defined Multiple Patterning

K. Kim et al, Samsung, SPIE advanced lithography 2012

14ASM Proprietary and Confidential Information

• Spacer defined quadruple patterning : two sequences of spacer pattern transfer

Page 15: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

ASM Front-end Products PEALD

• MIR 3000

• PEALD of SiO2 for Spacer Defined Double Patterning

• High productivity XP cluster with 2 PEALD modules, each processing 4 wafers

• PEALD enables tunable SiO2 film properties, to optimize for film quality or ease of film removal

15ASM Proprietary and Confidential Information

MIR 3000

Page 16: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 1616

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Advanced batch processing

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices

• Epitaxial films for NMOS/PMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Lowest Cost of Ownership

• Affordable method of high quality crystal growth

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic manufacturers

� Strengthening inroads into Memory with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only three top vendors in PE-CVD

ASM Proprietary and Confidential Information

Page 17: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

Extendibility of ASM’s Low-k Solution

Aurora®Lowk

Aurora®ULK

Aurora®ELK(UV)

UV assistedPorogen

HVM since 2001

Visibility until 2017

17ASM Proprietary and Confidential Information

Page 18: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

Front-End Operations

© 2012 ASM

ASM Front-end ProductsPEALD and PECVD

RC1

RC2

RC4

RC3

RC5

RC6

RC7

RC8

Introduced during Semicon West 2012

18ASM Proprietary and Confidential Information

• XP8

• High productivity single wafer tool for both PEALD and PECVD applications

• Accommodates up to 8 chambers for PEALD or PECVD

• PEALD and PECVD can be integrated on the same platform

Page 19: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 1919

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Advanced batch processing

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices

• Epitaxial films for NMOS/PMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Lowest Cost of Ownership

• Affordable method of high quality crystal growth

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic manufacturers

� Strengthening inroads into Memory with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only three top vendors in PE-CVD

ASM Proprietary and Confidential Information

Page 20: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

Epi layers for Power DevicesMulti-Layer Epi Technology

N+ Drain

1st layer Epi

N+ Drain

1st layer Epi

PR mask

B implant B implant

N+ Drain

1st layer Epi

2nd layer Epi

N+ Drain

1st layer Epi

2nd layer Epi

3rd layer Epi

B implant B implant

PR mask

4th layer Epi

N+ Drain

GateSourceSource

• Number of epi layers dependent upon the breakdown voltage required (i.e. product application specific)

• Typical breakdown voltages from 600 – 800V

• Implemented in production by various companies

Multiple epi steps

20ASM Proprietary and Confidential Information

ASM Product: Epsilon® 3200Epi for advanced power devices

Page 21: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM

ASM Front-end Products Epitaxy

• Intrepid® XP

• Epi for advanced CMOS strain

• High productivity system using ASM’s XP cluster with 4 Epi reactors

• Integrated Pre-Clean for pre-Epi interface control

Intrepid™ XP

Introduced during Semicon West 2012

21ASM Proprietary and Confidential Information

Page 22: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 2222

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Advanced batch processing

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices

• Epitaxial films for NMOS/PMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Lowest Cost of Ownership

• Affordable method of high quality crystal growth

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic manufacturers

� Strengthening inroads into Memory with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only three top vendors in PE-CVD

ASM Proprietary and Confidential Information

Page 23: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 23

A412 PLUS: Productivity and Innovation

24 hrs

Wafe

rs O

ut

in o

ne

Da

y

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

24 hrs

Wafe

rs O

ut

in o

ne

Da

y

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

Innovation• Addition of ALD processes• Example: Batch ALD TiN

process

AB

Productivity• One A412 PLUS = up to 80

kwpm (2.5 hr process, 95% available, 150 wafer boat)

• About 40% lower capex per m2 as competitors

• Dual boat/dual reactor system

57.7 nm

56.1 nm

55.0 nm

56.1 nm

TOP

CENTER

BOTTOM

Real time production data:

ASM Proprietary and Confidential Information

Page 24: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 24

Wafer Fab Equipment Forecast

Share of 28nm, 22nm and 14nm of total Equipment spending increasing in 2012-2013

0.0

5.0

10.0

15.0

20.0

25.0

30.0

35.0

40.0

45.0

2010 2011 2012 2013 2014 2015

WF

E $

B

Wafer Fab Equipment Forecast by technology node

≤14 nm

22 nm

32 nm

45 nm

65 nm

≥90nm -10%

+145%

+15%+9%

-9%+7%

Gartner June, 2012

Key customer ALD penetrations in 28 and 22nm: market segments with high expected growth

ASM Proprietary and Confidential Information

Page 25: Products and Technologies for Advanced Wafer · PDF fileProducts and Technologies for Advanced Wafer Processing Drive Innovation, Deliver Excellence ... • ASM Front-end Products

© 2012 ASM 2525

Summary

• Adoption of more ALD and PEALD applications in HVM continues

• #1 position in ALD for High-k gate

• 3D FinFET’s drive adoption of ALD, not only for the dielectric, but also for metals

• strong inroads into patterning applications with PEALD

• Introduced Intrepid® XP, system with 4 Epi reactors, for CMOS strain Epi

• Introduced XP8, high productivity system for PEALD and PECVD applications

• ASM’s Vertical Furnace is providing the lowest CoO and footprint per reactor

• 450mm development started and first tools have been shipped

Drive Innovation, Deliver Excellence

ASM Proprietary and Confidential Information