program - vde e.v.conference.vde.com/emlc2014/documents/emlc 2014 program.pdf · june 24 – 25,...

13
June 24 – 25, 2014 Hilton Hotel Dresden, Germany www.EMLC2014.com Program The 30 th European Mask and Lithography Conference EMLC 2014

Upload: others

Post on 23-Jul-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

June 24 – 25, 2014 Hilton HotelDresden, Germany

www.EMLC2014.com

Program

The 30th EuropeanMask and LithographyConferenceEMLC 2014

Page 2: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

32

On behalf of VDE/VDI-GMM, the Sponsors, and the OrganizingCommittee, we would like to welcome you to the 30th

European Mask and Lithography Conference, EMLC 2014 atthe Hilton Hotel in the city of Dresden, Germany.

The focus of this 2-days conference is state-of-the-art ofmask technology and lithography, such as mask manufactu-ring, mask business, lithography and mask applications,emerging mask & lithography technologies, and mask &litho graphy equipment.

This conference has annually brought together scientists,researchers, engineers and developers from around theworld to present papers at the forefront of research, manu-facturing and application. It provides a place where maskmakers, mask users, and their tool suppliers becomeacquainted with new developments and results.

The conference will start on Tuesday, June 24, 2014 at 09:00AM with a Plenary Session. It will close on Wednesday, June 25, 2014, at 06:30 PM. On Tuesday evening we haveorganized the EMLC Conference Banquet Dinner. It will takeplace at Albrechtsberg Castle.

Parallel to the Conference Presentations, a Technical Exhi -bition will take place from Tuesday morning till Wednesdaynoontime where companies (mask suppliers, material sup-pliers and equipment suppliers) will exhibit their companiesand products. To foster the exchange between the confe -rence attendees and the exhibitors, the exhibition area willalso be the place for all coffee and lunch breaks.

So, please enjoy the Technical Sessions of the EMLC 2014as well as the Technical Exhibition, but also allow yourself tovisit Dresden, one of the most beautiful cities in Europe!

Conference ChairUwe Behringer

Welcome to the EMLC 2014 in Dresden

30th European Mask and Lithography Conference EMLC 2014

being held from June 24th to 25th 2014at the Hilton Hotel, Dresden, Germany

List of Contents

Committee Members . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

Organizers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

Program Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

Tuesday, June 24th , 2014. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

Wednesday, June 25th, 2014. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

Conference Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

Conference Hours. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

Registration Hours . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

Technical Exhibition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

Information for Authors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

Presentation and CV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

Manuscripts and Proceedings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

Best Paper Award. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

General Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

EMLC Secretariat. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

Conference Fees . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

Conference Registration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

Online Registration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

Payment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

Cancellation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

Proceedings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

Venue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

Parking, Hotel Reservation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

Internet Access. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

Banquet Dinner. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

Insurance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

Passport and Visa Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

About Dresden . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

Cooperating Partners . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

Cover picture:Courtesy of Toppan Photomasks

Page 3: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

4 5

The EMLC 2014 International Program Committee

Conference Chair

Uwe Behringer, UBC Microelectronics, Ammerbuch, Germany

Co-Conference Chairs

Naoya Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan

Brid Connolly, Toppan Photomasks GmbH, Dresden, Germany

Chris Gale, Applied Materials, Dresden, Germany

Program Chairs

Jo Finders, ASML, Veldhoven, The Netherlands

Daniel Sarlette, Infineon, Dresden, Germany

Rolf Seltmann, GLOBALFOUNDRIES, Dresden, Germany

Other Members

Michael Arnz, Carl Zeiss SMT AG, Oberkochen, GermanyEmanuele Baracchi, ST Microelectronics, Agrate Brianza, ItalyCarola Blaesing, Carl Zeiss SMS GmbH, Jena, GermanyParkson Chen, Taiwan Mask Corp., Hsinchu,TaiwanNatalia Davydova, ASML, Veldhoven, The NetherlandsRoxann Engelstad, University of Wisconsin, Madison, WI, USARik Jonckheere, IMEC vzw, Leuven, BelgiumKurt Kimmel, SEMATECH, Albany, NY, USA Barbara Lauche, Photronics MZD GmbH, Dresden, Germany Harry Levinson, Globalfoundries, USAHans Löschner, IMS Nanofabrication AG, Vienna, AustriaChris Progler, Photronics Inc., San José, CA, USAEmmanuel Rausa, Plasma-Therm USA, St. Petersburg, FL, USADouglas J. Resnick, Molecular Imprints, Austin, TX, USAKlaus-Dieter Röth, KLA-Tencor MIE, Weilburg, GermanyCarmelo Romeo, Numonyx, Agrate Brianza, ItalyHella Scheer, University of Wuppertal, Germany Thomas Scherübl, Carl Zeiss SMS GmbH, Jena, Germany Ronald Schnabel, VDE/VDI-GMM, Frankfurt am Main, GermanySteffen Schulze, Mentor Graphics Corp., Wilsonville, OR, USA

Marc Staples, GLOBALFOUNDRIES, Dresden, GermanyInes Stolberg, Vistec Electron Beam GmbH, Jena, GermanySerge Tedesco, CEA-LETI, Grenoble, FranceJacques Waelpoel, ASML, Veldhoven, The NetherlandsGuido Wenz, Wenz Consulting, Weil im Schoenbuch, GermanyHermann Wolf, Photronics MZD GmbH, Dresden, Germany Stefan Wurm, SEMATECH, Albany, NY, USA Larry Zurbrick, Agilent Technologies, Santa Clara, CA, USA

Organizers

VDE/VDI-Society Microelectronics, Microsystems andPrecision Engineering (GMM), Dr. Ronald SchnabelStresemannallee 15, D-60596 Frankfurt am MainPhone: ++49-(0)69-6308-227, Fax: ++49-(0)69-6308-9828e-Mail: [email protected]

UBC Microelectronics, Dr. Uwe BehringerAuf den Beeten 5, D-72119 Ammerbuch Phone: ++49-(0)171-455-3196, Fax: ++49-(0)7073-50216e-Mail: [email protected]

Page 4: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

6 7

■ Tuesday, June 24th, 2014

09:00 Welcome and IntroductionU. Behringer, UBC Microelectronics, Ammerbuch,Germany, Conference Chair

09:10 Silicon Saxony e.V. – Europe‘s most successfulregional High-Tech Cluster – On the way to astrong European Alliance (Invited)Heinz Martin Esser, Geschäftsführer Roth & Rau –Ortner GmbH, Dresden

Session 1 – Plenary Session I

Session Chairs:J. Finders, ASML, Veldhoven, The Netherlands; R. Seltmann, Globlafoundries, Dresden, Germany

09:40 Keynote Speaker I (Invited)EUV Mask Infrastructure – Are we ready to meetthe demands for the consumer electronics market?J. H. Peters, Carl Zeiss SMS GmbH, Jena, Germany

10:20 Best Paper of PMJ 2014 (Invited)

10:40 Best Poster BACUS 2014 (Invited)

Black Border, Mask 3D effects: covering challenges of EUV mask architecture for 22 nm node and beyondN. Davydova1, E. van Setten1, R. de Kruif 1, B. Connolly2, N. Fukugami 3, Y. Kodera3, H. Morimoto3, Y. Sakata3, J. Kotani 3, S. Kondo3, T. Imoto3, H. Rolff 4, A. Ulrich4, A. Lammers1, G. Schiffelers1, J. van Dijk1

1 ASML Netherlands B.V., Veldhoven, The Netherlands2 Toppan Photomasks Inc., Dresden, Germany3 Toppan Printing Co., Ltd. Niiza, Saitama, Japan4 AMTC GmbH & Co. KG, Dresden, Germany

11:00 Mask Industry SurveyV. Jindal, SEMATECH, Albany, NY, USA

11:30 Coffee Break

Session 2 – Plenary Session II

Session Chairs:B. Connolly, Toppan Photomasks GmbH, Dresden, Germany;C. Gale, Applied Materials, Dresden, Germany

12:00 Keynote Speaker II (Invited)EUV Lithography-Progress, Challenges andOutlookS. Wurm, SEMATECH, Albany, NY, USA

12:40 28nm node process optimization: A litho centricview (Invited)R. Seltmann, Globalfoundries Dresden, Germany

13:10 Performance overview of ASML’s NXE platform (Invited)J.-W. van der Horst1, R. Peeters1, S. Lok1, J. Mallman1, N. Harned 1, P. Kuerz 2, M. Lowisch2, G. Schiffelers1, A. Pirati 1, M. van Noordenburg1, J. Stoeldraijer 1, D. Brandt 3, N. Farrar 3, I. Fomenkov 3,H. Boom1, H. Meiling1, R. Kool 1

1 ASML Netherlands B.V., Veldhoven, The Netherlands2 Carl Zeiss SMT AG, Oberkochen, Germany3 ASML, San Diego, CA, USA

13:40 Lunch

Session 3 – Mask Writing Time Optimization

Session Chairs: N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;J. H. Peters, Carl Zeiss SMS GmbH, Jena

14:40 Reticles write time and the need for speed(Invited)P. Ackmann, Globalfoundries, Santa Clara, USA

15:10 Writing time estimation of EB mask writer EBM-9000 for hp16nm/logic 11nm node generationT. Kamikubo, H. Takekoshi, M. Ogasawara, H. Yamada, K. Hattori, NuFlare Technology, Inc.,Yokohama, Japan

15:30 Coffee Break

■ Tuesday, June 24th, 2014 ■ Tuesday, June 24th, 2014

Program Overview

Page 5: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

8 9

Session 4 – EUV Lithography

Session Chairs: S. Wurm, SEMATECH, Albany, NY, USA;N. Davydova, ASML, Veldhoven, The Netherlands

16:00 Imaging performance and challenges of 10nmand 7nm Logic nodes with 0.33 NA EUVE. Van Setten, G. Schiffelers, D. Oorschot, N. Davydova, J. Finders, ASML Netherlands B.V.,Veldhoven, The Netherlands; L. Depre, ASML Brion,Bernin, France; V. Farys, ST Microelectronics,Crolles, France

16:20 Scanner Arc Illumination and Impact on EUVPhotomasks and Scanner ImagingR. Capelli, A. Garetto, K. Magnusson, T. Scherübl,Carl Zeiss SMS GmbH, Jena, Germany

16:40 High NA Optics – the extension path of EUVLithographyT. Heil, Carl Zeiss SMT GmbH, Oberkochen,Germany

Session 5 – EUV Mask Technology

Session Chairs: S. Wurm, SEMATECH, Albany, NY, USA;N. Davydova, ASML, Veldhoven, The Netherlands

17:00 Pellicle for EUV Masks (Invited)C. Zoldesi 1, K. Bal 1, B. Blum 2, G. Bock1, D. Brouns1,F. Dhalluin1, N. Dziomkina1, J. D. A. Espinoza1, J. De Hoogh1, S. Houweling1, M. Jansen1, M. Kamali 1, A. Kempa1, R. Kox1, R. De Kruif 1, J. Lima1, Y. Liu1, I. van Mil 1, M. Reijnen1, D. Smith1,B. Verbrugge1, L. de Winter1, Y. Liu1

1 ASML Netherlands B.V., Veldhoven, The Netherlands2 ASML Wilton, CT, USA

■ Tuesday, June 24th, 2014 ■ Tuesday, June 24th, 2014

17:30 AIMSTM EUV First Light Mask MeasurementsA. Garetto, K. Magnusson, J. H. Peters, S. Perlitz,U. Matejka, Carl Zeiss SMS GmbH, Jena, Germany;D. Hellweg, Carl Zeiss SMT GmbH, Oberkochen,Germany; M. Goldstein, Intel Assignee, SEMATECH

17:50 High-throughput parallel SPM for EUV maskmetrology and defect inspectionR. W. Herfst 1, W. E. Crowcombe1, J. Winters1, T. C. van den Dool 1, N. B. Koster1, H. Sadeghian1,2

1 Netherlands Organization for Scientific AppliedResearch, TNO, Delft, The Netherlands

2 Delft University of Technology, The Netherlands

6 – News from other Conferences

U. Behringer, UBC Microelectronics, Ammerbuch, Germany

18:10 News from BACUS, September 2014News from PMJ, April 2015

18:20 End of Presentations

18:50 Meet at the Hilton Lobby

19:00 Bus Transfer to Albrechtsberg Castle

22:00 First Bus Transfer back to the Hilton Hotel

Program Overview

Page 6: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

10 11

■ Wednesday, June 25th, 2014

Session 7 – E-Beam Technologies

Session Chairs: I. Stolberg, Vistec Electron Beam GmbH, Jena, GermanyU. Behringer, UBC Microelectronics, Ammerbuch, Germany;

09:00 New LEEPL Technology (Invited)T. Utsumi, Tokyo, Japan

09:40 Multi-stencil character projection e-beam lithography – a fast and flexible way for highquality optical metamaterialsU. Hübner1, M. Falkner 2, U. D. Zeitner 2,3, M. Banasch4, K. Dietrich2, E.-B. Kley 2

1 Leibniz Institute of Photonic Technology (IPHT), Jena, Germany

2 Institute of Applied Physics, Friedrich-Schiller-Universität Jena, Germany

3 Fraunhofer Institute of Applied Optics and Precision Engineering, Jena, Germany

4 Vistec Electron Beam GmbH, Jena, Germany

10:00 Integration of E-Beam Direct Write in BEOL processes of 28nm SRAM technology nodeusing Mix & MatchM. Gutsch1, K.-H. Choi 1, N. Hanisch1, C. Hohle1, R. Seidel 2, K. Steidel 1, X. Thrun1, T. Werner 2

1 Fraunhofer IPMS, Dresden, Germany2 Globalfoundries Dresden, Germany

10:20 Coffee Break

■ Wednesday, June 25th, 2014 ■ Wednesday, June 25th, 2014

Session 8 – Templates Technologies

Session Chairs: I. Stolberg, Vistec Electron Beam GmbH, Jena, GermanyU. Behringer, UBC Microelectronics, Ammerbuch, Germany;

10:50 Nanoimprint Template: Development StatusUpdate (Invited)N. Hayashi, K. Ichimura, M. Kurihara, Dai NipponPrinting Co., Ltd, Saitama, Japan

11:20 Replicating micro-optical structures using softembossing techniqueX. Shang1, J.-Y. Tan1, J. De Smet 1, P. Joshi 1, E. Islamaj 1, D. Cuypers1, M. Vervaeke2, J. Van Erps2,H. Thienpont 2, H. De Smet1

1 Ghent University, Belgium2 Vrije University Brussels, Belgium

Session 9 – Simulation

Session Chairs:H. Wolf, Photronics, Dresden, Germany; U. Behringer, UBC Microelectronics, Ammerbuch, Germany

11:40 14-nm photomask simulation sensitivityJ. Sturtevant, E. Tejnil, P. Buck, S. Schulze, MentorGraphics Corp., Wilsonville, OR, USA; F. Kalk, K. Nakagawa, Toppan Photomasks Inc., Beaverton,OR, USA; G. Ning, P. Ackman, Globalfoundries,Milpitas, CA, USA; F. Gans, C. Bürgel, AMTC,Dresden, Germany

12:00 Aerial image simulation for partial coherentsystem with programming development in MATLABM. Rahman, University of Ulm, Germany; N. Hasan,National Cheng Kung University, Taiwan

12:20 Lunch Break

Program Overview

Page 7: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

12 13

Session 10 – Metrology

Session Chairs:K.-D. Röth, KLA-Tencor MIE, Weilburg, Germany;C. Jähnert, Infineon Technologies AG, Dresden, Germany

13:30 Defect shape reconstruction from high-NA opticalprojection imagesD. Xu1,2, T. Fühner 2, A. Erdmann1,2

1 Friedrich-Alexander-Universität Erlangen-Nürnberg, Germany

2 Fraunhofer Institute for Integrated Systems and Device Technology IISB, Erlangen, Germany

13:50 Photomask CD and LER characterization usingMueller Matrix Spectroscopic EllipsometryA. Heinrich1, I. Dirnstorfer 1, J. Bischoff 2, K. Meiner 3,H. Ketelsen3, U. Richter 3, T. Mikolajick1,4

1 NaMLag gGmbH, Dresden, Germany2 Osires Optical Engineering, Ilmenau, Germany3 Sentech Instruments GmbH, Berlin, Germany4 TU Dresden, Germany

14:10 Determination of line profiles on pohotomasksusing EUV and X-ray scatteringF. Scholze, A. Haase, C. Laubis, V. Soltwisch, B. Bodermann, J. Endres, Physikalisch-TechnischeBundesanstalt (PTB), Berlin, Germany; A. Ullrich,Advanced Mask Technology Center, Dresden,Germany; S. Burger, JCMwave GmbH, Berlin,Germany

■ Wednesday, June 25th, 2014■ Wednesday, June 25th, 2014

Session 11 – Wafer Processing

Session Chairs:B. Connolly, Toppan Photomasks GmbH, Dresden, Germany;C. Gale, Applied Materials, Dresden, Germany

14:30 Wafer edge patterning optimizationK. Schumacher, P. Jaschinsky, S. Wilke, M. Freitag,M. Schmidt, H. Bald, C. Paschold, GlobalfoundriesDresden, Germany; M. Noot, ASML B.V. Veldhoven,Netherlands

14:50 Overlay leaves litho: Impact of none-litho processes on overlay and improvement solutionsM. Ruhm, Globalfoundries, Dresden, Germany

15:10 Lithography with Infrared Illumination Alignmentfor advanced BiCMOS Backside ProcessingP. Kulse, K. Schulz, U. Behrendt, M. Wietstruck, M. Kaynak, S. Marschmeyer, IHP Innovations forHigh Performance Microelectronics, Frankfurt(Oder), Germany; B. Tillack, IHP Innovations forHigh Performance Microelectronics, Frankfurt(Oder), Germany, Technische Universität Berlin,Germany

15:30 Coffee Break

Program Overview

Page 8: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

1514

Session 12 – DSA Technology and alternative Lithography

Session Chairs:N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;R. Seltmann, Globalfoundries, Dresden, Germany

16:00 Compact model experimental validation for grapho-expitaxy hole processes and its impactin mask making tolerancesG. Fenger, Mentor Graphics Corporation, Leuven,Belgium; J. A. Torres, Mentor Graphics Corporation,Wilsonville, OR, USA; Y. Ma, Y. Granik, MentorGraphics Corporation, Fremont, CA, USA; P. Krasnova,Mentor Graphics Corporation, Moscow, Russia; A. Fouquet, CEA-LETI, MINATEC, Grenoble, France

16:20 Contact hole multiplication using grapho-epitaxydirected self-assembly: process choices, templateoptimization and placement accuracyJ. Bekaert1, R. Gronheid 1, V.-K. MurugesanKuppuswamy1, J. Doise1,2, BT Chan1, G. Vandenberghe1, D. Parnell 3, S. Sayan4, Y. Cao4, Y. Her 5

1 imec, Leuven, Belgium2 Katholieke Universiteit Leuven, Belgium3 Tokyo Electron Europa, Nijmegen, The Netherlands4 Intel Corporation, Hillsboro, OR, USA5 AZ Electronic Materials, Branchburg, NJ, USA

16:40 Impact of mask absorber and over-etch onmask 3D induced best focus shift A. Bouma, J. Miyazaki, M. van Veen, J. Finders,ASML, Veldhoven, The Netherlands

17:00 Challenges and opportunities in applying grapho-epitaxy DSA lithography to metal cutapplicationsY. Ma, Mentor Graphics Corporation, Fremont, CA,USA; J. A. Torres, Mentor Graphics Corporation,Wilsonville, OR, USA; G. Fenger, Mentor GraphicsCorporation, Leuven, Belgium; J. Ryckaert, G.Vanderberghe, IMEC, Leuven, Belgium

■ Wednesday, June 25th, 2014■ Wednesday, June 25th, 2014

Session 13 – Processes and special Technologies

Session Chairs:J. Finders, ASML, Veldhoven, The Netherlands;U. Behringer, UBC Microelectronics, Ammerbuch, Germany

17:20 Innovative and water based stripping approachfor thick and bulk photoresistsM. Rudolph1, D. Schumann2, X. Thrun1, A. Hoehne2,S. Esche2, C. Hohle1

1 Fraunhofer Center Nanoelectronic Technologies, Dresden, Germany

2 bubbles & beyond GmbH, Leipzig, Germany

17:40 How holistic process control translates intoHigh Mix Logic Fab APC?B. Le Gratiet, M. Gatefait, J. Ducoté, J. Decaunes,A. Lam, B. Beraud, M. Mikolajczak, A. Pelletier, B. Orlando, F. Sundermann, A. Ostrovsky, C. Lapeyre, STMicroelectronics, Crolles, France

18:00 Farewell

18:10 End of Conference EMLC 2014

Program Overview

Page 9: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

1716

Conference Information

Conference Hours

Tuesday, June 24th, 2014 09:00 am to 06:30 pmWednesday, June 25th, 2014 09:00 am to 06:00 pm

Registration Hours

Monday, June 23rd, 2014 04:00 pm to 06:00 pmTuesday, June 24th, 2014 07:30 am to 05:00 pmWednesday, June 25th, 2014 08:00 am to 11:00 am

Technical Exhibition

Parallel to the conference presentations on Tuesday andWednesday we offer you to take part in a technical exhibi-tion.

If you intend to participate in the technical exhibition as anexhibitor, please contact the chairperson as soon as possible as the exhibition area is limited.

UBC MicroelectronicsDr. Uwe BehringerAuf den Beeten 572119 Ammerbuch, GermanyPhone: ++49 (0)171-4553196Fax: ++49 (0)7073-50216 e-Mail: [email protected]

Information for Authors

Your Presentation and CV

The most convenient way for you and the organizers is toprovide your slides in the form of MS-Powerpoint format(ppt) on a USB-stick, as early as possible, but latest duringthe break before your session. You should download andtest them on the presentation computer. Your Session Chairwill surely be glad to assist you. You may also use your ownlaptop computer, but experience has shown to do better not.

Please send in – if not yet done – your short CV to theConference Chairs and to your Session Chair, so that hemay introduce you appropriately to the audience.

Manuscripts and Proceedings

The official deadline for manuscripts is June 16th, 2014.

All manuscripts will be subject to a critical peer review be -fore they are accepted for publication in the SPIE DigitalLibrary.

Please note: Late submissions may not be published.

Information on the format of the manuscripts and furtherdetails is also provided at the conference web site:www.emlc2014.com

The conference manuscripts will be published by SPIE

Manuscripts which are not delivered until the first day of theconference, Tuesday, June 24th, 2014, will not be publishedin the combined SPIE/VDE volume and will not be a part ofthe SPIE Digital Library.

Each attendee of the conference will receive one copy of theproceedings as a CD which will be sent after the event.

Best Paper Award All conference attendees will elect the Best Paper of theEMLC 2014. Manuscripts not received until the first day ofthe conference can not be elected for Best Paper.

The Best Paper will be invited to present at PMJ2015 inYokohama, Japan, in April 2015 and at BACUS in Monterey,CA, USA in September 2014.

Page 10: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

19

Online Registration

Registrations for the conference and payment by credit cardmay be done online. More detailed information, please seeon the conference’s homepage which iswww.EMLC2014.com

Payment of Conference Fee

Payment for registration, including bank charges and pro-cessing fees, must be made in Euro. The conference fee hasto be fully paid in advance by credit card. Your registrationcan only be confirmed if VDE-Conference Services hasrecorded receipt of your full payment.

Cancellation

In case of cancellation, provided that written notice hasbeen given to VDE-Conference Services before May 26,2014, the registration fee will be fully refunded less a hand-ling fee of EURO 80.00. After May 26, 2014, no refund will bemade. Proceedings and CD-ROM-proceedings will then besent to the registrant after the conference.

Proceedings

All papers accepted for presentation at the conference willbe published with the proceedings on a SPIE CD-ROM. Theproceedings will be sent after the conference to all delega-tes who attended the event. All Manuscripts will also bepublished in the SPIE Digital Library.

Conference Venue

Hilton DresdenAn der Frauenkirche 501069 Dresden, GermanyPhone: ++49 (0)351/86420, Fax: ++49 (0)351/8642-725http://www.hilton.com/

The Hilton Dresden is located in the heart of the old town,next to the Frauenkirche.

18

General Information

EMLC 2014 Office

For detailed information please contact:

VDE/VDI-Society Microelectronics, Microsystems andPrecision Engineering (GMM)Dr. Ronald SchnabelStresemannallee 15D-60596 Frankfurt am MainPhone: ++49 (0)69-6308-227Fax: ++49 (0)69-6308-9828e-Mail: [email protected]

During the conference: Phone: ++49 (0)171 4695 118

Conference Feesuntil afterMay 23rd, 2014 May 23rd, 2014

Non-Members € 570.00 € 690.00

VDE, VDI Members* € 540.00 € 660.00

Lecturer € 490.00 € 590.00

Non-Member-Students** € 150.00 € 250.00

Student Members** € 100.00 € 150.00

* Participants claiming for the membership fee must attacha copy of their membership card to the registration form.

** A photocopy of the student card must be attached.

The conference fee includes admission to all sessions aswell as to the daily coffee-breaks, lunches and conferencebanquet dinner as well as one copy of the CD-ROM-procee-dings

Conference Registration

To register for EMLC 2014, please fill in the registration formattached to this booklet and return it to VDE ConferenceServices, Stresemannallee 15, 60596 Frankfurt, Germany. Tobenefit from the “early-bird-discount”, VDE ConferenceServices must receive the form before May 23, 2014. Fullpayment or credit card information must accompany allregis trations in order to be accepted. Completed forms may be sent by fax (++49 (0)69 6308 144) or e-mail ([email protected]). A confirmation of the registrationwill be sent upon receipt of full payment.

Page 11: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

21

Parking

The hotel car park has got 136 spaces. Parking costs EURO21.00 per day. There is a valet service at no extra charge.Please book your parking space when booking your room atthe hotel.

Hotel Reservation

A block of rooms has been reserved for the EMLC 2014 participants at the Hilton Hotel Dresden.

The special hotel room rates are:

Single Hilton Guest Room rates from 129.00 EURTwin Hilton Guest Room rates from 154.00 EUR

per night, including breakfast.

Accomodation is NOT included in the conference fee.

For reservation please contact the Hilton Hotel or go to theconference website: www.emlc2014.com

Hilton DresdenAn der Frauenkirche 501069 Dresden, Germany Phone: ++49 (0)351/86420, Fax: ++49 (0)351/8642-725 e-Mail: [email protected]://www.hilton.com/

You should reserve your rooms by May 12, 2014, as afterthat date our rooms blocked at a group rate might bereleased by the hotel for general reservations. Pleaseuse the following code for booking: “EMLC 2014”

All payments related to accommodation have to be made inthe hotel before departure.

Internet Access

The Hilton Hotel provides an Internet access in the room at€ 15,- per 24 hours (per day). There is also a business center where you can use the hotel equipment for free.

20

Transport

By AirGetting to and from Dresden airport:

Bus Service, typical minimum charge is EURO 8,00Limousine, typical minimum charge is EURO 70,00Taxi, typical minimum is EURO 25,00

By trainfrom Frankfurt in 5 hoursfrom Berlin in 3 hours

By carFrom the A14/A4 (coming from Chemnitz/Leipzig), take exit78 towards Dresden Altstadt. Follow the B6 signs in direction of Zentrum. At the Bremer/Hamburger Strassejunction, turn left into Bremer Strasse. Drive along the Elberiverbank until you see signs for the Hilton Dresden hotel onyour right. The 4-mile journey normally takes around 10minutes from exit 78 to the hotel forecourt.

Page 12: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

23

Notizen

22

Banquet DinnerJune 24, 07:30 pm – 11:00 pm

Meeting-point for the banquet dinner will be the lobby of theHilton Hotel, Dresden.

Departure of the first bus to Albrechtsberg Castle is 07:00pm,the second bus will leave some minutes later.

Insurance

The organisers may not be held responsible for any injury toparticipants or damage, theft and loss of personal be -longings.

Passport and Visa Requirements

Foreign visitors entering Germany have to present a validIdentity Card or Passport. Delegates who need a visa shouldcontact the German consular offices or embassies in theirhome countries. Please note that neither the VDE-Con -ference Services nor the VDE/VDI-Society Microelec tronics,Microsystems- and Precision Engineering (GMM) or the sup-porting bodies are able to extend any "Invitation" for appli-cation of visa.

About Dresden

The Elbe and the charming landscape between SaxonSwitzerland and Meissen determine the nature in which thecity on the Elbe river is embedded. The climate is equallybeneficial for the development of the arts and for viniculture.The inhabitants are known for their own special charm,Saxon hospitality is proverbial.

At the same time Dresden is a modern city with the flair ofthe former Saxon residence – simply a place with life style.Many million guests visit Dresden every year.

More information you can find at: http://www.dresden.de

Page 13: Program - VDE e.V.conference.vde.com/emlc2014/Documents/EMLC 2014 Program.pdf · June 24 – 25, 2014 Hilton Hotel Dresden, Germany Program The 30th European Mask and Lithography

24

COOPERATING PARTNERS and SPONSORS OF THEEMLC 2014