robust computing in the nanoscale era - robust-tutorial.pdf• research topic: self-healing systems...

59
Robust Computing in the Nanoscale Era Todd Austin University of Michigan [email protected]

Upload: others

Post on 11-Oct-2020

3 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Robust Computingin the Nanoscale Era

Todd Austin

University of Michigan

[email protected]

Page 2: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

A Scenario Not That Far AwayScenario: The year is 2022, in the whole world we are using more than 100 billion devices with microprocessors and suddenly microprocessors start to fail. They fail in big numbers…

“Reliability will be a first-class design constraint”Chuck Moore, AMD Senior Fellow

“In the future we will need to design reliable systems with

unreliable components”Pradip Bose, IBM Research

“Chips will have tens of billions of transistors, but many of them might be unusable and

others will slowly age and degrade over time”Shekhar Borkar, Intel Fellow

Technology Node (nm)R

elat

ive

Failu

re R

ate [Shekhar Borkar, IEEE Micro]

10X

“Reliability will be the barrier to future scaling”Shekhar Borkar, Intel Fellow

Page 3: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

What If That Scenario Happened Today?

Corporate Computing- Millions of dollars for downtime- Lower productivity- Higher IT management costs- Less trust in computing systems

- Lower performance - Break quality of service contracts- Dissatisfy customers with lower availability- Higher repair and management cost

Data Centers

Consumer Electronics- Affect user experience- Frequent system crashes- Lower customer satisfaction- Stain company credibility

Page 4: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Tutorial Agenda Reliability Issues: SER, Variability and Defects

Fault Tolerant Design Techniques• Classical Techniques• SER Specific Techniques• Full-Spectrum Techniques• Research Topic: Self-Healing Systems

Robust Low-Power Design Techniques

Page 5: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Microprocessor Reliability ThreatsD

evic

e Fa

ilure

Rat

e(p

opul

atio

n of

pro

cess

ors)

OperationTime

Infant Mortality

Latent Manufacturing Defects

BreakdownPeriod

Electromigration

Future GenerationSilicon Process Technologies

Grace Period

Transient FaultsSoft Errors

Process VariationOccasional

Silicon Defects

Gate-Oxide Dielectric Breakdown

Age-Related Wearout“Reliability will be the barrier to future scaling”Shekhar Borkar, Intel Fellow

Page 6: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Reliability Challenges of Technology Scaling

Silicon Process Technology Scaling

Cost

cost per transistor

productcost

reliability cost

1) Cost of built-in defect tolerance mechanisms

2) Cost of R&D needed to develop reliable technologies

Further scaling is not profitable

1) Build microprocessors out of unreliable transistors/technologies2) Provide reliability through very low cost defect tolerance techniques

reliability cost

Page 7: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Fault Classes Permanent fault (hard fault)

• Irreversible physical change• Latent manufacturing defects, Electromigration

Intermittent fault• Hard to differentiate from transient faults

Repeatedly occurs at the same location Occurs in bursty manners when fault is activated Replacing the offending circuit removes faults

Transient faults (Soft Errors)• Neutron/Alpha particle strikes• Power supply and Interconnect noises• Electromagnetic interference • Electrostatic discharge

Page 8: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Introduction – Soft Errors Soft errors, also called transient faults and single-event upsets(SEU)

Processor execution errors caused by high-energy neutrons resulting from cosmic radiation and alpha particles radiation

Appears to be a reliability threat for future technology processors

When a particle strikes a circuit element a small amount of charge is deposited Combinational logic node: a very short duration pulse of

current is formed at the circuit node

State holding element (FF/SRAM cell): flip the stored value

Unlike permanent faults the effects of soft errors are transient

X

Q

FFQ

Page 9: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Soft Errors (SER) Alpha particles stemming from

radioactive decay of packaging materials

Neutrons (cosmic rays) are always present in the atmosphere

Soft errors are transient non-recurring faults (also called single event upsets, SEUs) where added/deleted charge on a node results in a functional error• Charge is added/removed by

electron/hole pairs absorbed by source/drain diffusion areas

Source: S. Mukherjee, Intel

Page 10: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Logic Masking: the fault gets blocked by a following gate whose output is completely determined by its other inputs

Timing Masking: the fault affects the input of a latch only in the period of time that the latch is not sensitive to its input

1 00

X

Soft Error Masking

tsetup+thold

Clock

Masked Fault

Latched Fault

Page 11: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Soft Error Masking Electrical Masking: the fault’s pulse is attenuated by subsequent logic gates due to

electrical properties, and does not affect any latch’s input

Microarchitectural Masking: the fault alters a value of at least one flip-flop, but the incorrect values get overwritten without being used in any computation affecting the design’s output

Software Masking: the fault propagates to the design’s output but is subsequently masked by software without affecting the application’s correct execution

Latch

AttenuatedPulse

Page 12: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

How To Measure Reliability:Soft Error Rate (FIT)

Failure In Time (FIT) : Failures in 109 hours• 114 FIT means

1 failure every 1000 years It sounds good, but

– If 100,000 units are shipped in market, 1 end-user per week will experience a failure

Mean Time to Failure : 1 / FIT

Page 13: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Soft Error Considerations Highly elevation dependent (3-5X higher in Denver vs. sea-level,

or 100X higher in airplane) Critical charge of a node (Qcrit) is an important value

• Node requires Qcrit to be collected before an error will result• The more charge stored on a node, the larger Qcrit is (Qcrit must be

an appreciable fraction of stored Q)• Implies scaling problems caps reduce with scaling, voltage

reduces, so stored Q reduces as S2 (~ 2X) per generation Ameliorated somewhat by smaller collection nodes (S/D junctions) But exacerbated again by 2X more devices per generation

Page 14: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Impact of Soft Errors in Processors [Iyer] How do soft errors in processors propagate and impact applications?

Approach Fault injections (with i-Measure, hardware level fault injection framework) in

combinational logic and flip-flops of MIPS and Alpha-like processors Study fault propagation to the application level

Major findings: Nearly 5% of faults in combinational logic propagate to state of the processor Errors in Control contribute to 79% of application hangs Errors in Execution blocks a major factor

in application crashes (45%) and silent datacorruption (40%)

Faults in combinational logic can cause double and multiple bit errors

Multiple Bit-flip Distribution

Single Bit-Flip Error; 83.11%

Double Bit-f lip Errors; 15.10%

Multiple Bit-f lip Errors; 1.79%

Multiple Bit-flip Distribution in Alpha processor

Page 15: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Defects: The (Bumpy) Road Ahead for Silicon

What is the failure model of silicon 2-3 generations out? What the literature says…

“Expected failure rate of 1012 hours/device”, this would give a high end NVidia graphics part an expected lifetime of less than 1 year

“Failure rates higher than 1020 hours/device”, which eliminates the problem What the experts say…

Intel [Borkar] and IBM [Bernstein]: critical problem for future silicon

Key failure modes Transistor wear-out (aggravated by scaling) SER-related upsets (especially in logic) Early transistor failures (due to ineffective burn-in) Untestable defects (compounded by complexity)

Page 16: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Silicon Defects: Sources and Trajectory

Sources: gate wearout, NBTI, hot electrons, electro-metal migration, etc…

Grace PeriodInfant Period Breakdown Period

Time

FG

Failu

re R

ate (

FIT)

ti tB

Infant Periodwith burn-in

Gracefuldegradation

Y

Burn-in

Model Parameters:FG: grace period wear-out rateλL : avg latent manufacturing defectsm : maturing rateb : breakdown ratetB : breakdown start point

FG+109 λL/t · (1 - (t+1)-m) FG + (t - tB)b

Failures occur very soon and failure rate declines rapidly. Failures are caused by latent manufacturing defects.

Failure rate falls to a small constant value where failures occur sporadically due to the occasional breakdown of weak transistors or interconnect.

Failures occur with increasing frequency over time due to age-related wear-out.

Page 17: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Effects Of Variability High-performance processors are

speed-binned• Faster == more $$$• These parts have small Leff

Exponential dependence of leakage on Vth• And Leff, through Vth

Process SpreadSmaller Leff

Fast, high leakageLarger Leff

Slow, low leakage

Freq Constraint

Reject – too slow

Power Constraint

Reject – too leaky

DelayLeakage

Process SpreadSmaller Leff

Fast, high leakageLarger Leff

Slow, low leakage

Freq Constraint

Reject – too slow

Power Constraint

Reject – too leaky

DelayLeakage

Since leakage is now appreciable, parametric yield is being squeezed on both sides

Page 18: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Random Dopant Fluctuations, Intel’s View

10

100

1000

10000

1000 500 250 130 65 32Technology Node (nm)

Mea

n Nu

mbe

r of D

opan

t Ato

ms

Uniform Non-uniform

Page 19: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Variation: Across-Wafer Frequency

Figure courtesy S. Nassif, IBM

Page 20: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

DRAMs are Inherently Unreliable

row select

bit

Page 21: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

DRAMs Incorporate Refresh

Page 22: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

NAND Flash Also Utilizes Reliability

Floating gate traps charge• Give a higher voltage and electrons are trapped

through gate into floating gate transistor

Page 23: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Tutorial Schedule

Reliability Issues: SER, Variability and Defects

Fault Tolerant Design Techniques Classical Techniques SER Specific Techniques Full-Spectrum Techniques Research Topic: Self-Healing Systems

Robust Low-Power Design Techniques

Page 24: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Techniques For Improving Reliability Fault avoidance (Process / Circuit)

• Improving materials Low Alpha Emission interconnect and Packaging materials

• Manufacturing process Silicon On Insulator (SOI) Triple Well design process to protect SRAM

Fault tolerance (robust design in presence of Soft Error) : Circuit / Architecture• Error Detection & Correction relies mostly on “Redundancy”

Space : DMR, TMR Time : Temporal redundant sampling (Razor-like) Information : Error coding (ECC)

Page 25: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

How Do We Protect The Systems Today? Defect tolerance techniques are limited to high-end systems Life-critical applications (e.g., aviation, medical systems) Mission-critical applications (e.g., military, NASA’s space exploration) Business-critical applications (e.g., banks, financial sector)

ProcessorType A

ProcessorType B

Chec

ker

2-Version Hardware

VotingLogic

Module

Module

Module

Triple Modular Redundancy

Redundant computation/hardware is too expensiveto deploy into cost-sensitive mainstream systems

Page 26: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

DMR Error Detection

Context: Dual-modular redundancy for computation Problem: Error detection across blades

CPU

CPU

?

Page 27: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Fingerprinting [Falsafi/Hoe]

Hash updates to architectural state Fingerprints compared across DMR pair Bounded error detection latency Reduced comparison bandwidth

R1 R2 + R3R2 M[10]M[20] R1

Instructionstream

Streamof updates

...001010101011010100101010...

R1 R2 M[20]

= 0xC3C9

Fingerprint

Page 28: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Recovery Model

Checkpoint n

Time

Error undetected

Soft errorRecover to n

Error Undetected

Rollback-recovery to last checkpoint upon detection

Page 29: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Triple Modular Redundancy (von Neumann)

f (x, y)

f (x, y)

f (x, y)

majorityvote

x

y

zf (x, y)

x

y z

Voter assumed reliable!

voter small

coarse-grained

Page 30: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Protecting State with Error Coding

Coding: representation of information• Sequence of code words or symbols

In noisy channels, errors can be reduced to a certain degree

Overheads• Spatial overhead : Additional bits required• Temporal overhead : Time to encode and decode

Consider codewords as vertices on a hypercube.

000 001

111

100101

011

110

010codeword

d = 2 = min distancen = 3 = dimensionality2n = 8 = number of nodes

Page 31: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

SERA SER Analysis Tool [Shanbhag]

StimulusVectors

Gate-levelVerilog Netlist

Inverter ChainCharacterization

ProcessFiles

Circuit Parser

Logic Simulator

Path Analyzer

SER Engine

SEROne-time processcharacterization

SER Peaking

32x32 array multiplier

Gate-level SER analysis point tool (available from GSRC web-site)

Fast: Speed-up 106 over Monte Carlo

Accurate: < 5% error over Monte Carlo Captures SER dependence on: process, circuit and

input vectors

Vdd = 20% → SER = 1.28X

tsetup = 20% → SER = 50X

Page 32: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

SER-Tolerant Circuit Design [Shanbhag]

Dual sampling skewed CMOS style

Employs skewed CMOS for logic and dual sampling FF (DSFF) Both 01 and 10 errors are eliminated if skewing factor ≥ 4. Speed penalty

depends on ∆ (maximum SET width) can be made a design parameter. equals 300ps (for 0.18um process) if zero SER wanted.

Power penalty: 17% (DSFF) + 20% (Skewed CMOS)

DSFF

Page 33: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Recent Development: Reduced Exposure to Soft Errors Due to FinFETs

FinFETs (which replaced MOSFETs) have lower exposure to soft errors• Higher critical charge• Smaller exposed geometry

Page 34: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Rest of System

Sphere of Replication

InputReplication

OutputComparison

Thread 1 Thread 2

Logical boundary of redundant execution within a system• Trade-off between information, time, & space redundancy

Compare & validate output before sending it outside the SoR

Simultaneous Redundant Multithreadhing [Reinhardt]

Page 35: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Design/EDA for Highly Variable Technologies

Critical need: Move away from deterministic CAD flow and worst-case corner approaches

Examples:• Probabilistic dual-Vth insertion

Low-Vth devices exhibit larg process spreads; speed improvements and leakage penalties are thus highly variable

• Parametric yield optimization Making design decisions (in sizing, circuit topology, etc.) that

quantitatively target meeting a delay spec AND a power spec with given confidence

• Avoid designing to unrealistic worst-case specs• Use other design tweaks such as gate length biasing (next)

Page 36: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Full-Spectrum Fault Tolerance:DIVA Checker [Austin]

All core function is validated by checker• Simple checker detects and corrects faulty results, restarts core

Checker relaxes burden of correctness on core processor• Tolerates design errors, electrical faults, defects, and failures• Core has burden of accurate prediction, as checker is 15x slower

Core does heavy lifting, removes hazards that slow checker

speculativeinstructions

in-orderwith PC, inst,inputs, addr

IF ID REN REG

EX/MEM

SCHEDULER CHK CT

Performance Correctness

Core Checker

Page 37: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

result

Checker Processor Architecture

IF

ID

CTOK

CoreProcessorPrediction

Stream

PC

=inst

PC

inst

EX

=regs

regs

core PC

core inst

core regs

MEM

=res/addr

addrcore res/addr/nextPC

result

D-cache

I-cache

RF

WT

Page 38: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Check Mode

result

IF

ID

CTOK

CoreProcessorPrediction

Stream

PC

=inst

inst

EX

=regs

regs

core PC

core inst

core regs

MEM

=res/addr

addrcore res/addr/nextPC

result

D-cache

I-cache

RF

WT

Page 39: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Recovery Mode

result

IF

ID

CT

PC inst

PC

inst

EX

regs

regs

MEM

res/addr

addr result

D-cache

I-cache

RF

Page 40: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

How Can the Simple Checker Keep Up?

Slipstream

Redundant Core Advance Core

Slipstream effects reduce power requirements of trailing car• Checker processor executes in the core processor slipstream• fast moving air branch/value predictions and cache prefetches• Core processor slipstream reduces complexity requirements of

checker

Symbiotic effects produce a higher combined speed

Page 41: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

How Can the Simple Checker Keep Up?

Slipstream

Simple Checker Complex Core

Slipstream effects reduce power requirements of trailing car• Checker processor executes in the core processor slipstream• fast moving air branch/value predictions and cache prefetches• Core processor slipstream reduces complexity requirements of

checker

Symbiotic effects produce a higher combined speed

Page 42: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Checker Performance Impacts Checker throughput bounds core IPC

• Only cache misses stall checker pipeline• Core warms cache, leaving few stalls

Checker latency stalls retirement• Stalls decode when speculative state

buffers fill (LSQ, ROB)• Stalled instructions mostly nuked!

Storage hazards stall core progress• Checker may stall core if it lacks resources

Faults flush core to recover state• Small impact if faults are infrequent

0.970.980.991.001.011.021.031.041.05

Relat

ive C

PI

Uber-Check

erPico

-Checker

12-cyc

le Check

er

1/4 Cach

e Size

1k Faults

Page 43: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Fault Modeling & Analysis Infrastructure

High-performance, high-fidelity, fault modeling simulation infrastructure

Asynchronous fault injection atthe gate level

Fully models all the possibleways a fault can be masked

Statistical fault model

Model Stimuli

(TRIPS traces)

Structuraldesign

Fault-exposedmodel

Golden model(no fault injected)

Faultanalyzer

Time, location,duration

Fault islogic maskedtiming maskedarchitecture maskederror (fault manifests)

MonteCarlo simulationloop – 1000x

Defect model

Function test(full-cover. test)

Structuraldesign

Defect-exposedmodel

Golden model(no defect injected)

Defectanalyzer

Time, location

Defect is exposedprotectedunprotected but masked

MonteCarlo simulationloop – 1000x

Two different setups, one to evaluate the effects of transients, and one for permanent errors

Monte Carlo modeling framework with realistic workloads

Modeling & analyzing permanent errors

Modeling & analyzingtransient errors

Page 44: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Tutorial Schedule Reliability Issues: SER, Variability and Defects

Fault Tolerant Design Techniques Classical Techniques SER Specific Techniques Full-Spectrum Techniques Research Topic: Self-Healing Systems

Robust Low-Power Design Techniques

Page 45: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Power and Reliability: How are they related?

The move to smaller features can help with power – with qualifications

Smaller features increase design margins reduce power savings reduce performance gains reduced area benefits

Page 46: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Why does power matter?

“… left unchecked, power consumption will reach 1200 Watts for high-end processors in 2018. … power consumption [is] a major shows topper with off-state current leakage ‘a limiter of integration’.”

Intel chairman Andrew Grove Int. Electron Devices Meeting keynote Dec. 2002

Page 47: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Total Power of CPUs in PCs Early ’90’s – 100M CPUs @ 1.8W = 180MW Early 21st – 500M CPUs @ 18W = 10,000MW Exponential growth Recent comment in a Financial Times article:

10% of US’s energy use is for computers• exponentially growth implies it will overtake

cars/homes/manufacturing

NOT! – why we’re here

Page 48: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Design-TimeVerification

andOptimization

Traditional Worst-Case Design

L H

Time-to-Market

L H

Performance

Page 49: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Run-TimeVerification

TypicalCase

Optimization

Better-Than-Worst-Case (BTWC) Design

L H

Time-to-Market

L H

Performance

L H

Performance

L H

Time-to-Market

Page 50: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Algorithmic SER-Tolerance [Shanbhag]

Energy savings

Voltage

Pow

er

Pmain

PTOTAL

PEC

1.0

1.0

Voltage Overscale Main Block Error Control via Estimator Estimators: Prediction, Reduced

Precision Replica, MAP, Error Canceller and others

Employ two estimators in SEU/MEU scenario

Robust to error frequencies up to: 1 in 100 samples for SEU 1 in 1000 samples for MEU

][nx ][nyaMainBlock

][̂ny>T

][nyeEstimator

| | > Th

][nx ][nya

][ˆ ny| | >Th.

Main Block

Estimator1

MU

X

][1, nye

Error-Control Block

Estimator2

][2, nye

Page 51: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Timing Error Tolerant Links [De Micheli]

Aggressively clock on-chips links with high frequency/low voltage Double-sample link output Once speculatively, then again with reliable timing

Stall receiver for recovery data if samples disagree Non-speculative if receiver incurs additional delay Otherwise, receiver must perform internal recover

Pipelinebuffer iSENDER Main

flip-flop

Delayedflip-flop XOR

MUX

Delayed Clk

Clk

Input dataoutput

Error?

Pipelinebuffer i+1

Vdd Vdd

Frequency/Voltage Controller

f req

Page 52: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Research Topic: Razor Error Resilient Circuits [Austin/Blaauw]

In-situ detection/correction of timing errors

Tune processor voltage based on errors Eliminate process, temperature, and noise

margins (tune for near-zero errors) Purposely run below critical voltage to

capture data-dependent latency margins

Implemented with architecture and circuit support

Double-sampling metastability-tolerantRazor flip-flops validate pipeline results

Pipeline initiates recovery after timing errors, forward progress is guaranteed

Error_L

Errorcomparator

RAZOR FFclk_del

Main Flip-Flop

clk

Shadow Latch

Q1D1 01

recover

IF

Razo

r FF

ID

Razo

r FF

EX

Razo

r FF

MEM(read-only)

WB(reg/mem)

errorbubble

recover recover

Razo

r FF

Stab

ilizer

FF

PCrecover

flushID

bubble

errorbubble

flushID

errorbubble

flushID

FlushControl

flushID

error

Page 53: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

1.4 1.5 1.6 1.7 1.8

1.4

1.5

1.6

1.7

1.8 Chips Linear Fit y=0.78685x + 0.22117

Voltage at First Failure

Volta

ge a

t 0.1

%Er

ror R

ate

Point of 0.1% Error Rate Vs Point of First Failure

Razor Prototype Chip4 stage 64-bit Alpha pipeline

120 - 160MHz operation, 0.18m

Percentage of FF Razorized: 9% Error free Razor overhead ~3%

54% energy reduction

Icache

Dcache

RF

IF ID EX MEM WB

3.3mm

3.0mm

Page 54: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Eref

VoltageControl

Function

.

.

.

Pipeline

reset

Vdd

Ediff = Eref - Esample

-

EsampleVoltage

Regulator

Ediff errorsignals

Configuration of Razor Voltage Control System

Configuration of the Razor Voltage Controller

Page 55: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Runtime Samples0 100 200 300 400 500 600

02468

10121416

1.351.401.451.501.551.601.651.701.751.80120MHz

27C

Perc

enta

ge E

rror

Rat

e

Volta

ge O

utpu

t of C

ontr

olle

r

Run-Time Response of Razor Voltage Controller

Page 56: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Energy/Performance Characteristics

Decreasing Supply Voltage

Energy

Energy of ProcessorOperations, Eproc

Energy ofPipeline

Recovery,Erecovery

Total Energy,Etotal = Eproc + Erecovery

Optimal Etotal

PipelineThroughput

IPC

Energy of Processorw/o Razor Support

30-50%

1%

Page 57: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

References1. C. Constantinescu ‘Trend and Challenge in VLSI Circuit Reliability’ intel2. H. T. Nguyen ‘A Systematic Approach to Processor SER Estimation and Solutions’3. P. Shivakumar et. al, ‘Modeling the effect of Technology trends on Soft Error Rate of Combinational

Logic’ 4. P. Shivakumar ‘Fault-Tolernat Computing for Radiation Environment’ Ph.D. Thesis Stanford University5. M. Nicolaidis ‘Time Redundancy Based Soft-Error Tolerance to Rescue Nanometer Technologies’6. L. Anghel, et. al. ‘Cost Reduction and Evaluation of a Temporary Faults Detecting Technique’7. L. anghel, et. al. ‘Evaluation of Soft Error Tolerance Technique based on Time and/or Space Redundancy’

ICSD8. I. Koren, University of Massachsutts ECE 655 Lecture Notes 4-5 ‘Coding’9. ITRS 2003 Report 10. J. von Neumann, "Probabilistic logic and the synthesis of reliable organisms from unreliable

components," 11. R. E. Lyons, et. al. ‘The Use of Triple-Modular Redundancy to Improve Computer Reliability’12. D. G. Mavis, et. al. ‘Soft Error Rate Mitigation Techniques for Modern Microcircuits.’ IEEE 40th Annual

International Reliability Physics Symposium 2002.13. C. Weaver, et. al. ‘A Fault Tolerant Approach to Microprocessor Design’ DSN’0114. J. Ray, et. al. ‘Dual Use of Superscalar Datapath for Transient-Fault Detection and Recovery’, Proceedings

of the 34th Annual Symposium on Microarchitecture (MICRO’01). 15. J. B. Nickel, et. al. ‘REESE: A Method of Soft Error Detection in Microprocessors’, Proceedings of the

International Conference on Dependable Systems and Networks (DSN’01).16. S. Reinhardt, et. al. ‘Transient Fault Detection Simultaneous Multithreading’

Page 58: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

References1. D. Siewiorek ‘Fault Tolerance in Commercial Computers’ CMU2. W. Bartlett, et. al. ‘Commercial Fault Tolerance: A Tale of Two Systems’ IEEE Dependable and Secure

Computing 2004 3. T. Slegel et.al ‘IBM’s S/390 G5 Microprocessor Design’ 4. L. Spainhower, et.al, ‘IBM S/390 Parallel Enterprise Server G5 fault tolerance: A historical approach’5. D. Bossen et.al ‘Fault tolerant design of the IBM pSeries 690 system using POWER4 processor

technology’6. ‘Tandem HP Himalaya’ White Paper7. Fujitsu SPARC64 V Microprocessor Provides Foundation for PRIMEPOWER Performance and Reliability

Leadership8. D. J. Sorin, et. al. ‘SafetyNet: Improving the Availability of SharedMemory Multiprocessors with Global

Checkpoint/Recovery.’9. Milos Prvulovic, et. al. ‘ReVive:Cost-Effective Architectural Support for Rollback Recovery in Shared-

Memory Multiprocessors’10. J. Smolens, et.al ‘Fingerprinting: Bounding SoftError Detection Latency and Bandwidth’11. D. Sorin, et,al ‘Dynamic Verification of End-to-End Multiprocessor Invariants’

Page 59: Robust Computing in the Nanoscale Era - Robust-Tutorial.pdf• Research Topic: Self-Healing Systems Robust Low-Power Design Techniques. Microprocessor Reliability Threats Device Failure

Questions?

??

??

?

? ?

? ?

?

??