session 2a: memory and storage (monday 2:00 pm at north ... · minesh patel jeremie s. kim a. giray...

22
CROW A Low-Cost Substrate for Improving DRAM Performance, Energy Efficiency, and Reliability Hasan Hassan Minesh Patel Jeremie S. Kim A. Giray Yaglikci Nandita Vijaykumar Nika Mansouri Ghiasi Saugata Ghose Onur Mutlu Session 2A: Memory and Storage (Monday 2:00 pm at North 221 ABC)

Upload: others

Post on 31-May-2020

2 views

Category:

Documents


0 download

TRANSCRIPT

CROWA Low-Cost Substrate for Improving

DRAM Performance, Energy Efficiency, and Reliability

Hasan HassanMinesh Patel Jeremie S. Kim A. Giray Yaglikci Nandita Vijaykumar

Nika Mansouri Ghiasi Saugata Ghose Onur Mutlu

Session 2A: Memory and Storage (Monday 2:00 pm at North 221 ABC)

2

Challenges of DRAM Scaling

DRAM

3

Challenges of DRAM Scaling

DRAM

access latency1

4

Challenges of DRAM Scaling

DRAM

access latency

refresh overhead

1

2

5

Challenges of DRAM Scaling

DRAM

access latency

refresh overhead

exposure to vulnerabilities

1

2

3

6

Conventional DRAM

7SA SA SA SA SASA

row

dec

od

er

Conventional DRAM

DRAM Subarray

DRAM

sense amplifier

8SA SA SA SA SASA

row

dec

od

er

Conventional DRAM

DRAM Subarray

DRAM

sense amplifier

SA

SA SA

SA SA

SA

9

Copy Row DRAM (CROW)

DRAM Subarray

DRAM

sense amplifier

regular rows

SA SA SA SA SA

SA

CR

OW

d

eco

der copy rows

reg

ula

r ro

w

de

cod

er

10

Row copy

Copy Row DRAM (CROW)

DRAM Subarray

DRAM

sense amplifier

regular rows

SA SA SA SA SA

SA

CR

OW

d

eco

der copy rows

reg

ula

r ro

w

de

cod

er

SA

SA SA

SA SA

SA

11

Row copy

Multiple row activation

Copy Row DRAM (CROW)

DRAM Subarray

DRAM

sense amplifier

regular rows

SA SA SA SA SA

SA

CR

OW

d

eco

der copy rows

reg

ula

r ro

w

de

cod

er

SA

SA

SA

SA

SA

SA

12

Use Cases of CROW

13

➢CROW-cache ✓reduces access latency

Use Cases of CROW

14

➢CROW-cache ✓reduces access latency

Use Cases of CROW

SA SA SA SA SASA SA

SA SA

SA SA

SA

15

➢CROW-cache ✓reduces access latency

Use Cases of CROW

SA SA SA SA SASA SA

SA

SA

SA

SA

SA

16

➢CROW-cache ✓reduces access latency

➢CROW-ref ✓reduces DRAM refresh overhead

Use Cases of CROW

SA SA SA SA SASA

weak

strong

17

➢CROW-cache ✓reduces access latency

➢CROW-ref ✓reduces DRAM refresh overhead

Use Cases of CROW

SA SA SA SA SASA SA

SA SA

SA SA

SA

weak

strong

18

➢CROW-cache ✓reduces access latency

➢CROW-ref ✓reduces DRAM refresh overhead

➢A mechanism for protecting against RowHammer

Use Cases of CROW

SA SA SA SA SASA SA

SA SA

SA SA

SA

weak

strong

19

Key Results

20

CROW-cache + CROW-ref•20% speedup•22% less DRAM energy

Key Results

21

CROW-cache + CROW-ref•20% speedup•22% less DRAM energy

Hardware Overhead•0.5% DRAM chip area•1.6% DRAM capacity•11.3 KiB memory controller storage

Key Results

CROWA Low-Cost Substrate for Improving

DRAM Performance, Energy Efficiency, and Reliability

Hasan HassanMinesh Patel Jeremie S. Kim A. Giray Yaglikci Nandita Vijaykumar

Nika Mansouri Ghiasi Saugata Ghose Onur Mutlu

Session 2A: Memory and Storage (Monday 2:00 pm at North 221 ABC)