silicon carbide epitaxy, 2012: 27-49 isbn: 978-81-308...

23
Research Signpost 37/661 (2), Fort P.O. Trivandrum-695 023 Kerala, India Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308-0500-9 Editor: Francesco La Via 2. Fast growth rate epitaxy by chloride precursors F. La Via CNR-IMM, Z.I. Strada VIII 5, 95121 Catania, Italy Abstract. In this chapter the epitaxial process with chloride precursors has been described and the main parameters (Si/H 2 , C/Si, Cl/Si, growth rate) that influence the growth and the quality of the epitaxial layer have been discussed in detail. In particular it has been shown that the growth rate can be increased to about 100 m/h but higher growth rate can be difficult to reach due to the limited surface diffusion at the usual temperature of SiC epitaxy. Furthermore from the experimental results it seems that at least a Cl/Si ratio equal to 2 should be used to obtain a good surface morphology and a low density of point defects. Increasing the growth rate also the C/Si ratio should be changed in order to obtain a good surface morphology and a low density of defects. Finally this process gives the opportunity to reduce several kind of defects (Basal Plane Dislocations and Stacking Faults) and to decrease the surface roughness at the same time. Introduction The homoepitaxial growth of -SiC has been performed by liquid phase epitaxy (LPE) and chemical vapour deposition (CVD) methods. Although CVD has the advantages of the precise control and uniformity of epilayer Correspondence/Reprint request: Dr. F. La Via, CNR-IMM, Z.I. Strada VIII 5, 95121 Catania, Italy E-mail: [email protected]

Upload: vungoc

Post on 12-Apr-2018

226 views

Category:

Documents


3 download

TRANSCRIPT

Page 1: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Research Signpost

37/661 (2), Fort P.O.

Trivandrum-695 023

Kerala, India

Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308-0500-9 Editor: Francesco La Via

2. Fast growth rate epitaxy by chloride

precursors

F. La Via

CNR-IMM, Z.I. Strada VIII 5, 95121 Catania, Italy

Abstract. In this chapter the epitaxial process with chloride

precursors has been described and the main parameters (Si/H2,

C/Si, Cl/Si, growth rate) that influence the growth and the quality

of the epitaxial layer have been discussed in detail. In particular

it has been shown that the growth rate can be increased to about

100 m/h but higher growth rate can be difficult to reach due to the

limited surface diffusion at the usual temperature of SiC epitaxy.

Furthermore from the experimental results it seems that at least a

Cl/Si ratio equal to 2 should be used to obtain a good surface

morphology and a low density of point defects. Increasing the

growth rate also the C/Si ratio should be changed in order to obtain

a good surface morphology and a low density of defects. Finally

this process gives the opportunity to reduce several kind of defects

(Basal Plane Dislocations and Stacking Faults) and to decrease the

surface roughness at the same time.

Introduction

The homoepitaxial growth of -SiC has been performed by liquid phase

epitaxy (LPE) and chemical vapour deposition (CVD) methods. Although

CVD has the advantages of the precise control and uniformity of epilayer

Correspondence/Reprint request: Dr. F. La Via, CNR-IMM, Z.I. Strada VIII 5, 95121 Catania, Italy

E-mail: [email protected]

Page 2: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 28

thickness and impurity doping, the quality of the epilayers can be affected by

polytype mixing. In 1986 Matsunami et al. [1] found that single crystalline 6H-

SiC could be grown homoepitaxially on off-oriented 6H-SiC (0001) at low

temperatures (1400-1500 °C). This technique was named “step-controlled

epitaxy”, since the polytype can be controlled by surface steps existing on off-

oriented substrates. This technique was a real breakthrough in two senses: (a)

the growth temperature could be reduced more than 300 °C; (b) the quality of

the resulting epilayers was very high and suitable for device applications.

Typically SiC homo-epitaxial growth is done using silane (SiH4) as

silicon precursor and light hydrocarbons like ethylene (C2H4) or propane

(C3H8) as carbon precursor. Hydrogen gas, sometimes mixed with argon, is

used as carrier gas. The growth temperature and pressure are usually between

1500 and 1650 °C and 100-1000 mbar, respectively. Mirror-like surfaces

were obtained, using this process, for C/Si ratios between 1.4 and 2.5. The

growth rate was almost constant with these parameters and increased

proportionally with the SiH4 flow rate. A remarkable decrease of the growth

rate was observed instead for C/Si<1.4. These results and the analysis of the

gas phase kinetics in the growth system indicate that the growth proceeds

through the adsorption of Si at atomic steps and its carbonization by

hydrocarbon molecules. The main limitation of this process is the low growth

rate (6-7 m/h) that is correlated to the slow silicon diffusion through the

stagnant layer. Furthermore, the Si/H2 ratio is limited to a maximum value of

0.05%; above this value homogeneous nucleation of silicon droplets in the

gas phase occurs. This phenomenon causes the depletion of the precursors in

the gas phase available for the deposition and a worsening of the surface

quality. The standard chemistry for the CVD growth of SiC has been

extensively studied and reviewed elsewhere [2,3,4,5].

Recently, high voltage SiC devices with breakdown voltages on the order

of 10 kV have been realized. These new devices include: power DMOSFETs

[6], implanted VJFETs [7], PiN diodes [8] and Schottky diodes [9]. To obtain

a breakdown voltage between 10 and 11 kV an epitaxial layer thickness on

the order of 80-100 m is needed if the doping density is in the 1014

cm-3

range [10]. To obtain this layer thickness with a standard epitaxial growth

rate of 6-8 m/h a process time of more than ten hours with a consequently

high processing cost is required.

These high power devices can be used for the realization of a solid state

transformer (SST) [11] that is one of the key element of the future power grid

where a considerable amount of energy will come from renewable energy

resources. In fact, one of the major challenges to the wide spread adoption of

renewable energy is the ability to store and control the wide variety of

Page 3: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 29

different energy resources that can have also very different scales: starting

from the large-scale centralized installations (such as wind and solar farms),

to the small-scale power station of the single house. With SiC high power

devices, it is possible to realize a solid state transformer that works at high

frequency (20-50 KHz), that is much smaller of a traditional transformer with

a much lower switching losses.

Furthermore, to obtain good yield, on the large devices needed to carry

high currents, a low defects density in both the substrate and epitaxial layer is

necessary. In particular, for the epitaxial layer, it is necessary to realize a

process that introduces a low density of stacking faults and point

defects/particles. Furthermore, a small portion of basal plane dislocations

(BPDs) propagates into the epilayers from the substrate, and acts as a primary

source of stacking faults, which can expand under forward current conduction

degrading the on-state characteristics of SiC bipolar devices [12]. Regarding

deep traps in SiC, correlation with carrier lifetime has been suggested

[13,14]. Therefore, the reduction of deep traps is also required to realize high-

voltage SiC bipolar devices.

Recently, several different epitaxial growth techniques have been used to

obtain very high growth rates and thick epitaxial layers. The first technique

was based on the utilization of a vertical chimney reactor that resulted in a

growth rate of 10-25 m/h with a good surface morphology and epilayer

quality, comparable with the traditional hot wall horizontal reactor [15].

Higher growth rates of about 100 -200 m/h have been obtained by the

sublimation epitaxy technique [16]. In this case the quality of the material is

lower with respect to the standard epitaxial growth system and several defects

have been observed.

Also low pressure CVD (in the 50 Torr range) with the usual silane –

propane precursors has been used to increase the growth rate up to 50 m/h

in a single wafer reactor [17]. No results are available for this technique on a

large industrial reactor with a large reaction region.

A new epitaxial process that overcomes these limitations has been

recently developed [18,19] in a hot wall horizontal epitaxial reactor and it

represents a second breakthrough in SiC epitaxy. The growth rate has been

increased with respect to the standard process by increasing the silane flow

combined with the introduction of HCl in the deposition chamber. This

process produced a very high deposition rate (> 100 µm/h) with good surface

morphology (RMS ≈ 0.3 nm), high minority carrier lifetime (≈ 1 s) [20] and

good thickness and doping uniformity.

In a different approach, 4H-SiC epitaxial layers have been grown using

trichlorosilane (TCS) as silicon precursor together with ethylene as carbon

Page 4: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 30

precursor [21,22,23]. TCS is the typical precursor used in silicon epitaxy for

its safety (it is not pyrophoric at room temperature) and stability in industrial

processes and should also allow to avoid the homogeneous nucleation of

silicon droplets in the gas phase. In fact, the simple replacement of SiH4 with

SiHCl3 (TCS) produces a significant alteration of the species involved in the

reaction [24]. The key is the shift from Si to SiCl2 as the dominant Si

containing species for the growth. While atomic Si is the main chemical

species responsible for the homogeneous nucleation of silicon droplets in the

gas phase, SiCl2 is very stable and thus remains available to contribute to the

film growth while suppressing homogeneous gas phase nucleation of Si

during the growth [24].

The Schottky diodes realized on the epitaxial layers grown with this

process show good electrical characteristics and high yield [19,22,23,25,

26,27]. This process is therefore extremely interesting for high voltage SiC

devices with breakdown voltages of about 10 kV.

Another process recently proposed was based on the use of

methyltrichlorosilane as a single gaseous precursor [28,29]. Using this single

precursor growth rates higher than 100 m/h can be reached with good

epitaxial layer quality. Unfortunately no electrical data are available for this

new process and, from the first results, it seems that the main limitation is

related to the use of a single precursor that fixes the C/Si ratio to 1. To

change this ratio, which is very important when doped layers are needed, it is

necessary to introduce the classical precursors (silane and propane) into the

reactor. Thus, the process becomes more complicated because there must be

three different precursors, one of which is silane that is pyrophoric and

potentially dangerous.

In this chapter epitaxial growth by chlorinated precursors will be

reviewed in detail and the effect of different growth parameters (C/Si, Cl/Si,

temperature, growth rate, thickness, …) on the epitaxial layer morphology,

defects and yield of Schottky diodes will be reported. All the different

approaches used in literature will be compared in this chapter trying to

understand the difference and the similarity between the different processes

realized on different CVD reactors.

Chlorine effect

For the growth of SiC epitaxial layers using a chloride based chemistry,

can be chosen five main approaches to add chlorine to the gas mixture: (a)

add a flow of HCl gas to the standard precursors silane and a hydrocarbon

(propane or ethylene); (b) replace the silane with a chlorinated silane

molecule (SiHxCly) while keeping the propane/ethylene; (c) replace the

Page 5: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 31

carbon precursor with a chlorinated hydrocarbon (CHxCly) while keeping the

silane; (d) use a molecule that contains silicon, carbon and chlorine

(SiCxClyHz) or (e) use a combination of chlorinated silane molecule and

chlorinated hydrocarbon molecule (SiHxCly+ CHxCly). Results from all these

approaches have been reported for homoepitaxial growth and are reviewed

below.

In this section we will start our discussion on the effect of chlorine using

the first approach described previously, i.e. adding a flow of HCl to the

standard precursors. In Fig. 1 four different optical microscopies of the SiC

surface are reported. In all the four growth processes the C/Si ratio was fixed

to 1.5 while the Si/H2 dilution ratio was increased from 0.01 % to 0.1 %.

From these microscopies, it can be observed that, while at a low

(0<Si/H2<0.05) dilution ratio (Fig. 1a) the surface morphology is specular, by

increasing the Si/H2 ratio (0.05 %) several silicon droplets appear on the

surface (Fig. 1b). For higher value of this ratio (0.1 %) the silicon precipitation

Figure 1. (a) Optical microscopy images of the SiC surface after an epitaxial process

(a) with a Si/H2 ratio between 0 and 0.05 %, (b) with a Si/H2 ratio equal to 0.05 %

without HCl, (c) with a Si/H2 ratio equal to 0.1 %without HCl, and (d) with HCl.

Page 6: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 32

increases (Fig. 1c) and almost 30% of the surface is covered by silicon droplets.

Introducing a flux of HCl during the reaction, no silicon precipitation is

observed even with the very high dilution ratio of 0.1 % (Fig. 1d).

Figure 1 shows the main advantage of the introduction of HCl in the

reaction chamber or the use of a chlorinated precursor: the chlorine avoid the

precipitation of silicon in the gas phase and gives the opportunity to use

higher Si/H2 ratios and then to increase the growth rate with good surface

morphology and low defects density.

Fig. 2 shows a graph of the growth rate vs. the Si/H2 ratio for three

different growth processes performed within the same reactor under identical

process conditions (temperature, pressure, H2 flux, etc.) [22]. While in the

standard process (without chlorine addition) the growth rate was limited to

6-8 m/h by the homogeneous silicon nucleation in the gas phase, the new

processes with chlorine addition resulted in a very high growth rate (> 100

m/h) without silicon precipitation. For a fixed dilution ratio, the growth rate

obtained with the addition of HCl and that one with the substitution of SiH4

by TCS has the same value within experimental errors. Different off-cut

angles (4° or 8°) also do not change the growth rate.

No homogeneous gas phase nucleation has been observed even at this

very high dilution ratio (0.6%), so that the main limitation on the maximum

Figure 2. Growth rate vs. Si/H2 ratio. The growth rate varies linearly for all the

different processes reported. The formation of silicon precipitates in the process with

silane is also reported in the plot.

Page 7: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 33

growth rate achieved with this process is due to the gas phase nucleation but

essentially connected to the surface diffusivity. Then increasing the growth

rate it is necessary to increase the surface diffusivity and then to increase the

growth temperature. In fact, in a previous paper it has been observed that, to

decrease the point defects generated during the growth, it is necessary to

increase the deposition temperature to 1600 °C even at a growth rate of

18 m/h [25] and to further increase the deposition temperature to 1650 °C

for a growth rate of 100 m/h [19]. Using these relatively high deposition

temperatures, a good epitaxial layer with low density of point defects can be

obtained. Decreasing these point defects, an improvement of the electrical

characteristics of the Schottky diodes realized on these epitaxial layers has

been observed [19,25]. This behaviour has been explained by Monte Carlo

simulations in the papers of M. Camarda et al. [30,31,32] where it is shown

that, increasing the growth rate, an undulation of the steps called Local Step

Bunching (LSB) starts to appear and this morphological change produces an

increase of the point defects in the epitaxial layer. Increasing the deposition

temperature, and then increasing the surface diffusivity, the undulation of the

steps is reduced and this produce also a reduction of the point defects density.

In the same plot the data of Myers et al. [33] obtained by HCl addition to

the standard silane/propane chemistry are also reported, together with the

data reported by Kimoto et al. [34] for a low pressure growth without

chlorine. All these data, obtained on different CVD systems, confirm that

the slope of the growth rate vs. Si/H2 ratio is equal both for the process with

or without chlorine. A similar behaviour is also observed using

methyltrichlorosilane (MTS) [35].

The growth rate increase with the substitution of silane with TCS, MTS

or the addition of HCl can be correlated to the change in the gas phase

deposition mechanism. In fact, in standard process conditions the SiH4

decomposition leads to a substantial formation of gaseous Si with limited

reactivity (i.e., sticking coefficients) with respect to film growth [36]. On the

contrary, the addition of chlorinated species in the gas phase leads to the

formation of the very stable radical species of general formula SiHxCly with a

high sticking coefficient [37]. This species is unlikely to polymerize at

temperatures of interest here and consequently no accumulation of poorly

reactive masses was observed. Moreover, as pointed out in most of the

literature addressing Si deposition from chlorosilanes [38], the very high

reactivity of SiHxCly towards the growing film indicates that these species are

almost surely the most important deposition precursors. This point indicates

also that the introduction of other chlorine containing species, such as SiCl4,

SiH2Cl2, SiCl3CH3, etc., will produce almost the same effect then the simple

HCl addition or the introduction of TCS, providing that the same Si/Cl/C/H

Page 8: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 34

molar ratios are reproduced, because deposition conditions approaching

thermodynamic equilibrium are achieved in epi-SiC processes, like those

examined here. Accordingly, as a corollary, chlorine could be introduced also

through carbon containing species like CCl4, CHCl3, C2H3Cl, and so on,

because the greater halogens affinity to silicon instead of carbon will

reproduce the same species distribution than above.

Cl/Si ratio

In this growth process we have a new parameter: the Cl/Si ratio. The

surface roughness and the amount of defects of the epitaxial layer are

correlated to the Cl/Si ratio. In figure 3, two different optical micrographs of

epitaxial surfaces obtained with different Cl/Si ratios are reported. At the

lower value (Cl/Si=0.05) the surface of the epilayer (Fig. 3a) is very rough on

the entire wafer. Increasing the hydrochloric acid flux of a factor ten

(Cl/Si=0.5) the surface (not shown) appears with rough and specularly flat

regions. Increasing even more the amount of chlorine in the reactor (Cl/Si=2)

the surface (Fig. 3b) is flat on the entire wafer.

This behaviour can be explained by the simulation reported in the paper

of Leone et al. [39]. In fact, in that paper it is reported that the increase of the

Cl/Si ratio does not only decrease the concentration of monoatomic silicon,

increase the concentration of SiCl2, preventing the formation of silicon

droplets and enabling growth at higher rates, but also, it helps to get a more

Cl/Si=0.05 Cl/Si=2

____

100 m

Figure 3. Optical microscopy images of epitaxial layers grown with various Cl/Si

ratios. (a) Cl/Si = 0.05, (b) Cl/Si = 2.

Page 9: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 35

uniform gas phase composition, which is extremely important in order to

produce layers uniform in thickness and doping profiles on large substrates.

Another important result needs to be pointed out regarding the lower values

of C/Si ratio achieved by increasing the amount of chlorine in the system.

This confirms what it has been speculated in previous studies [40,41], that

very high Cl/Si ratios make the gas phase even more silicon rich, which

indeed helps the growth on low angles substrates, improves the surface

mobility and, consequently, reduces the roughness of the grown layer.

The Cl/Si ratio has a great relevance also on the defects present in the

epitaxial layers. From DLTS measurements (Fig. 4) only the presence of a

level at about 620 K and two small peaks at 300 K can be observed. The first

peak (EH6/7), which has a distance of 1.6 eV from the conduction band, has

been already detected by J. Zhang et al. [42] and was associated with either a

carbon vacancy or a C-Si divacancy [43,44]. The two small peaks at 300 K

can be related to the Z1/Z2 centre that is often attributed to a carbon interstitial

or to an antisite [45]. In the same graph typical DLTS results for an epitaxial

layer grown with the standard process with silane are reported for comparison.

It is possible to observe that a reduction of about an order of magnitude

in the EH6/7 concentration has been obtained both with the process with TCS

(Cl/Si=3) and with the process with HCl addition (Cl/Si=3). This reduction is

evident in the case of the EH6/7 level and, from these data, it seems to

be related to the introduction of chlorine in the reactor. This behaviour can be

Figure 4. DLTS spectra of different epitaxial growth processes. A large reduction of

the EH6/7 peak is observed with the introduction of chlorine into the reaction chamber.

Page 10: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 36

explained in the following way. Increasing the Cl/Si ratio we induce a

decrease of the C/Si ratio and this produce an increase of the surface

diffusivity as we have previously explained. The increase of the surface

diffusivity give a better step-flow and reduce the probability of what we call

the Local Step Bunching (LSB) i.e. the step-bunching in different regions of

the steps. This LSB is the primary source for the formation of point defects

[46] in the growth process of 4H-SiC and then the reduction of this

phenomenon produces also a decrease of the concentration of EH6/7 and of

Z1/Z2 peaks in the DLTS spectra.

C/Si ratio

Increasing the Si/H2 ratio, i.e. increasing the growth rate (see Fig. 2), the

C/Si ratio should be decreased from 1.5 to 1 and finally to 0.8 for the highest

dilution ratios in order to obtain a specular surface. This behaviour is similar

for the two different growth processes with chlorine precursors reported in

this chapter as shown in Fig. 5. In fact, for C/Si ratios higher than the dashed

line, the formation of a poly-SiC layer has been observed while, for C/Si

ratios lower than the dashed-dot line, several epitaxial defects can be

observed in the grown layer. Then the process window is in between these

two lines. The same behaviour observed by the TCS process (Fig. 5a) has

been observed also for the process with the HCl addition (Fig. 5b).

Figure 5. C/Si ratio vs. Si/H2 ratio to obtain a specular surface for both the TCS

process (a) and the process with HCl addition (b).

Page 11: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 37

The understanding of the evolution of surface morphology during a CVD

process can be obtained by the simple comparison of two characteristic times,

that are those inherent the adatoms surface diffusion ( D=L2/16Ds) and the

matter supply to the surface ( G=Ns/ G) phenomena. In the above definitions,

L, Ds, , Ns and G are the terrace length, the surface diffusivity, the SiC molar

density, the surface site density and the film growth rate, respectively. To

obtain a good quality epitaxial film the ratio D/ G between the two

characteristic times has to be much lower than unity [47,48]. In these

conditions an adatom can be inserted in a kink before the supply of a new one

from the deposition reactions. Thus, at the high temperatures typical for the

SiC growth, the slower moving atom on the surface substantially controls the

morphology, while the matter supply to the surface is substantially controlled

by the transport of precursors from the gas phase (i.e., G kC/ , being k and C

the mass transport coefficient and the gas phase main precursor

concentration, respectively).

Although the growth process is at high temperature (T=1550-1650°C)

the surface diffusivity of silicon and carbon atoms is very different due to the

difference in the bonding energies. In fact, a rough estimation of the surface

diffusivity lead to Ds= a02e

-Ed/RT, being , a0 and Ed the lattice vibration

frequency, the lattice parameter and the diffusion activation energy. Roughly,

this last value approaches half of the bond strength (i.e., Ed=0.5Eb). For SiC,

the corresponding values are 83, 54 and 72kcal/mol for C-C, Si-Si and Si-C,

respectively. Thus it is evident that carbon adatoms are the less mobile

species on the surface, and the ratio between the surface diffusivities of

carbon and silicon approaches 0.02 at 1650°C, being 670 ns and 15 ns the

two diffusion characteristic times for carbon and silicon, respectively.

Accordingly, in the following the carbon adatom diffusivity will be

considered as the reference value.

When chlorine containing precursors are added to the inlet mixture (e.g.,

HCl, SiHCl3, ….) the first result is the conversion of vapour Si to SiHxCly

stable species and thus avoiding the homogeneous nucleation. Furthermore,

higher Si/H2 inlet ratios can be safely reached with the immediate result of a

significant growth rate increase. However, in terms of surface morphology, if

the C/Si ratio is kept constant, it correspond to a crystal quality decrement

because the D/ G increases. To assure again mirror like surfaces, it is

necessary to reduce the amount of carbon on the surface by a reduction of the

C/Si ratio or an increase of the Cl/Si ratio. In practice, the process design can

be performed by searching the higher growth rate allowed while maintaining

the D/ G ratio well below unity (i.e., about 0.01).

Page 12: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 38

A similar behaviour has been also observed in a previous paper where

SiCl4 and CH3Cl were used as precursors for an epitaxial process at low

temperature [49]. Also in this work it is necessary to reduce the C/Si ratio

increasing the growth rate to avoid the formation of polycrystalline 4H-SiC.

Doping

The purity of the material is extremely high and increasing the C/Si ratio

from 0.7 to 0.8 a value of 5×1013

donors/cm3 or less can be obtained under

standard process conditions using TCS [22] at a growth rate of 60 m/h (Fig.

6). Increasing even more this ratio, good surface morphology can be obtained

with a residual doping concentration lower than the minimum value

measurable with the C-V mercury probe technique. In the same plot different

data obtained with different precursors and growth rate are reported.

H. Pedersen et al. [35] show a similar behavior using TMS with a Cl/Si ratio

between 2.7 and 2.4 and a growth rate between 85 and 95 μm/h. Also in the

case of dichlorosilane (DCS) [50] the behavior is similar with a growth rate

of the same order of magnitude. The data reported by Myers et al. [33], using

SiH4 and HCl with a growth rate of about 30 m/h, show a similar slope but

higher values probably due to an higher nitrogen contamination of the

reaction chamber.

Figure 6. The intrinsic doping decreases linearly with the C/Si ratio. Different process

reported in the literature are shown.

Page 13: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 39

The importance of the growth rate on the net doping concentration is

evident from the data of Hori et al. [17] that are reported in the same plot.

From these data, it can be observed that, increasing the growth rate, the net

doping concentration, for a fixed C/Si ratio, decreases even for a standard

process with SiH4- C3H8 at low pressure. H. Pedersen et al. [35] have also

observed a similar behavior using MTS.

Then from these results it appears that decreasing the nitrogen

contamination level and with a high growth rate process it is possible to reach

an intrinsic doping level of the order of 1013

/cm3 or lower. This low residual

doping concentration offers the opportunity of controlling the doping to a

very low amount (in the range of 1014

/cm3) with good uniformity ( <9%).

This property is extremely important for the realization of very high

breakdown voltage devices that need very thick epitaxial layers with a low

doping concentration and a good uniformity.

The dependence of the doping concentration measured by the C-V

method on the C/Si ratio for a fixed doping precursor flux for both n and p

type doping is reported in a previous paper [22]. In this experiment the

growth rate was fixed to 12 m/h and the C/Si ratio to 0.48 (p-type) and 0.75

(n-type). A linear trend has been observed in the range between 1016

and

1018

/cm3 for both types of doping. The doping behaviour is similar to the

usual process with silane but the doping precursor flux are different

essentially because a lower C/Si ratio is used in this process with respect to

the silane process.

The doping concentration for a fixed value of doping precursor flux

depends strongly on the C/Si ratio because of the competitive mechanism

between the n type doping and carbon vacancies and p type doping and

silicon vacancies. In particular it can be observed that for a fixed

trimethilaluminum (TMA) flux of 0.077 sccm an increase in the Al doping

concentration of more than a factor of 2 can be obtained with a variation of

the C/Si ratio between 0.4 and 0.5. In the same way a reduction of almost an

order of magnitude in the n type doping concentration is observed, for a fixed

nitrogen flux of 1 slm, when the C/Si ratio is increased between 0.6 and 0.75.

Then for the same doping flux a higher concentration is obtained for the n-

type doping and a lower concentration for the p-type doping.

The same kind of dependence is shown also in the papers of H. Pedersen

et al. [51,52] where a similar study for the SiH4+HCl process has been

conducted for both n and p-type doping. In this study several different

process parameters (growth temperature, growth rate, C/Si, Cl/Si, …) have

been changed to study the dependence of the doping on these parameters. The

authors found that the only process parameters that have a large influence on

the doping concentration are the C/Si ratio and the Cl/Si ratio.

Page 14: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 40

Growth rate and defects

The growth rate can have a large influence on the defects formation or

annihilation. For several-kilovolts application, bipolar devices are superior to

unipolar devices in terms of lower on-resistance owing to the effect of

conductivity modulation. To realize high voltage (4.5 kV) SiC devices, thick

(>40 m) and high quality epilayers are necessary.

In recent years, growth of 4H-SiC at rates higher than 50 m/h has been

investigated by several groups [18,33] in order to meet the requirements

mentioned above. In these reports, however, epilayers are mainly

characterized in terms of surface morphology, and systematic data on

characterization such as doping, deep levels, and structural defects in

epilayers grown at high growth rate are very limited at present. It has not yet

been clarified whether epilayers grown at such a high growth rate are really

„„device quality‟‟ or not. In literature, the quality of SiC epilayers grown at

high growth rate has been inferior to that of standard epilayers, especially

with respect to basal-plane dislocation (BPD) density [53] and deep trap

concentration [54,55,56]. It has been reported that most BPDs in the

substrates transform into threading edge dislocations (TEDs) near the

substrate/epilayer interface [57].

The growth rate dependence of the ratio of BPD density in epilayers to

that in substrates is plotted in Fig. 7. The typical BPD density in substrates

was 1–2×104 cm

-2. Since a clear decrease in BPD density was also observed

with increasing the growth rate, both in a standard silane process at low

pressure [17] or using TCS as silicon precursor [58], the growth rate should

be the main factor in the BPD reduction. It has been suggested that the BPD–

TED conversion is enhanced when two partial dislocations, which are formed

through dissociation of an initial BPD [59], meet and are combined into one

perfect BPD. A similar phenomenon may take place in fast epitaxial growth.

This reduction of BPD produces also a low density of Single Shockley

Fault (SSF), as reported in a previous paper of Canino et al. [58], that are the

main defects that limit the commercialization of efficient and stable bipolar

devices [60]. Generally SFs lead to a reduction of carriers life-time and an

increase of the leakage current. In particular the Single Shockley fault (SSF)

is considered the responsible of progressive degradation of electrical

properties of bipolar devices. In fact it is commonly known that a SSF can be

generated after the growth by the dissociation of a basal plane dislocation

(BPD) into two partial dislocations and it has been demonstrated that a high

e-h pairs density (due to current or due to high power optical pumping) can

supply the energy to open and to enlarge SSFs with a mechanism based on

the recombination enhanced dislocation glide (REDG) [61,62].

Page 15: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 41

Figure 7. BPD epilayer/BPD substrate vs. growth rate for two different process (low

pressure and TCS). A considerable decrease of the BPD density it is observed at high

growth rate.

The reduction of BPD and SSF is not the only effect of the growth rate

on the defects present in the epitaxial layer. This parameter has a large impact

also on the yield and the leakage current of the devices.

In Fig. 8 the yield and average leakage current (at a bias of -200 V) of

1 mm2 Schottky diodes with an epi-layer thickness in the range between 30-

40 microns and a doping concentration of 8×1014

/cm3 are shown. For this

type of device the “good” diode should have a leakage current at -200 V

lower than 10-7

A and a forward bias lower than 2 V for a fixed forward

current of 0.08 A. From this data an increase of the average yield from 87%

to 92% can be observed as the growth rate increases. At the same time, the

average leakage current over more than 400 diodes increased from 2×10-8

A

to 7×10-8

A. The increase in the device yield can be explained in the

following way. Increasing the growth rate we reach a condition where the

extended defect (BPD, stacking faults, comets, carrots, …) density decreases

producing an increase of the electrical yield of the diodes. The increase of the

growth rate produces, at the same time, an increase of the average leakage

current due to the increase of point defects (carbon vacancies or carbon-

silicon vacancies) responsible for the leakage current. In fact by increasing

the growth rate the probability of local step bunching increases and this

surface defect produces an increase in the point defects in the epitaxial layer.

Page 16: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 42

Figure 8. Yield and leakage current of Schottky diodes vs. growth rate. An increase

of the yield and of the leakage current is observed at high growth rate.

Monte Carlo simulations in a previous paper have explained this kind of

behavior [63]. In fact, increasing the growth rate there is a decrease of the

diffusion time on the steps and this phenomenon produce an increase of the

undulations of the steps and some region of a step can overlap on a previous

step (Local Step Bunching) forming some point defects in these regions.

Another aspect that has obtained a lot of attention from the researchers in

the last years is the surface quality of the epilayer and in particular the step

bunching formation. In fact it has been observed that the formation of step

bunching produces a decrease of the channel mobility in MOSFET devices

[64,65] and an increase of the leakage current of the Schottky diodes [66].

This phenomenon can be observed after the surface etching [67,68], the

annealing after the ion implantation of dopants, and after the epitaxial growth

[69,70,71,72]. Then a lot of work has been done from several authors to

understand the step bunching formation and to try to reduce this surface

defect. From these studies it has been observed that both the deposition

temperature [73,74], the etching process before the growth [75] and the C/Si

ratio [76] have a large influence on the surface roughness. Decreasing both

the growth temperature, optimizing the etching process and the C/Si ratio a

good surface morphology can be obtained with a low value of roughness, as

measured by Atomic Force Microscopy (AFM). In Fig. 9 it can be observed

that, starting from a standard process at high temperature (1700 °C) that

produce a low density of epi-stacking faults (Fig. 9a), the roughness is quite

Page 17: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 43

Figure 9. AFM images of the surface of the epitaxial layers grown with three

different processes: (a) high temperature process (1700 °C), (b) low temperature

process (1600 °C), (c) higher temperature (1670 °C) and high growth rate (60 m/h).

The average roughness decreases from 1.2 nm (a), to 0.27 nm (b) and finally to

0.15 nm (c). No macro-steps can be observed in the (c) process even in larger areas.

high (between 1.1 and 1.3 nm). Reducing the deposition temperature of about

100 °C (Fig. 9b) it is possible to observe a large reduction of the roughness

with values between 0.2 and 0.3 nm. This kind of process produces a lower

roughness but leaves some macro-steps that can have a large influence on the

electrical characteristics of the devices. Increasing the growth rate from

12 m/h to 60 m/h it is possible to observe (Fig. 9c) that the macro-steps

cannot be observed even on much larger areas and using a higher growth

temperature (1670 °C). This behavior can be explained in the following way:

the step-bunching has several origins, each one simultaneously affecting the

final morphology of the grown film. More specifically, it has been argued

that SB mechanisms can have geometrical, energetic and kinetic origins [77].

From experimental and theoretical consideration it is possible to confirm the

idea that, in hexagonal polytipes, the exposed steps can have different

reactivities (i.e. different lateral velocities). In addition to the geometrical

origin, which affects only the hexagonal polytypes in the <11–20> miscut

direction, SB can also originate from both energetic and kinetic mechanisms.

Indeed it has been demonstrated [78] that an asymmetry of the step

impinging coefficient (or, equivalently, of the energy barrier) of adatoms

arriving to the two sides of the steps (i.e. from the lower and upper terrace)

can induce an instability in the train of steps and, thus, produce step bunching.

This is the so-called Enrich–Schwoebel barrier (ESB). Unfortunately, the value

of the ES barrier for the case of Silicon Carbide is not known experimentally.

The final source of SB is kinetic and, more specifically, it can be

connected to the interaction of the train of steps with two-dimensional defects

on the terraces, either generated during the growth or originating from the

substrate. The atomistic simulations confirm this scenario [77], showing that,

Page 18: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 44

indeed, these defects reduce the local step velocity inducing step bunching

and, in the worse cases, leading micropipes and carrots.

The step-bunching is essentially a microscopic phenomenon. Instead by

Atomic Force Microscope images, like those ones reported in Fig. 9, we

observe a larger undulation of the surface that are called “nano-faceting”.

This roughening of the surface has been observed in previous papers both on

4H and 6H-SiC and it has been explained as a consequence of a

minimization of the surface energy of the silicon carbide [79,80]. Then, to try

to reduce this phenomenon, it is obvious that it is necessary to growth out of

equilibrium, i.e. at lower temperatures or at high growth rate. The deposition

process at low temperature is difficult because, decreasing the temperature,

increases the concentration of epitaxial-stacking faults [81]. Then is better to

growth at high growth rate and higher temperature to have a low density of

epitaxial-stacking faults and a lower surface roughness at the same time.

Summary

In this chapter we have described the process with chloride precursors

and we have discussed in detail the main parameters (Si/H2, C/Si, Cl/Si,

growth rate) that influence the growth and the quality of the epitaxial layer.

We can summarize the results obtained in the literature in the following way:

The introduction of chloride precursors avoids the precipitation of silicon

in the gas phase and gives the opportunity of increasing the Si/H2 ratio

and then the growth rate;

The growth rate is not anymore limited from the precursors precipitation

but essentially from the surface diffusion and then it is difficult to reach

growth rate higher than 100 m/h at the usual temperature of the SiC

epitaxy (1550-1700 °C) with a good quality of the epitaxial layer;

Cl/Si ratio higher than 2 should be used to obtain a good surface

morphology and a low density of point defects;

Increasing the growth rate it is necessary to decrease the C/Si ratio to

obtain a good surface morphology and a good quality of the epitaxial

layer;

This process presents the usual behavior with respect to the doping and it

has the advantage that a lower intrinsic doping concentration can be

reached at high growth rate for the same C/Si ratio;

The high growth rate of this epitaxial process can reduce the BPD and

the stacking faults in the epitaxial layer and can also improve the quality

of the epitaxial surface reducing the step-bunching phenomenon.

Page 19: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 45

Then the introduction of chloride precursors in the epitaxy process has

several advantages and gives a very versatile process that gives the

opportunity of improving the quality of the epitaxial layer and to decrease, at

the same time, the cost of the process. This last observation is especially true

in the case of thick epitaxial layers that are fundamental for the realization of

new high power devices with a breakdown voltage larger than 10KV and that

can be used for the realization of a solid-state transformer. This device will

be the fundamental part of the new power grid with renewable energy

resource.

Acknowledgements

I must express my sincere thanks to my colleagues at IMM-SiCiLab(M.

Camarda, A. Severino, A. Canino, N. Piluso, R. Anzalone) for all the daily

discussions useful in stimulating new ideas. I must thank Prof. G. Foti, Prof.

S.E. Saddow, Prof. M. Masi, and Dott. A. La Magna for their valuable

comments and suggestions, Dott. M. Camarda for Monte Carlo simulations,

Dott. M. Mauceri and all the ETC staff for the epitaxial growth, S. Di Franco

for diodes realization, Dott. P. Fiorenza and Dott. F. Giannazzo for AFM

measurements, Prof. L. Calcagno for DLTS measurements. This work is

partially supported from the Public-Private Laboratory Project DM23176

(art.12 08/08/2000) financed from the Ministry of University and Research of

Italy and from the PIA-INNOVATION project E01/0615/2 financed from the

Ministry of University and Research and from the Ministry of Production

Activity of Italy.

References

1. N. Karoda, K. Shibahara, W.S. Yoo, S. Nishino, H. Mastunami, Extended

Abstract, 19th Conference on Solid State Devices and Materials, Tokio, 1987,

227.

2. R.F Davis, G. Kelner, M. Shur, J.W. Palmour, J.A. Edmond, Proc. IEEE 79, 677

(1991).

3. T. Kimoto, A. Itho, H. Matsunami, Phys. Status Solidi B 202, 247 (1997).

4. A.A. Burk, L. B. Rowland, Phys. Staus Solidi, 202, 263 (1997).

5. A.A. Burk, Chem. VaporDeposition 12, 465 (2006).

6. Ryu SH, Krishnaswami S, O'Loughlin M, Richmond J, Agarwal A, Palmour J,

Hefner AR IEEE ELECTRON DEVICE LETTERS, 25(8), 556 (2004).

7. Zhao JH, Alexandrov P, Zhang JH, Li XQ, IEEE ELECTRON DEVICE

LETTERS, 25 (7), 474(2004).

8. Das MK, Sumakeris JJ, Hull BA, Richmond J, Krishnaswami S, Powell AR,

MATERIALS SCIENCE FORUM, 483, 965(2005).

Page 20: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 46

9. Zhao JH, Alexandrov P, Li X, IEEE ELECTRON DEVICE LETTERS, 24(6),

402(2003).

10. J. A. Cooper, and A. Agarwal, Proceedings of the IEEE, 90(6), 956 (2002).

11. A. Q. Huang, M. L. Crow, G. T. Heydt, Life, J. P. Zheng, and S. J. Dale,

Proceedings of the IEEE 99(1), 133 (2011).

12. H. Jacobson, J.P. Bergman, C. Hallin, E. Janze´ n, T. Tuomi,H. Lendenmann, J.

Appl. Phys. 95, 1485 (2004).

13. L. Storasta, J.P. Bergman, C. Hallin, E. Janze´ n, Mater. Sci. Forum 389–393,

549 (2002).

14. T. Tawara, H. Tsuchida, S. Izumi, I. Kamata, K. Izumi, Mater. Sci. Forum 457–

460, 565 (2004).

15. A. Ellison, J. Zhang, J. Peterson, A. Henry, Q. Wahab, J.P. Bergman, Y.N.

Makarov, A. Vorob‟ev, A. Vehanen, E. Janzén, Materials Science and

Engineering B61-62, 113 (1999).

16. M. Syväjärvi, R. Yakimova, P.-A. Glans, A. Henry, M.F. MacMillan, L.I.

Johansson, E. Janzén, Journal of Crystal Growth 198/199, 1019(1999).

17. T. Hori, K. Danno, T. Kimoto, Journal of Crystal Growth 306, 297 (2007).

18. D. Crippa, G.L. Valente, A. Ruggiero, L. Neri, R. Reitano, L. Calcagno, G. Foti,

M. Mauceri, S. Leone, G. Pistone, G. Abbondanza, G. Abagnale, A. Veneroni, F.

Omarini, L. Zamolo, M. Masi, F. Roccaforte, F. Giannazzo, S. Di Franco and F.

La Via, Mat. Sci. Forum, 483-485, 67 (2005).

19. F. La Via, G. Galvagno, G. Foti, M. Mauceri, S. Leone, G. Pistone, G.

Abbondanza, A. Veneroni, M. Masi, G. L. Valente, and D. Crippa, Chem. Vap.

Deposition 12, 509 (2006).

20. F. La Via, Compound Semiconductor, 20, April (2006).

21. S. Leone, M. Mauceri, G. Pistone, G. Abbondanza, F. Portuese, G. Abagnale,

G.L. Valente, D. Crippa, M. Barbera, R. Reitano, G. Foti, and F. La Via,

Materials Science Forum, 527-529, 179 (2006).

22. F. La Via, G. Izzo, M. Mauceri, G. Pistone, G. Condorelli, L. Perdicaro, G.

Abbondanza, L. Calcagno, G.Foti, D.Crippa, J. of Cryst.Growth 311, 107 (2008)

23. F. La Via, G. Izzo, M. Camarda, G. Abbondanza, and D. Crippa, Materials

Science Forum, 615-617 55 (2009).

24. A. Veneroni, M. Masi, ChemicalVapourDeposition, 12(8-9), 562 (2006).

25. F. La Via, G. Galvagno, A. Firrincieli, F. Roccaforte, S. Di Franco, A. Ruggiero,

M. Barbera, R. Reitano, P. Musumeci, L. Calcagno, G. Foti, M. Mauceri, S.

Leone,G. Pistone, F. Portuese, G. Abbondanza, G. Abagnale, G.L. Valente, and

D. Crippa, Materials Science Forum Vols. 527-529, 163 (2006).

26. F. La Via, S. Leone, M. Mauceri, G. Pistone, G. Condorelli, G. Abbondanza, F.

Portuese, G. Galvagno, S. Di Franco, L. Calcagno, G. Foti, G.L. Valente and D.

Crippa, Materials Science Forum Vols. 556-557, 157 (2007).

27. L. Calcagno, G. Izzo, G. Litrico, G. Foti, F. La Via, G. Galvagno, M. Mauceri

and S. Leone, J. of Appl. Phys. 102, 043523 (2007).

28. Peng Lu, J.H. Edgar, O.J. Glembocki, P.B. Klein, E.R. Glaser, J. Perrin, J.

Chaudhuri, Journal of Crystal Growth 285, 506 (2005).

Page 21: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 47

29. H. Pedersen, S. Leone, A. Henry, V. Darakchieva, E. Janzén, Surface & Coatings

Technology 201, 8931 (2007).

30. M. Camarda, A. La Magna, F. La Via, Journal of ComputationalPhysics 227,

1075 (2007).

31. M. Camarda_, A. La Magna, P. Fiorenza, F. Giannazzo, F. La Via, J. of Crystal

Growth 310, 971 (2008).

32. M. Camarda, A. La Magna, P. Fiorenza, G. Izzo, F. La Via, Materials Science

Forum Vols. 600-603, 135 (2009).

33. R.L. Meyers, Y. Shishkin, O. Kordina, S.E. Saddow. Journal of Crystal Growth

285, 486 (2005).

34. T. Hori, K. Danno, T. Kimoto, Journal of Crystal Growth, 306, 297 (2007).

35. H. Pedersen, S. Leone, A. Henry, F.C. Beyer, V. Darakchieva, E. Janzén, Journal

of Crystal Growth 307, 334 (2007).

36. A.Veneroni, F. Omarini, D. Moscatelli, M. Masi, S. Leone, M. Mauceri, G.

Pistone and G. Abbondanza, J. Crystal Growth, 2005, 275, e295.

37. G. Valente, C. Cavallotti, M. Masi, S. Carrà, J. Crystal Growth,2001, 230, 247.

38. C. Cavalotti and M. Masi, Silicon Epitaxy, (Semiconductors and Semimetals,

Academic Press, San Diego, 2001), cap.2, 51.

39. S. Leone, H. Pedersen, F.C. Beyer, S. Anderson, O. Kordina, A. Henry, A.

Canino, F. La Via, E. Janzén, Material Science Forum (2012) in press.

40. S. Leone, H. Pedersen, A. Henry, O. Kordina, E. Jenzén, J. Cryst. Growth, 312,

24 (2009).

41. S. Leone, F. Beyer, A. Henry, C. Hemmingsson, O. Kordina, E. Jenzén, Cryst.

GrowthDes. 10, 3743 (2010).

42. J.Zhang, L.Storasta, J.Bergman, N.T.Son and E.Jansen, J.Appl.Phys, 93, 4708

(2003).

43. K. Danno, T. Kimoto, H. Matsunami, Appl. Phys. Lett. 86, 122104 (2005).

44. A. Pimpinelli, I. Villain, Physics of Crystal Growth, Cambridge (1998).

45. Storasta, J. P. Bergman, E. Janzén, A. Henry, J. Lu, J. Appl. Phys. 96, 4909

(2004).

46. M. Camarda, A. La Magna, P. Fiorenza, F. Giannazzo, F. La Via, J. of Crystal

Growth 310(5), 971 (2008).

47. G. Valente, C. Cavallotti, M. Masi, S. Carrà, J. Crystal Growth, 230, 247 (2001).

48. A. Pimpinelli, I. Villain, Physics of Crystal Growth, Cambridge 1998.

49. S. Kotamraju, B. Krishnan, G. Melnychuk, Y.Koshka, Journal of Crystal Growth

312, 645 (2010).

50. I. Chowdhury, M.V.S. Chandrasekhar, P. B. Klein, J. D. Caldwell, T.Sudarshan,

Journal of Crystal Growth 316, 60 (2011).

51. H. Pedersen,F.C.Beyer,J.Hassan,A.Henry, E.Janzén, Journal of Crystal Growth

311, 1321 (2009).

52. H.Pedersen, F.C. Beyer, A. Henry, E. Janzén, Journal of Crystal Growth 311,

3364 (2009).

53. T.Ohno, H. Yamaguchi, S. Kuroda, K. Kojima, T. Suzuki, K. Arai, J. Crystal

Growth 271, 1 (2004).

Page 22: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

F. La Via 48

54. A. Ellison, J. Zhang, J. Peterson, A. Henry, Q. Wahab, J.P. Bergman, Y.N.

Makarov, A. Vorob‟ev, A. Vehanen, E. Janze´n, Mater. Sci. Eng. B 61/62, 113

(1999).

55. H.Tsuchida, I. Kamata, T. Jikimoto, K. Izumi, J. Crystal Growth 237-239, 1206

(2002).

56. H. Fujiwara, K. Danno, T. Kimoto, T. Tojo, H. Matsunami, J. Crystal Growth

281, 370 (2005).

57. S. Ha, P. Mieszkowski, M. Skowronski, L.B. Rowland, J. Crystal Growth 244,

257 (2002).

58. A. Canino, M. Camarda, F. La Via, Mat. Sci. Forum, 679-680, 67 (2011).

59. Z. Zhang, E. Moulton, T.S. Sudarshan, Appl. Phys. Lett. 89,081910 (2006).

60. M. Skowronski and S. Ha, J. Appl. Phys., 99, 011101 (2006).

61. T. Miyanegi, H. Tsuchida, I. Kamata, T. Nakamura,, K. Nakayama, R. Ishii and

Y. Sugawara,Appl. Phys. Lett. 89, 062104(2006).

62. A. Galeckas, J. Linros and P. Pirouz,_Phys. RevLett. Vol .96, 025502(2006).

63. M. Camarda, A. La Magna, P. Fiorenza, G. Izzo, F. La Via, Mater. Sci. Forum

600-603, 135 (2009).

64. K. K. Lee, T. Ohsima, A. Ohi, H. Itoh and G. Pensl, Japanese Journal of Applied

Physics, 45, 9A, 6830 (2006).

65. A.K.Agarwal, S. Seshadri, J.B. Casady, S.S. Mani, M.F. MacMillan, Nelson

Saks, A.A. Burk, Jr., G. Augustine, V. Balakrishna, P.A. Sanger, C.D. Brandt, R.

Rodrigues, Diamond and RelatedMaterials 8, 295 (1999).

66. K.Ohtsuka_, Y. Matsuno, K. Kuroda, H. Sugimoto, Y. Tarui, M. Imaizumi, T.

Takami, Physica B 376-377, 370 (2006).

67. A. Nakajima,H.Yokoya, Y. Furukawa, and H. Yonezua, J. of Appl. Phys. 97,

104919 (2005).

68. J. Suda and T.Kimoto, AppliedPhysics Express 2, 101603 (2009).

69. S. Nakamura, T.Kimoto, H.Matsunami, S.Tanaka, N. Teraguchi and A. Suzuki,

Appl. Phys. Lett., 76(23), 3412 (2000).

70. W. Chena_ and M. A. Capano, J. of Appl. Phys. 98, 114907 (2005).

71. T.Kimoto, A. Itoh, H.Matsunami, and TetsuyukiOkano, J. Appl. Phys. 81 (8),

3494 (1997).

72. M. Syvajarvi, R. Yakimova, E.Janzén, J. of Crystal Growth 236, 297 (2002).

73. S. Leone, H.Pedersen, A.Henry, O.Kordina, E.Janzén, Journal of Crystal Growth

311, 3265 (2009).

74. S. Leone, A. Henry, S. Andersson, O.Kordina, and E. Janzén, Journal of The

Electrochemical Society, 157 (10) H969 (2010).

75. J.Hassan, J.P. Bergman, A. Henry, E. Janzén, J. of Crystal Growth 310, 4430

(2008).

76. K. Wada, T.Kimoto, K.Nishikawa, H.Matsunami, Journal of Crystal Growth 291,

370 (2006).

77. M. Camarda, A. La Magna, A. Severino, F. La Via, Thin Solid Films 518, S159

(2010).

78. R.L.Schwoebel, J. Appl. Phys. 40, 614(1969).

Page 23: Silicon Carbide Epitaxy, 2012: 27-49 ISBN: 978-81-308 …trnres.com/ebook/uploads/laviacontent/T_13745612762 La Via.pdf · Silicon Carbide Epitaxy, 2012: 27-49 ISBN: ... (such as

Fast growth rate epitaxy by chloride precursors 49

79. H.Nakagawa, S. Tanaka, and I.Suemune, PhysicsReviewLetters 91(22), 226107-

1 (2003).

80. M.Fujiiand S.Tanaka, PhysicsReviewLetters99, 016102 (2007).

81. A. Shrivastava, P. Muzykov, J.D.Caldwell, T.S. Sudarshan, Journal of Crystal

Growth 310 4443(2008).