sputtering materials for vlsi and thin film devices || performance of sputtering targets and...

25
CHAPTER 3 Performance of Sputtering Targets and Productivity 3.1 Introduction In Chapter 1 we identified and categorized various sputtering targets and the sputtering chambers (tools). In this chapter we will take into account target design and also metallurgical factors that control the performance of sputtering targets and productivity. The term performance of a sputter- ing target is used here to describe the ability of a sputtering target to deposit film with desired prop- erties in a consistent manner under a given set of process parameters, and the term productivity refers to the number of processed wafers with desired film properties. This chapter only provides an overview of the subject and the examples presented primarily come from sputtering targets used in the semiconductor industry. Each topic discussed in this chapter is treated again in greater detail in subsequent chapters. It is worth noting that rapidly changing semiconductor technology requires new process devel- opment for advanced applications. As a result, sputtering targets of desired configurations and prop- erties are developed in consultation with OEMs or end users. For example, in early 2000 the use of 300 mm wafers increased steadily and this required rapid growth in sputtering chamber develop- ment and sputtering target design for specific applications. In May 2001, Intel Corporation opened the world’s first 300 mm wafer development fab (D1C) at Hillsboro, Oregon. Applied Materials Inc. (AMAT), being one of the largest OEMs that developed Endura SIP EnCoRe sputtering cham- bers and process equipments for 300 mm wafers, had to move fast to catch up with the demand of the market [1]. As a result the sputtering target manufacturing industry had to focus on the develop- ment of targets for 300 mm wafers for potential business opportunities. Hence, a cycle of research and development in the area of sputtering chambers and targets took place and was driven by the rapidly developing market and changing semiconductor technology (sub-90 nm node). The primary requirements for these sputtering targets were smaller grain size, well-controlled crystallographic texture in the plane and also in the through-thickness direction, smoother sputter surface finish, lon- ger life and in some cases reduced burn-in time [26]. The performance of sputtering targets usually depends on the following factors when sputtering chamber operation and maintenance schedules pose no problems: Chemical characteristics of the sputtering material (purity and composition) Metallurgical characteristics of the sputter material (e.g., grain size, second phases, defects such as porosity and inclusion, crystallographic texture and their distribution in the plane and along the thickness of the target) and the interfaces with the backing plate (e.g., bond coverage at the target backing plate interface) 171 J. Sarkar: Sputtering Materials for VLSI and Thin Film Devices. DOI: http://dx.doi.org/10.1016/B978-0-8155-1593-7.00003-5 © 2014 Elsevier Inc. All rights reserved.

Upload: jaydeep

Post on 21-Dec-2016

219 views

Category:

Documents


6 download

TRANSCRIPT

Page 1: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

CHAPTER

3Performance of Sputtering Targetsand Productivity

3.1 IntroductionIn Chapter 1 we identified and categorized various sputtering targets and the sputtering chambers

(tools). In this chapter we will take into account target design and also metallurgical factors that

control the performance of sputtering targets and productivity. The term performance of a sputter-

ing target is used here to describe the ability of a sputtering target to deposit film with desired prop-

erties in a consistent manner under a given set of process parameters, and the term productivity

refers to the number of processed wafers with desired film properties. This chapter only provides

an overview of the subject and the examples presented primarily come from sputtering targets used

in the semiconductor industry. Each topic discussed in this chapter is treated again in greater detail

in subsequent chapters.

It is worth noting that rapidly changing semiconductor technology requires new process devel-

opment for advanced applications. As a result, sputtering targets of desired configurations and prop-

erties are developed in consultation with OEMs or end users. For example, in early 2000 the use of

300 mm wafers increased steadily and this required rapid growth in sputtering chamber develop-

ment and sputtering target design for specific applications. In May 2001, Intel Corporation opened

the world’s first 300 mm wafer development fab (D1C) at Hillsboro, Oregon. Applied Materials

Inc. (AMAT), being one of the largest OEMs that developed Endura SIP EnCoRe sputtering cham-

bers and process equipments for 300 mm wafers, had to move fast to catch up with the demand of

the market [1]. As a result the sputtering target manufacturing industry had to focus on the develop-

ment of targets for 300 mm wafers for potential business opportunities. Hence, a cycle of research

and development in the area of sputtering chambers and targets took place and was driven by the

rapidly developing market and changing semiconductor technology (sub-90 nm node). The primary

requirements for these sputtering targets were smaller grain size, well-controlled crystallographic

texture in the plane and also in the through-thickness direction, smoother sputter surface finish, lon-

ger life and in some cases reduced burn-in time [2�6].

The performance of sputtering targets usually depends on the following factors when sputtering

chamber operation and maintenance schedules pose no problems:

• Chemical characteristics of the sputtering material (purity and composition)

• Metallurgical characteristics of the sputter material (e.g., grain size, second phases, defects such

as porosity and inclusion, crystallographic texture and their distribution in the plane and along

the thickness of the target) and the interfaces with the backing plate (e.g., bond coverage at the

target � backing plate interface)

171J. Sarkar: Sputtering Materials for VLSI and Thin Film Devices. DOI: http://dx.doi.org/10.1016/B978-0-8155-1593-7.00003-5

© 2014 Elsevier Inc. All rights reserved.

Page 2: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

• Design aspects of a target (e.g., flatness, roughness and cleanliness of the particle traps around

target periphery, thickness dependent magnetic leakage flux in ferromagnetic targets)

• Cleanliness of the sputter surfaces (contaminations such as oil, oxides, foreign particles)

• Burn-in requirement of a sputtering target (depends on the second and fourth items along with

process variables)

3.2 Target chemistryMost sputtering materials for semiconductor applications are high purity metals or alloys (see

Chapter 1). Some of these materials are sputtered in reactive mode in the presence of a specific gas

to form nitride, oxide, etc. For most semiconductor applications, purity of sputtering materials var-

ies between 99.99% and 99.9999%. Starting from raw materials, special attention is paid to control-

ling the limits of those impurity elements that have strong influences on sputtering performance

and film properties. In addition, most sputtering target manufacturers conduct their own chemical

analysis of incoming materials to check the chemistry of the procured materials.

Typically, inductively coupled plasma (ICP) and glow discharge mass spectroscopy (GDMS) are

used to determine material composition and the impurity levels, respectively. The combustion analysis

technique LECO is used for determining concentrations of lighter elements such as carbon, oxygen,

hydrogen and sulfur. Level of impurities for various elements are generally specified in parts per mil-

lion (ppm, by wt%) and parts per billion (ppb, by wt%). For example, high oxygen content of titanium

is one of the reasons for greater in-film particles and as a result process yield may drop significantly.

Hence, lower oxygen content favors reduced in-film particles in non-reactive sputtering. Less than

425 ppm (by wt%) oxygen in titanium is required for many semiconductor applications. Similarly, con-

trol of other impurity elements (e.g., iron, silicon, nitrogen) in titanium is also important.

The same approach applies to alloys, i.e., controlling composition is important and control limits

are set for alloying elements as well as for impurity elements. For example, an Al-0.5 wt% copper alloy

may show a composition variation between 1.48 and 1.52 wt%. On the other hand, an impurity element

such as oxygen would required to be below 20 ppm (by wt%) or so for most interconnect applications.

Various other specification limits will also apply to impurity elements. In most cases, controlling com-

position and impurity is not a challenging task unless it is a powder metallurgy product. Powder metal-

lurgy processes are vulnerable to contamination and often sources of contamination are unclean

container and grinding media used in powder metallurgy. Composition of a powder metallurgy product

may also vary locally because of the inadequate mixing of powders and consequently incomplete

chemical reactions between the elements. This topic of powder metallurgy and some of the powder

metallurgy products are discussed in Chapter 4 and subsequent chapters.

3.3 Target metallurgyTypically, sputtering targets are fabricated using metallurgical methods such as casting and powder

metallurgy methods. The majority of cast materials are subjected to thermo-mechanical processing

(e.g., rolling, forging, extrusion, heat treatment; see Chapter 4 for more details) to improve

172 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 3: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

homogeneity of the material and to impart desired shapes, with the exception of brittle materials.

Similarly, various powder metallurgy processed materials are also subjected to the thermomechanical

processing with the exception of the brittle materials (e.g., tungsten, tungsten�titanium alloys, ruthe-

nium and its alloys, oxides). At all levels of fabrication, sputtering materials retain certain metallurgi-

cal features. These are grains, second-phase precipitates, inclusions and porosity (see Chapter 4). The

shape, size and distribution of these constituents in sputtering materials can be examined in a suitably

prepared surface. The methods of sample preparation using various polishing techniques and material

characterization using microscopes are called metallography techniques. The resulting magnified

image is called the microstructure, which is the fingerprint of the material.

Figure 3.1 shows the microstructures of a high purity titanium sputtering target for diffusion

barrier application and an aluminum�neodymium sputtering target for flat panel display applica-

tion [7,8]. Grains are small crystalline mass bounded by three-dimensional surfaces. Titanium

being a high purity material, the microstructure shows only grains, while the alloy microstructure

shows Al11Nd3 second-phase particles embedded in aluminum alloy matrix. In other sputtering

materials the second phase may be found in the form of interconnected network or isolated precipi-

tates. Shape and size of phases can vary significantly from one alloy system to another. Foreign

materials and oxides in the form of particles often appear as inclusions. In most cases, inclusions

are the result of poor casting or powder metallurgy practice. Porosity is another constituent of the

microstructure that is often seen in powder-processed materials and also poorly fabricated cast

materials. Porosities are empty spaces that result from residual voids in an inadequately pressed bil-

let or from entrapped gases. Refer to Chapter 4 for more details on the fabrication of sputtering

materials.

3.3.1 Grain size inhomogeneity and banding of grainsA large variety of sputtering targets are made from wrought metal or alloy billets of varying size

and shapes. Typically, sputtering target manufacturers purchase starting billets from suppliers of

50 μm

(a) (b)

FIGURE 3.1

Optical micrographs of recrystallized (a) high purity α-titanium target and (b) aluminum -2 at% neodymium

alloy target. These two targets are examples of single-phase metallic and multiphase alloy targets used in the

semiconductor and flat panel display industries [7,8].

1733.3 Target metallurgy

Page 4: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

high purity materials. In most cases, these as-received billets are further processed using metallurgi-

cal methods (hot or cold) such as forging, pressing, rolling and heat treatment cycles. Figure 3.2

shows the microstructures of a large grain titanium starting billet and the finished titanium target

ready for sputtering [7,9]. This is an example how grain refinement in titanium is achieved by

means of various metallurgical processes. In most semiconductor applications, fine grain size sput-

tering targets are preferred over coarse grain counterparts. Film uniformity has also been found to

improve in smaller grain size aluminum�copper (Al-0.5 wt% Cu) alloy targets. Figure 3.3 shows

grain-size dependence of the film Rs uniformity for Al-0.5 wt% Cu target sputtered in a given set

of conditions [10]. For a given sputtering chamber and recipe, fine-grain sputtering targets showed

improvement in the deposition rate as compared to coarse grain counterparts.

Conventionally processed high purity metals often show variation in grain size in the plane of

the sputter face as well as in the through-thickness direction. Though grain size can be controlled

by choosing appropriate metallurgical processing conditions, the primary reason for developing

grain structure inhomogeneity in high purity metals is the lack of enough solute atoms and fine

second-phase particles that can prevent grain growth. Typically, second-phase containing alloys

show better grain size homogeneity than high purity pure metals. Depending on the metal-forming

Example of fine microstructure

10 mm

Example of starting billet

50 μm

FIGURE 3.2

Optical micrographs showing starting α-titanium billet that offers fine grain titanium, as shown in Figure 3.1

(a), after suitable thermomechanical processing and recrystallization heat treatment [7,9]. This presents

evidence of grain refinement in titanium.

174 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 5: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

steps (rolling, sheet or spin forming), grain size can be significantly different in different parts of a

target. For example, the material at the corners of a hollow cathode magnetron target may develop

significantly different elongated grain structure as compared to the walls. This is related to the

shape-dependent non-uniform deformation of the material that leads to relatively large strain con-

centration in the vicinity of the dome corners than at the walls. Regions of a semi-finished blank

with varying strains respond differently to a metallurgical heat treatment and, as a result, micro-

structure at the dome corner appears different than at the walls. Flat sputtering targets can also

exhibit variation in grain size in the through-thickness direction. The state of deformation at half-

thickness can be very different than at the surface and may develop strain gradient in through-

thickness direction. Consequently, a heat-treated microstructure may show variation in grain size in

the through-thickness direction. High purity (99.999% and above) copper is a good example of

very distinct variation in grain size from the surface to center of the rolled and recrystallized sput-

tering target.

Banding is another form of inhomogeneity that is seen in some of the high purity metals. In a

banded microstructure, grains having similar crystallographic orientations try to align themselves in

a particular direction, generally in the direction of stretching. These bands appear in the sputter sur-

face after use and the pattern of banding can vary at different thickness levels because these bands

usually exist throughout the volume of the target. For example, banding of grains in an inade-

quately processed tantalum sputtering target is quite common. Figure 3.4 shows tantalum targets

with and without banding [11]. It is recognized that grain-size inhomogeneity and banding

800.5

1.0

1.5

2.0

2.5

Rs

unifo

rmity

(%

, 1σ)

100 120 140

Grain size (μm)

AI-0.5 wt% Cu alloy

FIGURE 3.3

Grain size dependence of Rs uniformity in case of Al-0.5 wt% Cu alloy sputtering target for a set of

undisclosed sputtering conditions [10].

1753.3 Target metallurgy

Page 6: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

adversely affect the sputtering performance and consequently affect film properties such as sheet

resistance and thickness uniformity. This is particularly true for tantalum sputtering targets and

advanced processes (e.g., sub 90 nm technology generation) where variation in the sheet resistance

requirement for the entire life of the target is extremely tight.

3.3.2 Second-phase particles, inclusions and porosityIn addition to the inhomogeneity caused by grain size and banding of the grains, other forms of

inhomogeneities are manifested in more complex alloys. These are caused by the presence of

second-phase particles, inclusions and porosity. Figure 3.5 shows the microstructure of a W-Ti

alloy that shows various phases and the porosity [12]. The phase diagram of an alloy will dictate

whether second-phase particles will be present at room temperature or not. The size, volume frac-

tion and the distribution of second-phase particles will determine the homogeneity of the material.

Inclusions and porosities are imperfections and cannot be predicted accurately unlike the evolution

of phases.

Various high purity metals such as aluminum, copper and tantalum suffer from the presence of

inclusions. Oxide film or scale pickup from the mold walls and free surfaces into the melt during

melting practice is one of the reasons for inclusion formation in aluminum (see Chapter 4). The

other example is the presence of magnesium-containing inclusions in tantalum. In this case, magne-

sium is used to reduce the oxygen content of tantalum but this process may leave magnesium-

containing inclusion in the tantalum. The size of the inclusion may vary from very small to fairly

large (tens of nm to several μm). The larger the size of inclusions, the greater the chance of produc-

ing electrical arcs during sputtering. Finer inclusions may form particles and raise particle counts in

the sputter-deposited films.

Porosity can develop because of various reasons, both in the powder metallurgy materials and

in cast materials. In the case of powder-processed material, porosity can develop because of inade-

quate sintering, incomplete inter-diffusion of materials and gas evolution. On the other hand, cast

materials can develop porosities because of gas entrapment in the cast ingot and failure to remove

(a) (b)

FIGURE 3.4

Macro-photographs showing sputtering targets (a) with banding and (b) without banding. Banding takes place

because of the alignment of (200) and (222) oriented grains [11].

176 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 7: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

such porosities in subsequent processing steps. Uniformly distributed fine pores are less harmful

than isolated large pores. Therefore, it is important that precautions are taken to minimize forma-

tion of inclusions and porosities during fabrication of sputtering materials. It should be emphasized

that coarse phases, say intermetallic compounds, with low conductivity and high melting point may

also cause the arcing problem. Hence, finer phases and their uniform distribution in sputtering tar-

get are desired.

3.3.3 Preferred orientation of grainsPreferred orientation, also known as crystallographic texture, means that a certain proportion of

grains in the material would crystallographically orient themselves within a narrower orientation

range than the neighboring grains. Ductile materials used in magnetron sputtering show some

degree of preferred orientation of grains. This is particularly true for material fabricated using cast-

ing followed by metal forming operations. Preferred orientation is less significant in brittle powder

metallurgy products which do not undergo metal forming operations during fabrication. However, a

ductile powder metallurgy product may show a significant amount of preferred orientations of

grains, e.g., tantalum. Preferred orientations are typically represented by the grain orientation ratios

or volume fractions of crystallographic texture components (which involves relatively more com-

plex calculations; see this book’s companion website) [13].

Grain orientation ratio defines the relative proportions of a particular grain orientation in rela-

tion to the total number of grains [4,5]. Therefore, the grain orientation ratio of a reflection (crystal-

lographic plane) is an estimate of the population of that particular reflection, which remains nearly

parallel to the sputter surface. Measurements are done at the sputter surface using x-rays, and grain

orientation ratios are expressed in percentage (%). Measuring the intensity of x-ray peak for a

FIGURE 3.5

A scanning electron micrograph showing various phases and their distribution in a W-Ti sputtering target [12].

1773.3 Target metallurgy

Page 8: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

particular reflection and dividing it by the relative intensity of the same peak measured on a ran-

domly orientated powder standard provides an estimate of grain orientation ratio. Finally, normali-

zation is done by dividing it with the sum of all grain orientation ratios.

Table 3.1 lists major reflections and the grain orientation ratios recorded in face centered cubic

(fcc) materials such as aluminum, aluminum�copper alloy and pure copper [4�6]. Similarly, body

centered cubic (bcc) and hexagonal closed pack (hcp) materials also show preferred orientation.

Reflections considered for bcc material are (110), (200), (211), (310) and (222), while reflections

used for hcp materials are (100), (002), (101), (102), (110), (103) and (112). It should be noted that

the conventional x-ray grain orientation ratio data or crystallographic texture volume fraction data

fail to reveal the distribution or arrangement of grains with preferred orientations. Therefore, if

there is an alignment of similarly oriented grains in the microstructure, it would not be possible to

visualize the banding in the microstructure from such data.

With the help of electron back scattered (EBSD) experiments and orientation imaging micros-

copy (OIM), it is possible to depict the microstructure with colors corresponding to particular orien-

tations. As a result banding of grains can be easily identified with color codes. Figure 3.6 shows an

EBSD image of a tantalum sputtering target that has a significant amount of banding because of

the preferred orientations of grains [2]. It is common to use selective reflections for x-ray crystallo-

graphic texture determination, which is a more complete description of the preferred orientations of

grains. The principles of crystallographic texture representation and measurements have been dis-

cussed on the book’s companion website.

Sputtering materials may also show gradient of crystallographic texture from the sub-surface to

the half thickness. In the literature, this is termed as through-thickness texture gradient. Figure 3.7

(p. 180) presents such an example in which variation of crystallographic texture from the sub-

surface to the center of a recrystallized tantalum plate is shown [2]. In this situation, thin film prop-

erties may start to deviate from the mean value, and some sputtering process related adjustment

will be required. Some varieties of tantalum targets with through-thickness texture gradient have

been found to show a gradual increase in sheet resistance with the same process conditions.

However, a clear quantitative relationship between the severity of texture gradient and the parame-

ter relating to the sputtering target performance is yet to be established.

The role of texture gradient on the performance of sputtering targets becomes more complex

when the sputter surface shows very different grain orientations because of a deformed layer that is

created during machining operations. Figure 3.8 (p. 180) shows a secondary electron micrograph

produced from a focused ion beam (FIB) experiment on machined tantalum sputter target [14].

Table 3.1 Reflections and Grain Orientation Ratios (%) Recorded in the fcc Sputtering

Materials [4�6]

Reflections Aluminum (Al) Al-0.5 wt% Cu Copper (Cu)

(111) 5.0�35.0 5.0�35.0 .15.0

(200) .35.0 .35.0 .15.0

(220) 5.0�35.0 5.0�35.0 .15.0

(311) 5.0�35.0 5.0�35.0 .15.0

178 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 9: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

This material has rather coarse grains, but in the vicinity of the surface these grains are fragmented

during machining operations. A layer of fine grains, as small as 150 to 200 nm, are seen at the top

surface supported by a layer with elongated grains of the order of a few tens of microns.

Underneath, above layer coarse grains with mottled contrasts, indicative of high dislocation density,

are seen. Therefore, the deformed layer is composed of three such distinctive layers and overall

depth is approximately 40 μm. From a preferred orientation point of view, this thickness of the

material has been found to retain very different grain orientations at the surface as compared to the

bulk of material.

Several chemical etch experiments have shown that the strength of (110), (211), (310) and

(222) reflections gets reduced and (200) reflection strengthens drastically with the removal of the

deformed layer. This provides evidence as to what are the preferred orientations that are developed

during final machining of tantalum sputtering targets. It should be noted that the depth of the

deformed layer will be controlled by the machining parameters. Specific applications may require

complete removal of the deformed layer from the sputter face, which is done by burn-in of the

sputtering target. Burn-in of the sputtering target is an essential step prior to the deposition of thin

films, which involves applying many cycles of power (kW) increment and cooling of the sputtering

target before attaining the desired sputter surface condition. The entire burn-in process for a target

can take several hours, which is the unproductive part of the sputtering process.

The dependence of sputtering performance on the grain orientations or texture lies in the fact

that grains with certain reflections have higher sputtering rates than others [15]. Hence we need

Ω = 265 mm–1

λ = 20.8 mm–1

Ω = 88.8 mm–1

λ = 5.16 mm–1

1200.0 μm = 60 steps IPF Map [010]

FIGURE 3.6

Electron back-scattered diffraction (EBSD) map from tantalum target that shows banding related to the

crystallographic texture [2].

1793.3 Target metallurgy

Page 10: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

Surface

ϕ1 = 90°ϕ1 = 45°ϕ1 = 0°

Quarter plane

Mid plane

FIGURE 3.7

Two-dimensional orientation distribution function sections measured at different depths in a 4 mm thick

annealed tantalum plate. Texture gradient in through-thickness direction ranges from (001) [110]. Texture at

the surface to (111) in the mid-plane of the tantalum plate [2].

FIGURE 3.8

An ion-induced secondary electron image of the sub-surface of a machined tantalum sputtering target

captured in focused ion beam (FIB) experiment [14]. Note development of ultra-fine grain structure in the

vicinity of the surface and larger grains in the interior of the target.

180 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 11: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

sputtering targets with random or suitable grain orientations to achieve desired deposition rate and

film properties. It is not uncommon to have high sheet resistance and inferior thickness uniformity

of the film because of the departure from the ideal grain orientation ratios. A number of modeling

studies have looked at the dependence of the deposition rate and bottom coverage on the crystallo-

graphic texture of the sputtering target [15�17].

Figure 3.9 shows the dependence of the bottom coverage on the titanium sputtering target crys-

tallographic orientation for a given set of sputtering conditions. A moderate improvement in bottom

coverage was recorded for (103) orientation as compared to the (002) orientation [16].

3.3.4 Sputter surface roughness and overall finishSputtering performance is also dependent on sputter surface characteristics such as roughness,

which often varies from the outer periphery to the center of a sputtering target. For most sputtering

targets, final sputter surface roughness specifications are set by the OEMs. Most common rough-

ness specification limits for a variety of materials is 32 μ inch (0.8 μm). Average roughness (Ra) is

defined as the arithmetic average height of roughness irregularities measured from a mean line

within the evaluation length (L). This is shown in Figure 3.10. Mechanical contact type surface pro-

filometers are popular for roughness measurements, and it should be noted that the average rough-

ness will vary based on the traverse length (say 2 mm, 3.5 mm and 5 mm) of the stylus. Other

roughness parameters such as Rmax and Rz can also be used for surface characterization. Rmax is the

largest of the five maximum peak-to-valley roughness depths, while Rz is the mean of the five max-

imum peak-to-valley roughness depths.

0.6

(103)

(002)0.5

0.4

0.3

0.2

0.1

0.01.0 2.0 3.0 4.0

Aspect ratio

Bot

tom

cov

erag

e (%

)

FIGURE 3.9

Dependence of bottom coverage on the crystallographic texture of titanium target for a given set of sputtering

conditions [16].

1813.3 Target metallurgy

Page 12: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

The surface roughness of most ductile materials is known to be functions of machining parameters

such as speed of cutting, depth of cut and the feed rate. Brittle materials such as tungsten-based alloys

are not easy to machine, and grinding of tungsten is the most common practice. Other aspects such as

stickiness of the material, the tendency of sticking to the cutting tool and lubrication would also influ-

ence the surface finish. For example, a much better surface finish can be obtained in aluminum than in

tantalum with conventional machining. Here a better surface finish term is used to describe the unifor-

mity of the surface appearance without the occurrence of any discrete surface defects.

A particular type of surface defect shown in Figure 3.11 is common in as-machined (without

polishing) tantalum, and the density of such defects increases towards the center of the target [18].

This has origin in the wearing of the machining insert as it moves from the periphery to the center

of the target. With increased machining time, the sharpness of the insert deteriorates because a

worn insert cannot be replaced during a machining operation. Hence, after machining operation,

manual or robotic polishing of the sputter surface with abrasive media is often used to eliminate

these defects and also reduces the average roughness of the sputter surface.

FIGURE 3.10

A schematic diagram showing how average rough (Ra) is calculated in a surface profilometer.

FIGURE 3.11

A machined tantalum surface showing spots of severe localized plastic deformation. These are visible in

naked eyes and regarded as surface defects [18].

182 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 13: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

For various materials, it has been established that the greater the average roughness of the sput-

ter surface, the thicker the surface of the deformed layer [19]. Figure 3.12 shows a correlation

between average roughness and the thickness of the deformed layer in tantalum [19]. This result

leads us to imagine that deformed layer thickness can be significantly large in the vicinity of the

center of the target because of the increased average roughness. It is worth recalling that this

deformed layer at the sputter surface has much finer structure as well as different crystallographic

texture as compared to bulk material.

In addition to the sputter surface contamination, the presence of the deformed layer at the sput-

ter surface has been found to be the reason for delay in achieving desired film properties. Film

properties stabilize when the entire deformed layer has been removed by the burn-in step. In recent

years some target manufacturers have started to supply deformed layer free targets with low aver-

age surface roughness [20,21]. These surface conditions have been achieved by applying various

methods such as polishing with abrasives lubricants, chemical etching, electro-polishing, ion clean-

ing and combinations of some of these methods [20�23]. Such special preparations of sputtering

targets have shown partial reduction in burn-in time and considerable improvement in productivity.

3.3.5 Particle performanceParticles found in the sputter-deposited thin films have two sources, i.e., the sputtering target itself

and the deposition chamber. In this discussion we primarily focus on the sputtering target aspect of

it. Starting from high purity metallic targets to the compound targets that have complex microstruc-

tures may generate particles during sputtering. For example, the presence of particle forming ele-

ments such as oxygen in titanium sputtering target generates particles during sputtering.

A great improvement in particle performance has been recorded with reduced oxygen content

[24]. On the other hand, a compound target such as a tungsten�titanium (WTi) target is known to

80Tantalum

60

40

20

00 0.5 1 1.5

Surface roughness, Ra (μm)

Def

orm

ed la

yer

thic

knes

s (μ

m)

2 2.5

FIGURE 3.12

Variation of sub-surface deformed layer thickness in tantalum sputtering target as a function of average

surface roughness (Ra) [19].

1833.3 Target metallurgy

Page 14: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

form particles because of various reasons i.e., residual porosity of the target, redeposited nodules

on the less eroded region of the target and the brittle films deposited on the process kit. Loosely

held redeposited nodules from the target itself and the flaking of films from the shield and clamp-

ing ring are known to generate in-film particles. In this case, use of high density targets and preven-

tive maintenance of the process kit would improve the particle performance of tungsten�titanium

targets [25,26]. This topic is also discussed in Chapters 5 and 8.

Another example is an aluminum target that may generate particles because of the arcing at the

embedded oxide inclusions. Figure 3.13 explains the phenomena of arcing at the non-conducting

inclusion [27]. The above examples largely explain the chemistry and microstructure dependent

particle performance of sputtering targets.

An intelligent design of sputtering target and shield can greatly improve the particle perfor-

mance and improve overall yield of a process. For example, some sputtering targets are designed to

have a grit-blasted area and aluminum arc-sprayed region between the sputter face and the flange

(Figure 3.14) [28,29]. A grit-blasted area and arc-sprayed region provides a large surface area for

Inclusion

Plasma

E-FieldDark

spacesheath

Cathode

Arc

+++++

FIGURE 3.13

A schematic diagram showing the phenomenon of arcing at a non-conducting inclusion [27].

Grit blasted area

FIGURE 3.14

A sputtering target showing particle-trap (grit blasted region) around sputter face [28].

184 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 15: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

particle entrapment into this region. Similarly, shields are arc-sprayed with chosen metals to make

them rough and to increase the surface area for particle entrapment to happen during sputtering. It

is common to use twin wire aluminum arc-spray for this purpose. The roughness (Ra) of the order

of 150 μ inch or more is desired in most cases. However, there are sputtering targets that do not

have arc-sprayed regions but shields of various shapes and materials (e.g., stainless steel, titanium,

molybdenum, aluminum) are used in sputtering chambers that may serve the same purpose.

Although there have been efforts to develop long-life shields for the entire life of sputtering targets,

at this point in time most sputtering targets need preventive maintenance or replacement of the

used shields. This essentially involves removal of the deposited coating from the shield surface fol-

lowed by roughening to the desired level.

Similar to the introduction of an arc-sprayed region in a sputtering target, blunting of the sputter

surface circular edge can also improve the particle performance of a process. The best example of

this design aspect is a beveled-edge titanium target [30]. A small slope at the beveled edge of the

sputtering target, as shown in Figure 3.15, has proven to be an advantage of reduced in-film parti-

cles over its sharp corner counterpart [30].

3.3.6 Target bond characteristicsSputtering targets can be categorized based on the types of bonding between the target material and

the backing plate. Diffusion-bonded, solder-bonded and elastomer-bonded targets are the most com-

mon ones. The bond coverage is a measure of the bonded area at the interface of the target material

and the backing plate. This is usually measured non-destructively using ultrasound equipment (see

Chapter 4 for details). Diffusion bonded targets typically show bond coverage close to 100%

because of the interdiffusion of two types of materials under the influence of high temperature and

the pressure. Figure 3.16 shows a perfect bonding between the Al6061 backing plate and the tita-

nium target [31].

In most cases, elastomer and solder bonded targets are expected to have more than 95% bond

coverage, and a single void cannot be more than 2% of the entire area of the bond surface. The

imperfections in the form of closed voids or edge voids in bonding are usually detected during final

inspections of the target and yet it is common to see failure of bonding during sputtering. Various

brittle and high melting point sputter materials (e.g., alumina, silicon, germanium) are known to be

Bevel edge

Target

FIGURE 3.15

A schematic diagram showing the bevel edge in a sputter face that reduces re-deposition of sputtered

material and consequently in-film particles [30].

1853.3 Target metallurgy

Page 16: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

bonded to backing plates using elastomers. Failure of bonding at the interface as well as cracking

of targets has been reported by customers. Various investigations of such failures reveal that stress-

assisted cracks that generate because of the cyclic nature of the applied power to the target are

largely responsible for such failures and also debonding at the interface. Similarly, solder-bonded

targets are known to show occasional edge voids at the outer periphery of the sputtering target that

gets exposed to the high vacuum inside a sputtering chamber.

Figure 3.17 shows a debonded titanium target that was solder-bonded to the copper backing

plate [32]. Incomplete filling of the gap between the target and the backing plate at the edges may

cause cavity-like structures. These regions often cause particle generation inside the sputtering

chamber. In a production environment, defective sputtering target replacement with a good target is

always undesirable from a productivity point of view.

100[%]

50

0

FIGURE 3.16

Ultra-sound map of an interface between titanium sputtering target and the aluminum alloy backing plate

showing satisfactory bond coverage after diffusion bonding [31].

Titanium

Debonding

FIGURE 3.17

A image showing debonding in a solder-bonded titanium target [32].

186 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 17: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

3.4 Ferromagnetic targetsThis section briefly describes some of the common characteristics of ferromagnetic targets (e.g.,

nickel, cobalt and their alloys) and their roles in target performance. Almost all ferromagnetic targets

are made thinner (typically# 4.0 mm for most applications) than the regular non-magnetic sputtering

materials. In general, thinner ferromagnetic targets with satisfactory metallurgical structures allow a

greater magnetic field to pass in front of the sputter face than a thicker counterpart for a given

magnet-pack in magnetron sputtering. A minimum magnetic field strength (e.g., 300 Gauss for Ni) is

required to ignite and sustain plasma for sputtering to occur [33]. This is known as firing. For a given

application and a sputtering chamber, the thickness requirement of a ferromagnetic target is either

optimized by an OEM or an end user. In doing so, metallurgical properties (grain size and texture) of

the target material, distance between the magnet-pack and the backing plate of the target and other

process parameters are taken into account. Once the thickness of the ferromagnetic target is opti-

mized, no thickness changes and metallurgical process changes are allowed. Hence, target manufac-

turers strictly control the thickness and magnetic properties of the ferromagnetic targets.

In addition to the thickness measurements, the most common measurement that is performed on

a machined or ground ferromagnetic target to control magnetic properties is known as pass-

through-flux (PTF) or magnetic leakage flux (MLF). PTF is defined as the ratio of the magnetic

field strength transmitted through the ferromagnetic material to the applied magnetic field in the

absence of the ferromagnetic target. It is typically expressed in percentage. A PTF value of 100%

is indicative of non-ferromagnetic material, i.e., applied magnetic field is not screened by the target

material.

A standard (ASTM F1761) procedure has been developed for measuring PTF in ferromagnetic

sputtering targets. In PTF measurement, a permanent magnet of standard strength is placed on one

side of the ferromagnetic target at a given distance and the transmitted magnetic field strength is

measured on the other side of the target using a Hall probe Gaussmeter (see Chapter 7 for more

details). PTF studies of ferromagnetic materials reveal that introduction of plastic strain using a

stretching or rolling operation at room or sub-zero temperatures increases PTF value [34�37].

However, a given magnitude of plastic strain would increase the PTF values of different ferromag-

netic materials by different extents.

Figure 3.18 shows the PTF values of nickel, cobalt and a cobalt alloy as a function of their

deformation history [34]. The starting materials for this study were hot rolled (HR) materials.

Liquid nitrogen rolling (at 2196�C) instead of room temperature rolling was used to improve PTF

of selective ferromagnetic targets [37]. Cobalt showed better response to liquid nitrogen rolling

than nickel. It is believed that such plastically deformed ferromagnetic targets will have elongated

grains in the plane of the rolling or stretching.

Another magnetic property, which is inversely related to PTF, considered for ferromagnetic tar-

get development is permeability (see Chapter 7 for definition). Therefore, a high PTF ferromagnetic

material would have low permeability. The most common practice of measuring permeability is to

use a vibrating sample magnetometer. Usually permeability measurements are done during develop-

ment work and not for every commercial ferromagnetic target. Figure 3.19 shows a correlation

between grain size and permeability of nickel [35]. A strong dependence of permeability on the

nickel grain size is very clear. This study also claimed better film uniformity with higher values of

permeability.

1873.4 Ferromagnetic targets

Page 18: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

An important feature of the erosion groove in ferromagnetic target caused by the target use is

its sharp profile as compared to a non-magnetic material. Figure 3.20 shows the difference in the

erosion groove profiles of a ferromagnetic nickel sputtering target and a non-magnetic aluminum

sputtering target [36]. This suggests that if a thick ferromagnetic target is used, only a small volume

of the target material will be used from the remaining thickness of the material. For a given target

thickness and PTF value, the life of a face-centered cubic nickel target was found to be enhanced

Co-10Cr-4Ta

Cobalt

Nickel

Hot roll (HR)

100

200

300

400

500

600

PT

F (

Gau

ss)

2% stretch after HRProcess

3% stretch after HR

FIGURE 3.18

PTF of nickel (Ni), cobalt (Co) and cobalt-10 chromium-4 tantalum (Co-10Cr-4Ta) alloy as function of

processing history [34].

Nickel

00

500 1000 1500Grain size (μm)

300

250

200

150

100

50

Per

mea

bilit

y

FIGURE 3.19

Relationship between nickel grain size and permeability [35].

188 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 19: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

because of the higher ,200. texture component [36]. The role of other texture components was

not very clear, although several sputtering targets were examined in this study.

Table 3.2 lists the properties of two nickel targets that had identical PTF and permeability

values, but showed significantly different texture components and target life [37]. It is important to

remember that similar conclusions cannot be made for other ferromagnetic materials when taking

into account the texture components. Cobalt and its alloys have a hexagonal closed packed structure

and they behave differently than nickel targets.

3.5 Target cleaning and packagingWith the exception of powder metallurgy processed sputtering targets (e.g., tungsten, tung-

sten�titanium), most metallic sputtering targets typically undergo ultrasonic assisted wet cleaning

with special chemical agents followed by packaging in a clean room environment. The primary

goal of the cleaning step is to remove residual oil, lubricant and other debris that accumulate during

manufacturing of the sputtering targets. These are the sources of contaminations that cause degas-

sing, arcing and also prolonged burn-in of the sputtering target.

NickelAluminum

Before sputtering

After sputtering

FIGURE 3.20

Difference in erosion groove profile in ferromagnetic nickel target and non-magnetic aluminum target [36].

Table 3.2 Properties and Performances of Nickel Targets (Purity: 99.998%, Target Diameter:

200 mm, Thickness: 3.0 mm; Wafer Diameter: 200 mm; Power: 1.5 kW)

Properties Target 1 Target 2

% PTF 30.0 30.0

Permeability (max) 50.0 50.0

,200. content 32.8 23.25

,220. content 21.6 16.70

,111. content 9.00 46.00

,113. content 31.8 12.73

Grain size (μm) 170.0 130.0

Life (kWh) 90.0 50.0

Rs uniformity (%), 1σ ,1.66 ,1.66

1893.5 Target cleaning and packaging

Page 20: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

Cleaning of sputtering targets is usually done using ultrasonic-assisted wet techniques. This may

involve moderate to high temperature cleaning using a detergent or a solvent followed by rinsing

and drying. Most popular ultrasonic equipments for industrial level cleaning are supplied by Crest,

FiSA, etc. The detergents or solvents for ultrasonic cleaning are usually recommended by equip-

ment suppliers, and operating parameters are standardized by users for various types of products

and for the required degree of cleanliness. A class 10,000 clean room is common in the sputtering

target loading area, with class 1000 in the wet cleaning area. Packaging is usually done at a class

100 clean room. Packaging of sputtering targets involves the use of special plastic bags and a sealer

that can offer an inert atmosphere or vacuum. Strict control of plastic bag chemistry is important in

order to avoid excessive organic contamination from the bag to the sputter surface. It is also impor-

tant that plastic bags are stored in a dry environment to avoid moisture and dust pickup. An inade-

quately clean and packaged sputtering target can cause production delay and reduce yield of a

process.

3.6 Target burn-inBefore a sputtering target can be used for film deposition, the preparation stage involves installation

of a sputtering target into the chamber followed by pump-down of the chamber, preconditioning of

the chamber and the sputtering target and burn-in of the sputtering target. We have already dis-

cussed that sputter surface retains some degree of contamination in the form of absorbed and

adsorbed species such as moisture from the atmosphere, organic contaminations from the plastic

bag and also thin metallic oxide layers depending on the chemistry of the sputtering material and

handling practices. Following pump down of the sputtering chamber, the pre-conditioning of the

chamber and the sputter target is done to remove residual gases, moisture and contaminations from

the surfaces. This pre-conditioning operation involves baking of the chamber using a set of lamps.

The next operation, which is burn-in, involves raising the applied power (kW) to the sputtering tar-

get by small magnitudes in a number of cycles up to the desired level. The entire burn-in process

can have components such as burn-in step 1 followed by burn-in step 2, etc.

For semiconductor applications, burn-in operation can take 1 to 6 hours (10 to 35 kWh) depend-

ing on the type of commercial sputtering target and the deposition chamber. This is a non-

productive segment of the entire manufacturing process. However, it is an important step because

adsorbed/absorbed surface contaminants, surface oxide layers and also the deformed layers from

the sputter surface are removed, which would otherwise adversely affect the quality of the sputtered

film. In essence, burn-in of a sputtering target produces a clean sputter surface capable of produc-

ing thin films with desired properties. Therefore, any reduction in burn-in time increases the num-

ber of deposited wafers and as a result the overall productivity. For example, an additional

processed 200 mm wafer can yield up to 200 chips. This leads to enhanced productivity and lower

cost of ownership.

In recent years it has been shown that the burn-in time can be reduced by sputter surface treat-

ment, in particular reducing or removing the thickness of the plastically deformed surface

layers, and using special packaging methods to minimize surface contamination [20,21,38].

Several techniques, such as precision machining, wet polishing, etching and a combination of

190 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 21: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

some of these methods have been used to reduce or remove the deformed layer from the sputter

surface [20�23].

Figure 3.21 shows reduction in Rs uniformity in the case of a sputter ready titanium target as

compared to a normal titanium target and early stabilization of Rs uniformity [38]. This signifi-

cantly reduced the burn-in time and increased the productivity. It has also been shown that a reduc-

tion in burn-in time can be achieved in a titanium target by modifying the sputter surface using a

short and inexpensive sputter treatment [22,23]. Reduction and the early stabilization of the sheet

resistance of the titanium films were achieved because of the plasma sputter treatment of the tita-

nium sputter surface (treated target) prior to the actual sputtering deposition of thin films. The

other noted advantage was reduced in-film particles in the case of a treated target as compared to

the standard target. Figure 3.22 shows improvement in in-film particle count in Ti, TiN and TiN/Ti

films in the case of a treated target [22].

3.7 Target utilizationThickness of sputtering target varies widely depending on the application and sputtering chamber.

We have discussed that the ferromagnetic targets are usually thinner than 4 mm, while non-

magnetic targets can be as thick as 25 mm. Hence standard ferromagnetic targets have life in the

range of 90�100 kWh, while life of non-magnetic targets can be up to several thousand kWh. Note

that erosion grooves in ferromagnetic targets usually have a sharper profile than non-magnetic tar-

gets. This is reflected in target material use (by volume). For semiconductor applications, use of

10 20 30

Accumulated power (kWh)

4N5 Ti target

8" wafer 49 pointsT-S = 45 mmPress = 5 mTorrTemp = 150°C

Uni

form

ity, 3

σ(%

)

Sputter readyTM

Normal

10

8

6

40

FIGURE 3.21

Rs-uniformity as a function of accumulated power (also known as life) for normal and sputter-ready titanium

targets [38]. Sputter-ready target shows early saturation of Rs-uniformity at lower values.

1913.7 Target utilization

Page 22: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

the non-magnetic sputtering target materials typically varies between 30% and 45%. For ferromag-

netic sputter targets, material use is even less. However, it has been shown that by adding excess

material in the erosion groove locations, in the front or back of the target, it is possible to increase

the target life and material use [39].

Figure 3.23 shows an example of a long life aluminum alloy sputtering target in which excess

material has been added in the form of circular rings in the front face of the sputtering target.

40

30

20

10

00 10 20 30 40

TreatedStandard

50 60

Life (kWh)

Ti film

No.

of p

artic

les

(a)

40

30

20

10

00 10 20 30 40

TreatedStandard

50 60

Life (kWh)

TiN film

No.

of p

artic

les

(b)

40

30

20

10

00 10 20 30 40

TreatedStandard

50 60

Life (kWh)

TiN/Ti film

No.

of p

artic

les

(c)

FIGURE 3.22

Results show improvement in in-film particle ($0.3 μm) count in (a) Ti film, (b) TiN film and in (c) TiN/Ti film

in case of treated target as compared to standard target [22].

192 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 23: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

This aluminum alloy target has a life of about 1000 kWh. Another example is a recessed titanium

target in which extra material is added to the back side of the sputtering target. Sixty-five percent

target use has been reported in such recessed titanium targets.

In the case of large rectangular and cylindrical targets, use can be significantly high (up to

80%). Scanning type magnetrons, combined with slight oscillations, are known to improve the use

of target material (e.g., large rectangular targets for display making). Similarly, cylindrical tubular

targets (e.g., for display, photovoltaic and glass-coating applications) have shown higher material

use as compared to the sputtering targets for semiconductor applications. Because of the increased

use of the target material, fewer target changes are required. This leads to greater uptime and

increased productivity.

References[1] Phys.org. Applied Materials Launches Breakthrough 45 nm PVD Copper Barrier/Seed Technology. 2004.

Available at:http://www.physorg.com/news2198.html.

[2] Michaluk CA, Nowell MM, Witt RA. Quantifying the recrystallization texture of tantalum. JOM

2002;3:51.

[3] Pavate V, Hansen KJ, Mori G, Narasimhan M, Ramaswami S, Nulman J. Method for manufacturing metal

sputtering target for use in DC magnetron so that target has reduced number of conduction anomalies,

U.S. Patent No. 6228186, 8 May, 2001.

FIGURE 3.23

A target with excess material in the form of rings that lie in the errosion zone and longer life [39].

193References

Page 24: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

[4] Perry AC, Gilman PS, Hunt TJ. High-purity aluminum sputter targets and method of manufacture, U.S.

Patent No. 6835251, 28 Dec, 2004.

[5] Perry AC, Gilman PS, Van den Sype J. Textured-metastable aluminum alloy sputter targets and method

of manufacture, U.S. Patent No. 6605199, 12 Aug, 2003.

[6] Perry AC, Gilman PS. Ultrafine-grain-copper-base sputter targets, U.S. Patent No. 6896748, 24 May,

2005.

[7] Praxair Inc., Orangeburg, NY.

[8] Sarkar J, Saimoto S, Mathew B, Gilman PS. Microstructure, texture and tensile properties of

aluminum�2 at.% neodymium alloy as used in flat panel displays. J Alloys Compd 2009;479:719.

[9] Hayama AOF, Sandim HRZ. Annealing behavior of coarse-grained titanium deformed by cold rolling.

Mater Sci Eng A 2006;418:182.

[10] Dunlop JA, Yuan J, Kardokus JK, Emigh RA. Sputtering target with ultra-fine, oriented grains and

method of making same, U.S. Patent No. 5809393, 15 Sep, 1998.

[11] Praxair Inc., Orangeburg, NY.

[12] Lo C. Effect of microstructure on mechanical properties in tungsten-titanium. In: Bose A, Dowling RJ,

editors. Proc. 3rd International conference on tungsten and refractory materials. McLean, VA: Metal

Powder Industries Foundation (MPIE); 1995 Nov 15�16. p. 169.

[13] Kocks UF, Tome CN, Wenk H-R, Mecking H. Texture and anisotropy. Cambridge University Press;

2001.

[14] Sarkar J, Gilman PS. Imaging ultrafine grains in machined tantalum subsurface using a focused ion

beam. Scripta Mater 2008;59:301.

[15] Zhang Z, Kho L, Wickersham CE. Effect of grain orientation on tantalum magnetron sputtering yield. J

Vac Sci Technol A 2006;24:1107.

[16] Shinmura T, Yamada H, Ohta T. Method of forming thin metal films, U.S. Patent No. 6113750, 5 Sep,

2000.

[17] Marx DR, Mathew R, Snowman A, Fisher CR. Ring-type sputtering target, US Patent No. 6638402, 28

Oct, 2003.

[18] Praxair Inc. USA (r 2013 by Praxair Inc. All rights reserved).

[19] Yamakoshi Y, Miyashita H, Seki K. Sputtering target and method for manufacturing thereof, U.S. Patent

No. 6153315, 28 Nov. 2000.

[20] Dunlop JA, Goldstein M, Feldewerth GB, Shim C, Schittny S. Method of reducing sputtering burn-in

time, minimizing sputtered particulate, and target assembly therefore, U.S. Patent No. 6030514, 29 Feb.

2000.

[21] Takahashi H, Ohhashi T, Seki K. Sputtering target free of surface-deformed layers, U.S. Patent No.

6284111, 4 Sep. 2001.

[22] Sarkar J, McDonald PH, Gilman PS. Method and apparatus for treating sputtering target to reduce burn-

in time and sputtering targets made thereby, US patent application no. 2008/0121516, 29 May 2008.

[23] Sarkar J, McDonald PH, Gilman PS. Surface characteristics of titanium targets and their relevance to

sputtering performance. Thin Solid Films 2009;517:1970.

[24] Fukuyo H, Shindo Y, Takahashi H. Titanium target for sputtering, US Patent No. 6755948, June 29,

2004.

[25] Turn Jr JC, Marx DR. The role of tungsten-titanium target density on particulate generation, Material

Research Corporation, Technical note #1263; 1992.

[26] Lo C, Gilman P. Particle generation in W-Ti deposition. J Vac Sci Technol A 1999;17(2):608.

[27] Mostovoy R, Mori GT. Preventing defect generation from targets through applying metal spray coating

on side walls, US Patent No. 6428663, 6 Aug, 2002.

[28] Praxair Inc. USA (r 2013 by Praxair Inc. All rights reserved)

194 CHAPTER 3 Performance of Sputtering Targets and Productivity

Page 25: Sputtering Materials for VLSI and Thin Film Devices || Performance of Sputtering Targets and Productivity

[29] Wolf H, Streiter R, Tirschler W, Giegengack H, Urbansky N, Gessner T. Investigation of long throw

PVD of titanium films from polycrystalline targets with texture. Microelectron Eng 2002;63:329.

[30] Praxair Inc. USA (r 2013 by Praxair Inc. All rights reserved)

[31] Praxair Inc. USA (r 2013 by Praxair Inc. All rights reserved)

[32] Chang SA, Skolnik MB, Altman C. High rate sputtering deposition of nickel using dc magnetron mode.

J Vac Sci Technol A 1986;4(3):413.

[33] Bartholomeusz M, Tsai M. Stretching of magnetic materials to increase pass-through-flux (PTF), US

Patent No. 6514358, 4 Feb. 2003.

[34] Yamakoshi Y, Miyashita H. Target of high-purity nickel or nickel alloy and its producing method, U.S.

Patent No. 7618505, 17 Nov, 2009.

[35] Abburi M, Ramaswami S. Target for use in magnetron sputtering of nickel for forming metallization

films having consistent uniformity through life, U.S. Patent No. 6521107, 18 Feb, 2003.

[36] Perry AC, Koenigsmann HJ, Dombrowski DE, Hunt TJ. High-purity ferromagnetic sputter targets and

method of manufacture, US Patent No. 7608172, 27 Oct, 2009.

[37] Advanced sputtering target for VLSI application. Nikko Materials Co. Ltd. Brochure.

[38] Wickersham Jr. CE, Poole JE, Leybovich A, Zhu. L. Measurements of the critical inclusion size for arc-

ing and macroparticle ejection from aluminum sputtering targets. J Vac Sci Technol A 2001;19(6):2767.

[39] Hunt TJ, Koenigsmann HJ, Gilman PS. Recessed sputter target, US Patent No. 6599405, 29 July, 2003.

195References