sputtering materials for vlsi and thin film devices || sputtering and thin film deposition

78
CHAPTER 2 Sputtering and Thin Film Deposition 2.1 Introduction In this chapter, various established sputtering methods and newly developed technologies for thin film deposition are discussed [111]. The chapter begins with a brief description of evaporation technologies and then moves to the discussions of sputtering mechanisms, attributes of commercial sputtering tools and characteristics of sputtered thin films. This discussion also takes into account film property requirements (e.g., controlled composition, conformality, bottom and step coverage, sheet resistance and resistivity) and quality requirements (e.g., reduced in-film particles, pin holes, hillocks, whiskers) for a wide variety of applications. Figure 2.1 shows four major topographies that are very common in semiconductor devices that need to be coated or filled with materials using one of the physical vapor deposition processes. An important parameter that affects the coating or filling of such features is known as aspect ratio (AR), which is defined as the ratio of the height to the diameter or width. AR 5 Height of the feature=Diameter or width of the feature 5 h=w (2.1) This means a deep narrow hole would have a high aspect ratio. The quality of the coating or filling of a high aspect ratio feature (e.g., contact holes, via holes, trenches) is characterized by terms such as conformality, bottom coverage, step coverage, asymmetry and reentrance (Figure 2.2). A conformal film signifies a film with nearly constant thickness on both horizontal and vertical surfaces. As shown in Figure 2.2, bottom coverage is defined as the ratio of the film thickness (t b ) at the bottom of a feature to nominal thickness of the film on the flat region (t n ). Bottom coverage % ð Þ 5 t b t n x100 (2.2) The bottom coverage can be as low as 10% in simple sputtering, and this value can be increased to 85% in ionized sputtering. Similarly, step coverage is defined as the ratio of the film thickness (t s1 ) at the side of a feature to nominal thickness of the film on the flat region (t n ). Step coverage % ð Þ 5 t s1 t n x100 5 t s2 t n x100 (2.3) In addition to the height and aspect ratio, step coverage also depends on the slope and smooth- ness of the side surfaces. Asymmetry is given by the ratio t s2 /t s1 and reentrance by r, as shown in Figure 2.2. This means that a non-conformal film on a step or via a hole will result in a poor step 93 J. Sarkar: Sputtering Materials for VLSI and Thin Film Devices. DOI: http://dx.doi.org/10.1016/B978-0-8155-1593-7.00002-3 © 2014 Elsevier Inc. All rights reserved.

Upload: jaydeep

Post on 18-Dec-2016

271 views

Category:

Documents


13 download

TRANSCRIPT

Page 1: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

CHAPTER

2Sputtering and Thin Film Deposition

2.1 IntroductionIn this chapter, various established sputtering methods and newly developed technologies for thin

film deposition are discussed [1�11]. The chapter begins with a brief description of evaporation

technologies and then moves to the discussions of sputtering mechanisms, attributes of commercial

sputtering tools and characteristics of sputtered thin films. This discussion also takes into account

film property requirements (e.g., controlled composition, conformality, bottom and step coverage,

sheet resistance and resistivity) and quality requirements (e.g., reduced in-film particles, pin holes,

hillocks, whiskers) for a wide variety of applications.

Figure 2.1 shows four major topographies that are very common in semiconductor devices that

need to be coated or filled with materials using one of the physical vapor deposition processes. An

important parameter that affects the coating or filling of such features is known as aspect ratio

(AR), which is defined as the ratio of the height to the diameter or width.

AR5Height of the feature=Diameter or width of the feature5 h=w (2.1)

This means a deep narrow hole would have a high aspect ratio. The quality of the coating or

filling of a high aspect ratio feature (e.g., contact holes, via holes, trenches) is characterized by

terms such as conformality, bottom coverage, step coverage, asymmetry and reentrance

(Figure 2.2). A conformal film signifies a film with nearly constant thickness on both horizontal

and vertical surfaces. As shown in Figure 2.2, bottom coverage is defined as the ratio of the film

thickness (tb) at the bottom of a feature to nominal thickness of the film on the flat region (tn).

Bottom coverage %ð Þ5 tb

tnx100 (2.2)

The bottom coverage can be as low as 10% in simple sputtering, and this value can be increased

to 85% in ionized sputtering. Similarly, step coverage is defined as the ratio of the film thickness

(ts1) at the side of a feature to nominal thickness of the film on the flat region (tn).

Step coverage %ð Þ5 ts1

tnx1005

ts2

tnx100 (2.3)

In addition to the height and aspect ratio, step coverage also depends on the slope and smooth-

ness of the side surfaces. Asymmetry is given by the ratio ts2/ts1 and reentrance by r, as shown in

Figure 2.2. This means that a non-conformal film on a step or via a hole will result in a poor step

93J. Sarkar: Sputtering Materials for VLSI and Thin Film Devices. DOI: http://dx.doi.org/10.1016/B978-0-8155-1593-7.00002-3

© 2014 Elsevier Inc. All rights reserved.

Page 2: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

coverage. One-hundred percent step coverage is ideal, but a minimum step coverage for a particular

application is acceptable. Step coverage itself depends on the sticking coefficient (SC) of the

depositing film. The sticking coefficient is the ratio of number of species that stay or stick to the

surface relative to the number of incident species (Figure 2.3) [6]. The species that do not stick or

High angle

Low angle

Overhang/buildup

ts2

tn

ts1

w

Aspect ratio = h/wStep coverage = ts1/tn, ts2/tnBottom coverage = tb/tnAsymmetry = ts2/ts1

Reentrance = r

rh

tb

FIGURE 2.2

Schematic illustrations of aspect ratio, step coverage, bottom coverage, asymmetry and reentrance.

Bottom coverage(for contact)

Planar film(for interconnect)

Filling(for via, contact)

Conformality(for barrier, adhesion)

FIGURE 2.1

Schematic representations of four common topographies seen in semiconductor devices (based on [3]).

94 CHAPTER 2 Sputtering and Thin Film Deposition

Page 3: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

react on the surfaces normally deposit elsewhere. Therefore, a physical vapor deposition (PVD)

process with sticking-coefficient close to 1 will allow species to stick to surfaces locally where

they first land (Figure 2.3(a)). When the sticking coefficient is much smaller than 1, a fraction of

the incident atoms bounce from the place of landing and deposit on the side surfaces (Figure 2.3

(b)). This will lead to better step coverage. Heating and rotation of the substrate with step, via and

contact holes can lower the sticking coefficient and improve step coverage. Heating increases sur-

face diffusion and helps transfer atoms on the side surfaces.

As we discuss various PVD methods, we will see that arrival of atoms in a straight line, limited

range of angles and little or no redeposition of atoms in evaporation causes poor step coverage. We

will also see that low vapor pressure metals, alloys and compounds with precisely controlled com-

position are difficult to evaporate. Unlike sputtering, no in-situ pre-cleaning of the substrate is

available in the evaporation chamber. On the other hand, evaporation produces little or no damage

to the wafer because of the absence of the energetic particles as encountered in sputtering. Because

of the high vacuum requirement in evaporation, as compared to the sputtering, films are pure and

no residual gases or particles are incorporated in deposited films.

Because of the above limitations of the evaporation and continuous improvement in low

pressure pump-down capability of deposition chambers and availability of high purity gases,

sputtering is used extensively for semiconductor device fabrication, which involves challenges

of coating and filling small dimensions and high aspect ratio contacts or via holes and trenches

with satisfactory conformality, bottom coverage and step coverage. The other methods are chem-

ical vapor deposition (CVD), pulsed deposition layer (PLD) and atomic layer deposition (ALD)

that are also used for coating and filling small dimensions and very high aspect ratio vias and

trenches [12,13].

Figure 2.4 shows the capability of achieving step coverage of PVD, CVD and ALD as a func-

tion of deposition rate [14]. While ALD can initially be used to precisely coat high aspect ratio fea-

tures, remaining filling can be done by sputtering. Figure 2.5 shows cross-sectional electron

micrographs of vias that have barrier layers deposited using sputtering and ALD techniques [15].

Note the highly conformal coating in the case of ALD (Figure 2.5(b)). It is recognized that ALD

offers a great potential for conformal coating of high aspect ratio features and currently ALD is

being used for depositing titanium nitride, tantalum nitride, etc., which were traditionally deposited

using sputtering for larger nodes in semiconductor technology roadmaps.

Sc= 1 Sc< 1

(a) (b)

FIGURE 2.3

Schematic description of sticking coefficient (Sc) of atoms inside a via [6].

952.1 Introduction

Page 4: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

CVD has been used for improved step coverage, as-deposited conformality, film stress, interface

control (adhesion and wetting) and greater throughput for various dielectrics and conductors that

cannot be effectively deposited using sputtering. These materials include polysilicon, doped polysi-

licon, doped silica glass such as borophosphosilicate (BPSG), phosphosilicate glass (PSG), fluori-

nated silicate glass, silicon nitride, silicon oxynitride, tungsten, tungsten silicides and titanium

nitride. It is important to note that the conductor tungsten requires almost 100% step coverage to

fill a high aspect ratio via holes and CVD is the dominating deposition method though tungsten can

be deposited by sputtering. Conductors such as titanium nitride and tungsten silicide can be

FIGURE 2.5

Images of (a) sputter coated via and (b) ALD coated via [15]. Note improved conformality of deposited film in

case of ALD.

1,000100

Deposition rates (nm/min)

0

25

50

75

100 ALDPDL

CVD

I-PVD

PVD

Ste

p co

vera

ge (

%)

1010.1

FIGURE 2.4

Step coverage variations with deposition rate for physical vapor deposition (PVD), ionized PVD (I-PVD),

chemical vapor deposition (CVD), pulsed deposition layer (PDL) and atomic layer deposition (ALD) [14].

96 CHAPTER 2 Sputtering and Thin Film Deposition

Page 5: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

deposited by sputtering and methods of thin film deposition are applications dependent. For some

applications, Al CVD and Al sputtering can be combined to achieve Al fill. In the following sec-

tions, we will discuss various sputtering methods following a brief discussion about evaporation

and plasma glow discharge.

2.2 Physical vapor depositionIn general, a PVD process involves a physical method of removing individual atoms or small clus-

ters of atoms from a solid or liquid source that pass through a low-pressure chamber and impinge

on a substrate to form thin film. The most common methods are evaporation and sputtering [1]. In

an evaporation equipment, as the temperature of the source material is raised, material typically

transforms to liquid and then to gas phase. At all temperatures there would be equilibrium vapor

pressure of the material. When source material is below the melting temperature, this is called sub-

limation as against evaporation in the case of liquid source material. Most metals will melt before

the evaporation at any significant rate. Chromium will sublime from its solid state.

Evaporation sources can be non-equilibrium or quasi-equilibrium depending on the application. In

non-equilibrium evaporation, the source is an open source (Figure 2.6(a)) and a small volume of liq-

uid metal evaporates into a large and low-pressure chamber [8]. Open sources can be boat (W, Ta),

crucible (ceramics) or e-beam source (metallic). In this case, because of low pressure, there would be

no equilibrium between evaporated atom flux and the source. In a quasi-equilibrium source, a closed

container with a small orifice is used (Figure 2.6(b)) [8]. This type of evaporation is characterized by

nearly steady-state equilibrium of atom flux with its vapor. Industrial evaporation systems are usually

equipped with a parabolic dome onto which several substrates are attached. Figure 2.7 (p. 99) shows

a schematic of commercial evaporation equipment [6]. A commercial evaporator can easily hold 25

or more 125 mm diameter substrates and 10 or more 200 mm substrates. Resistance heating filaments

or electron beam (e-beam) can be used for heating an evaporation source. E-beam heating is useful

for achieving higher temperature and depositing purer films because of lower contamination. The

downside of e-beam evaporation is the generation of x-rays.

It is known that when vapor pressure of a material is significantly higher than the residual pres-

sure of the deposition chamber, a flux of atoms from heated material source will be projected in all

directions. These atoms are deposited to form a film by placing relatively cold substrate in the path

of the atom flux. Condensation of the atoms on the substrate occurs because of the difference in the

equilibrium vapor pressure at the source and above the substrate. Therefore, equilibrium vapor pres-

sure is the most important property of a material for evaporation to occur. The relationship between

equilibrium vapor pressure and the temperature is given by the Clausius�Clapeyron equation.

dðlogpÞdT

5ΔHvap

RT2(2.4)

where T is the temperature, p is the equilibrium vapor pressure, ΔHvap is the enthalpy change on

evaporation and R is the gas constant. Figure 2.8 (p. 99) shows the variation in equilibrium vapor

pressure with temperature above the surface of common metals [16]. In order to achieve significant

growth rate of deposited film, vapor pressure between 1023 to 1022 torr is required. The equilib-

rium vapor pressure of a material above liquid source is given by

972.2 Physical vapor deposition

Page 6: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Pe 5 3x1012σ3=2T21=2eΔHvapnkT (2.5)

where σ is the surface tension of the metal, n is the Avogadro’s number, ΔHvap is the enthalpy of

evaporation and T is the temperature.

The evaporation rate (Re) at the source has been found to be proportional to the equilibrium

vapor pressure (Pe) of the source material at the evaporation temperature.

FIGURE 2.6

Evaporation sources: (a) non-equilibrium (open) source, and (b) quasi-equilibrium (orifice) source [8].

98 CHAPTER 2 Sputtering and Thin Film Deposition

Page 7: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

FIGURE 2.7

Schematic illustration of a commercial evaporator [6].

FIGURE 2.8

Variation in equilibrium vapor pressure with temperature above the surface of common metals [16].

992.2 Physical vapor deposition

Page 8: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Re 5 5:83x1022As

m

T

� �1=2Pe (2.6)

where As is the area of the surface source, m is the molecular mass and T is the temperature. The

deposition rate is usually measured using a quartz crystal rate monitor. Refractory materials (e.g.,

Ta, W, Mo) require much higher temperature (3000�C) to obtain vapor pressure of 1023 Torr than

elements like aluminum (1200�C) and noble metals. A range of compound semiconductors can be

evaporated to form thin films, which include II�VI compounds [7].

The stochiometry of deposited films are known to differ in case of source III�IV compound

semiconductors. The stochiometry of the evaporated film will depend on the vapor pressure of the

individual elements present in the alloy compound. Only in fortuitous circumstances, vapor pres-

sure of all the elements in an alloy can be similar enough to produce stochiometry of the film close

to the source alloy [7]. However, this problem can be overcome by overloading the source alloy

with the elements with lower vapor pressures. In this situation, composition of the deposited film

will vary in the thickness direction. Alloying element with highest vapor pressure will concentrate

near substrate. In addition, it is possible that equilibrium structure may not be achieved in the

deposited film [7].

In theoretical treatment of evaporation sources, these were broadly divided into point and small

surface area sources [7]. For equal evaporation rate in every direction, the contours of equal flux

(atoms per unit area per unit time) would be circular in two dimensions or spherical in three dimen-

sions. Evaporated atoms can be imagined to emerge from an infinitesimally small area (dAe) of a

sphere of surface area Ae (Figure 2.9(a)) [7]. Of total evaporated mass (Me), mass dMs falls on the

substrate (s) of area dAs whose projected area on sphere is dAc (dAc5 dAs. cosθ), the following

proportionality holds.

dMs

Me

5dAc

4πr25

dAs:cosθ4πr2

(2.7)

dMs

dAs

5Mecosθ4πr2

(2.8)

FIGURE 2.9

Geometry of evaporation in (a) point source and (b) surface source [7].

100 CHAPTER 2 Sputtering and Thin Film Deposition

Page 9: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Therefore, deposition varies with geometric orientation of the substrate and inverse square of

the source-to-substrate distance. When cosθ5 1, the substrate would be coated uniformly because

the substrate is in a tangential position to the surface of the receiving sphere. In the second type of

evaporation source, i.e., the small surface area source, the emitted flux of atoms is not uniform in

all directions as with the point source and flux is more dependent on the projected area of the small

source area towards the flux direction. The mass deposited per unit area is given by

dMs

dAs

5Mecosφcosθ

πr2(2.9)

where φ is the angle of emission and θ is the angle of incidence (Figure 2.9(b)) [7]. The emitted

flux is the largest in the direction perpendicular to the source surface and less to the sides. This

emission behavior from a small area source is known as cosine distribution law for evaporation or

ideal cosine emission. Therefore, evaporation from a small surface area behaves similarly to the

effusion of gas out of an orifice from a container as in Knudsen cells. Careful measurement of

angular distribution of the thickness reveals that, rather than cosφ dependence, a cosnφ evaporation

law is more accurate. n is a number that reflects the geometry of vapor cloud and the angular distri-

bution of the atom flux from the source (Figure 2.10) [7,17]. Larger n represents highly directed

flux, which resulted from a deep and narrow crucible. Many applications of evaporated film require

small thickness uniformity (e.g.,6 1%). If we consider evaporation from a point and small surface

area onto a parallel plane receiving substrate, where d is the film thickness and d0 is the maximum

film thickness, less thickness uniformity can be expected with small surface area source

(Figure 2.11) [7]. The best approach to achieving thickness uniformity is to place both surface

evaporation source and the substrate on the surface of the sphere so that cosφ5 cosθ5 r/2r0 and

dMs

dAs

5Me

4πr2(2.10)

FIGURE 2.10

Calculated vapor cloud geometry (lobe-shaped) as a function of cosine exponent (n) [7,17].

1012.2 Physical vapor deposition

Page 10: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

This methodology is used in planetary substrate fixture. To further improve uniformity the plan-

etary fixture is rotated during deposition of film.

2.3 Plasma and glow dischargePlasma and glow discharge tend to be used synonymously in the semiconductor industry, though to

a plasma physicist glow discharges are not ideal plasmas. Nevertheless, in line with the current lit-

erature on sputtering, we will use the term plasma in all our discussion related to thin film deposi-

tion. Plasma is a gas of charged particles (both ions and electrons) and neutrals (atoms and

molecules). Therefore, it is characterized as partially or fully ionized gas, which is on average elec-

trically neutral (Figure 2.12) [18]. This is because any change in imbalance would result in electric

fields that would tend to move the charged particles in such a way as to eliminate the imbalance.

Plasma is considered a fourth state of matter (Figure 2.13) (p. 104) [19]. However, there is no dis-

tinct phase change in going from neutral gas to plasma as the process is more continuous.

The name plasma is derived from the Greek word plassein, which means to shape or mold. It is

possible to mold plasma shape by shaping the chamber and also move and energize plasma by

using electromagnetic forces. Lewi Tonks and Irving Langmuir first coined the term plasma in

1929 to describe the state of matter in the glow discharge to differentiate it from the ordinary gases

[20]. The glow of plasma from a discharge is due to deexcitation of charged particles accompanied

by the emission of photons.

FIGURE 2.11

Film thickness uniformity variations for point and small area evaporation sources. Inset describes geometry of

evaporation on a flat substrate [7].

102 CHAPTER 2 Sputtering and Thin Film Deposition

Page 11: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

An important parameter of any plasma is the degree of ionization, which is the fraction of the

original neutral species (atoms and/or molecules), which have undergone ionization. When the

degree of ionization is much smaller than 1, i.e., plasma is weakly ionized, the presence of a rela-

tively large number of neutral species will dominate the behavior of this type of plasma. In con-

trast, in fully ionized plasma, i.e., the degree of ionization is approaching 1, neutral particles play

little or no role. Because electrons play such an important role in plasma, plasma is characterized

by electron density and electron energy. It is important to remember that electron density and ion

density are equal (on average), which are much smaller than neutral density (often called plasma

density). Though electrons in plasma have a distribution of energies, an average energy is often

used for classification of various plasmas.

In a number of cases electrons have Maxwellian distribution of energy, and electron tempera-

ture is a measure of the average energy of the electrons. In the case of weakly ionized plasma,

FIGURE 2.12

Constituents of plasma and values of important parameters [2].

1032.3 Plasma and glow discharge

Page 12: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

energy distribution will not be Maxwellian, but it is quite common to express average electron

energy in terms of electron temperature. The unit of electron temperature is the electron volt (eV),

which is equivalent to a temperature of approximately 11,600 K. However, the specific heat of

electrons being very low, this high temperature does not require a special chamber or reactor to

hold the plasma. On earth plasma does not exist naturally with the exception of lightning flashes.

In space, rarified and cold interstellar plasmas are the most common form of matter. The plasmas

that are of interest here for sputtering have electron densities in the range of 109 to 1012 cm23 and

an average electron temperature between 1 and 10 eV.

Figure 2.14 shows typical values of electron densities and electron temperatures for various

types of plasmas [19]. The degree of plasmas used in sputtering can vary between 1026 and 0.3.

The plasmas considered here are initiated or sustained by electric fields that are either produced by

direct current (DC), radio frequency (RF) or alternative current (AC). Typically, equal to or more

than 13.56 MHz RF frequency and 100 KHz AC frequency are used for generating plasmas. Unlike

plasmas for fusion, these plasmas are cold (degree of ionization is typically below 1024), i.e., not

FIGURE 2.13

States of matter as a function of temperature [19].

104 CHAPTER 2 Sputtering and Thin Film Deposition

Page 13: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

in thermodynamic equilibrium where the gas is at low temperature and electrons have energies

high enough to ionize, excite and dissociate gas particles.

A DC glow discharge can be created by applying a potential between two electrodes in a DC

diode at low gas (e.g., argon) pressure. Figure 2.15(a) shows a DC diode and its current-voltage

characteristics [18]. The minimum voltage at which discharge initiates is called break-down voltage

(Vb). This is given by

Vb 5 cpl

logpl1 d(2.11)

Here, p is the gas pressure, l is the spacing between the electrodes and c and d are constants. This

relationship between break-down voltage and gas pressure is called Paschen’s law. Experimental

results showed that a minimum break-down voltage exists for a gas pressure Pm and for a given elec-

trode spacing l (Figure 2.16) (p. 107) [20]. The mean free path (λo) of the electrons in a discharge,

which is reciprocal of the elastic collision cross-section (q; cm21 torr21) between electrons and gas

atoms, and the electrode spacing (l) determines the pressure (Ps) at which discharge starts.[20].

Ps $λo

l5

1

lq(2.12)

As shown in Figure 2.15(b), a layer of low luminosity in front of the cathode is known as cath-

ode dark space or Crookes dark space. This is also known as the space charge sheath where the

voltage drops drastically. The thickness of the cathode dark space is inversely proportional to the

pressure of the gas [21]. Ions and electrons created in the discharge are known to accelerate across

FIGURE 2.14

Various types of plasmas shown in electron density and electron temperature map [19].

1052.3 Plasma and glow discharge

Page 14: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

the cathode dark space. Due to very few collisions in this region and hence in the absence of

photons, discharge in this region appears dark. Next to the cathode dark space, a bright region is

formed, which is known as negative glow. In this regime, encompassing the cathode dark space and

the negative glow, current increases rapidly but the voltage is limited by the impedance of the

power supply. This is identified as Townsend discharge in the glow discharge literature [2]. This

produces a large number of electrons and ions. When enough electrons produce sufficient number

of ions to generate the same number of initial electrons, the discharge becomes self-sustaining.

This is followed by the Faraday dark space and the region of positive column if the distance

between the cathode and the anode is long enough with respect to the width of the discharge. The

positive column is the region of discharge that closely resembles plasma. Most of the classical

works were carried out in the positive column.

When electrode spacing is reduced sufficiently, positive column and the Faraday dark space are

consumed and glow discharge is left with only cathode dark space and the negative glow. This is

the usual situation in regular plasma processes where the electrode spacing is a few times the

cathode dark space thickness. The minimum electrode spacing is about twice the cathode dark

space thickness. If the electrode spacing is reduced further, cathode dark space is distorted and

discharge is extinguished. With further increase in power, the process enters into the abnormal

FIGURE 2.15

DC diode: (a) current�voltage characteristics and (b) schematic representation of important regimes in a low-

pressure DC glow discharge [18].

106 CHAPTER 2 Sputtering and Thin Film Deposition

Page 15: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

glow discharge regime where current and voltage increase. If the cathode is not cooled adequately

and current increases above a certain value, thermionic electrons are emitted. This causes a transi-

tion to arc discharge.

Next to the cathode, a luminous layer is seen, which is called the cathode layer. In this region neu-

tralization of incoming ions and positive cathode ions takes place. At the anode there is also a dark space

that is very thin. In sputtering, the substrate (anode) is placed well before the Faraday dark space and

inside negative glow so that the positive column does not appear during sputtering. The substrate can be

grounded, electrically floating, biased positively or negatively, heated or any combination of these.

2.4 Sputter deposition of thin films2.4.1 DC sputteringAs discussed in Chapter 1, after Groves’ discovery of sputtering in 1852, sputtering was used as

one of the thin film deposition techniques by Langmuir in the 1920s [22,23]. Since then sputtering

phenomenon has been investigated using numerous experiments and theoretical studies. Many

authoritative reviews of this subject are available in the literature [1�5]. In this chapter a summary

of the basics of sputtering and its application as a deposition technique is presented. Figure 2.17

shows a DC diode where plasma is created between two electrodes inside a chamber with appropri-

ate gas density and electric fields across the electrodes [8]. Because plasma acts as an electric

conductor, plasma potential remains nearly constant over the distance between two electrodes with

the exceptions of cathode sheath and anode dark space thicknesses. Ions from plasma move toward

the cathode and accelerate in the cathode sheath under the potential difference, which causes

3000

2000

Spa

rkin

g vo

ltage

(V

)1000

010–2 10–1 1Pm

Gas pressure (Torr)10

FIGURE 2.16

Spark voltage as a function of gas pressure for copper electrode in air (electrode spacing5 5 mm) [20].

1072.4 Sputter deposition of thin films

Page 16: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

sputtering and emission of neutral atoms from the cathode surface. On the other hand, plasma elec-

trons move towards the anode and only those electrons that have high enough energy to overcome

the slightly negative potential gradient at the anode are collected [5,8,9].

As described in Figure 2.18, sputtering is the physical ejection of atoms from a surface because

of the bombardment of the surface by energetic particles [6]. Energetic particles can be positive

ions, neutral atoms, neutrons, electrons or photons. However, most applications typically involve

the bombardment of the target with ions and the majority of the discussion will focus on this partic-

ular process unless otherwise stated [24].

Sputter yield (S) is an important parameter defined as the mean number of atoms removed from

the target surface per incident ion.

S5Number of atoms ejected=Number of incident ions (2.13)

FIGURE 2.17

Schematic showing constituents of a DC diode and relative plasma potential as function of position along the

centerline of the diode [8].

108 CHAPTER 2 Sputtering and Thin Film Deposition

Page 17: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Figure 2.19 shows the sputter yield as a function of ion energy [8]. Note that there will not be

adequate energy at very low ion energy to dislodge atoms from the target surface and therefore no

sputtering yield. The minimum ion energy required for sputtering to take place for a given material

is known as the threshold energy. This value could be up to 40 eV for most materials. Anderson

FIGURE 2.18

Physical sputtering of atoms from a target (cathode) surface under the influence of incident ions [6].

FIGURE 2.19

Sputter yield with ion energy. Note energy regimes [8].

1092.4 Sputter deposition of thin films

Page 18: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

and Bay showed that for target atomic mass greater than five times that of incident ion atomic

mass, the threshold energy (E) can be given by [25].

E5U

γð12 γÞ (2.14)

U is the heat of vaporization of the target material and γ is the energy transfer parameter. γ is

given by

γ54M1M2

ðM11M2Þ2(2.15)

M1 and M2 are atomic masses of the colliding atoms. This suggests that E becomes maximum

when M15M2. Experimental results, however, show that this is not always true. Other models

predicted a threshold of about four times the binding energy (heat of sublimation) of the target

material, which led values close to 30 eV. Later studies showed that in high-density plasma as used

in the electro cyclotron resonance technique, sputtering can take place below four times the binding

energy of the target material.

The next higher ion energy domain is identified as knock-on sputtering regime where the most

useful physical dislodging of atoms takes place. Typically because of the impact of ions on the

target surface, there will be complex recoiling and striking effects, which are not easy to predict.

Computer programs such as TRIM (Transport of Ion in Matter) and its variants are often used for

theoretical studies. Earlier work of sputter yield in this domain claimed temperature dependence of

sputter yield, which was later found to be true for temperatures close to the melting point of the

target material.

The next energy domain is identified as cascade because incident ions cause a cascade of target

atoms. At this stage bonds between target atoms are broken within a cascade volume. The mecha-

nism of cascade is relatively well understood. This energy domain is not important for semiconduc-

tor processing. Next, the high energy domain is identified as the implantation where ions implant

deep into the target material because of the large penetration. This is not physical sputtering but

affects electrical properties if it is a semiconductor material.

Figure 2.20 shows sputter yield of silver as a function of ion energy [26]. Note that enhanced

sputter yield is because of the heavy ion incidence. Table 2.1(p. 112) lists the sputter yield of various

materials as a function of argon ion energy [27]. Figure 2.21 (p. 113) shows the ion energy dependent

sputter yield of a copper target as a function of inert gas ion masses [28]. Note that although masses

of krypton (83) and argon (40) are much closer to copper (64), xenon (131) ions show greater sputter

yield at all ion energy levels. This suggests that maximum sputter yield cannot be expected when

M15M2 as suggested by the energy transfer function alone. Argon is typically the choice for gas

rather than heavy inert gases such as radon, xenon or krypton. This is primarily because of the avail-

ability and the reduced cost of argon. Radon is radioactive in nature and argon driven sputter yield is

only a factor of two smaller as compared to the xenon driven sputter yield in useful ion energy range.

In addition to inert gas mass, gas pressure selection is an important parameter. The target voltage (V)

required to drive current (I) for sputtering to take place depends on the gas pressure.

Figure 2.22(a) (p. 114) shows the I�V characteristics of a nickel target (4.5 in diameter; area:

103 cm2) at different argon pressure [29]. As shown, below 30 mTorr (μ) gas pressure the discharge

110 CHAPTER 2 Sputtering and Thin Film Deposition

Page 19: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

current is too small. This means ion flux at the target, as well as the sputter rate, will be low. This

is because of the decreased gas density resulting in a reduced number of ionizing collisions. On the

other hand, if gas pressure is high sputtered atoms have greater probability of collision with gas

atoms on their way to the substrate. Such collisions of sputtered atoms with gas atoms will deflect

sputtered atoms from their original path and the deposition rate will decrease. Deflected atoms can

redeposit on the target. Figure 2.22(b) shows a drop in sputter yield with increased gas pressure for

nickel target [27]. Figure 2.23 (p. 115) shows variation of sputter yield of polycrystalline target

materials with their atomic numbers [30].

It was noted that sputter yield increases as the electronic d shell of the materials are filled

within a period of a periodic table. Hence, copper, silver and gold have high sputter yield. In con-

trast elements with the most open electronic structures have the lowest sputter yield. Early literature

showed that calculated sputter yields agree well with experimental results of elements from certain

families of the periodic table, e.g., Be of IIA, C, Si and Ge of IVA, Co, Ru, Os, Rh, Ir, Ni, Pd and

Pt of VIIIB, Cu, Ag, and Au of IB [31,32]. However, calculated sputter yield of certain families of

FIGURE 2.20

Sputter yield of silver as a function of (a) argon and (b) krypton ion energy [26].

1112.4 Sputter deposition of thin films

Page 20: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

elements are about a factor two greater than the experimentally measured sputter yield. These are

Ti, Zr and Hf of IVB, V, Nb and Ta of VB, Th and U of actinide series [31]. These elements came

from families of the periodic table that correspond to the initial population of the d shell (IVB and

VB) and f shell.

Sputter yield also depends on the angle of ion incidence. Materials that have higher sputter yield

(e.g., Au, Ag, Cu) typically show weaker dependence on the angle of ion incidence. On the other

hand materials that have lower sputter yield (e.g., Ta, Mo, Fe) show stronger ion incidence angle

dependence. Figure 2.24 (p. 115) shows relative sputter yield of representative metals (Al, Ti, Ta

and Ag) as a function of angle of incidence of ions [31]. Sputter yield increases with increasing

angle of incidence and maximum is achieved between 60� and 80�. Silver has a higher sputtering

yield and it shows weak dependence on angle of incidence.

In most materials a rapid drop in sputter yield has been found beyond 80� angle of incidence.

The dotted line represents cosine dependence of sputter yield because yield scales roughly as

1/cosine of the angle 0� up to about 50�. As shown, 0� represents normal incidence of ions to the

Table 2.1 Sputter Yield of Materials as a Function of Argon Ion Energy [27]

Target 100 eV 200 eV 300 eV 600 eV

Be 0.074 0.18 0.29 0.80

Al 0.11 0.35 0.65 1.24

Si 0.07 0.18 0.31 0.53

Ti 0.081 0.22 0.33 0.58

V 0.11 0.31 0.41 0.70

Cr 0.30 0.67 0.87 1.30

Fe 0.20 0.53 0.76 1.26

Co 0.15 0.57 0.81 1.36

Ni 0.28 0.66 0.95 1.52

Cu 0.48 1.10 1.59 2.30

Ge 0.22 0.50 0.74 1.22

Zr 0.12 0.28 0.41 0.75

Nb 0.068 0.25 0.40 0.65

Mo 0.13 0.40 0.58 0.93

Ru 0.14 0.41 0.68 1.30

Pd 0.42 1.00 1.41 2.39

Ag 0.63 1.58 2.20 3.40

Hf 0.16 0.35 0.48 0.83

Ta 0.10 0.28 0.41 0.62

W 0.068 0.29 0.40 0.62

Ir 0.12 0.43 0.70 1.17

Pt 0.20 0.63 0.95 1.56

Au 0.32 1.07 1.65 2.53�

�500 eV.

112 CHAPTER 2 Sputtering and Thin Film Deposition

Page 21: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

target and 90� the grazing incidence of ions. In the case of ion beam sputtering, it is easy to orient

the ion beam favorably with respect to the target surface. However, in the case of ions generated

from plasma, as in the case of DC magnetron and RF sputtering, there is no straightforward way to

control the angle of incidence of ions. This is because of the presence of plasma sheath at the target

surface, which accelerates all ions at an angle normal to the target surface. Even if the macroscopic

shape of the target is manipulated, ions will still strike the target in a normal direction. The only

potential technique is to introduce very fine grooves (smaller than the sheath thickness) on the target

surface such that ions impinge on to the target surface at larger angles [3]. However, inclination of

the target surface with respect to the incident ions reduces the ion current density to the target sur-

face. This reduction in current density also scales with 1/cosine of the angle of incidence of ions. As

a result, no effective increase in sputter yield is seen because of the increased angle of ion incidence.

A number of studies have shown that in low energy sputtering, sputtered materials are primarily

neutral single atoms and only a few percentage remain as a cluster of atoms/molecules. For exam-

ple, at 100 eV argon ions, 95% sputtered particles from a copper target are single copper atoms and

only 5% particles are Cu2 molecules. Velocities of sputtered atoms are different than evaporated

atoms of the same material. Figure 2.25 (p. 116) shows the velocity distribution of sputtered and

evaporated copper atoms [3]. The velocity of the majority of the sputtered atoms is significantly

higher than the evaporated atoms.

While Maxwellian velocity distribution for copper evaporation has a peak at 0.773 105 cm/sec

(0.2 eV) and a cut-off at about 23 105 cm/sec, sputtered copper atoms have a peak at

3.53 105 cm/sec (4.0 eV) and cut-off at 123 105 cm/sec (48 eV). The position of the peak in such

FIGURE 2.21

Sputter yield variation in copper with ion energy as a function of noble gas ions [28].

1132.4 Sputter deposition of thin films

Page 22: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

velocity distribution will depend on each ion-target material system and kinetic energy of the ions.

Figure 2.26 (p. 116) shows the energy distribution of sputtered copper atoms at various incident ion

energies [33]. This energy distribution is Maxwellian below the peak. The high energy side of the

energy distribution follows 1/E2 dependence. These results from a copper target show that kinetic

energy of sputtered copper atoms ranges from 0 to 40 eV when the target is bombarded by 80 to

1200 eV krypton ions. The most important energy parameter for the sputtered atom is average

kinetic energy. Typical values are 10 eV for copper and 25 eV for tantalum at 500 eV argon ion

energies. This is a major component of the total energy arriving at the substrate during sputter

FIGURE 2.22

(a) Cathode current�voltage characteristics of nickel target as a function of Ar gas pressure (target area was

103 cm2[29]) and (b) variation of apparent sputtering yield of nickel with Ar gas pressure [27].

114 CHAPTER 2 Sputtering and Thin Film Deposition

Page 23: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

deposition. Angular distribution of sputtered atoms is typically described as a cosine distribution,

which is accurate to first order.

Figure 2.27 (p. 117) shows a conventional way of representing angular distribution of sputtered

atoms at various ion energy conditions [3]. The outward arrows from the impact point represent

FIGURE 2.23

Sputter yield as a function of atomic number for Ar1 ions [30].

FIGURE 2.24

Relative sputter yields of various metals as a function of angle of ion incidence [31].

1152.4 Sputter deposition of thin films

Page 24: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

atom flux and the lengths equal to the sputter yields at normal ion incidence times the cosine of the

angles from normal to the target surface. Under-cosine angular distribution of sputtered atoms arises

from low ion energy (wide and less normal incidence of ions) and over-cosine angular distribution

from higher ion energy (more peaked and more normal incidence of ions). In the under-cosine case,

more emissions take place at low angles than at surface normal, and in the over-cosine case, more

peaked emission takes place in the surface normal direction (Figure 2.28) (p. 118) [34,35].

FIGURE 2.25

Kinetic energy distributions of copper atoms evaporated at 1500 K and sputtered with Ar1 at 500 eV [3].

FIGURE 2.26

Energy distributions of sputtered copper atoms for various incident ion energies [33].

116 CHAPTER 2 Sputtering and Thin Film Deposition

Page 25: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

In practical plasma sputtering applications, there is no significant noticeable deviation from a

cosine angular distribution in the available ion energies. However, single crystals and textured

targets can cause departure from a cosine angular distribution of sputtered atoms. Single and poly-

crystalline targets show very different yield as a function of ion energy. The left plot of Figure 2.29

(p. 119) shows such ion energy dependent sputter yield of copper single crystal targets with differ-

ent orientations and copper polycrystalline targets [36,37]. Single crystal targets with high atomic

density planes subjected to ion bombardment in the normal direction showed larger sputter yield.

The right plot in Figure 2.29 shows variation of sputter yield of single and polycrystalline copper

targets with Ar1 ion incidence angles [36,37].

2.4.2 RF sputteringFrom a thin film deposition point of view, DC sputtering is not an effective means for sputtering

insulator targets. This is because of the buildup of the positive charge at the target surface that

repels the incident positive ions. In this case, negative surface voltage becomes lower than the volt-

age required to sustain the glow discharge. As a result, plasma will shut down in a time interval as

small as 1 μsec (deduction is based on B1 mA/cm2 average RF ion current the same as DC sputter-

ing current). This leads to the conclusion that at a frequency above 1 MHz, it will be possible to

maintain discharge continuously [7]. For example, a 0.1 cm thick quartz target that has resistivity

of 106 Ωcm (ρ) to draw a current density (J) of 1 mA/cm2 would require a voltage of 1012 V (50.1

ρJ) in DC sputtering. This is an unusually high potential and rationalizes why DC sputtering cannot

be used for sputtering of quartz or any other insulators [7]. The plasma shut-down problem can be

overcome by various ways, i.e., by (a) neutralizing the positive surface charges by bombarding

with electrons from a source gun, (b) placing a metal grid in the vicinity of the target and

FIGURE 2.27

Emission-distribution for sputtered atoms [3].

1172.4 Sputter deposition of thin films

Page 26: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

(c) heating the target to allow charge leakage. None of these are considered practical solutions for

application because these approaches typically introduce contaminations and thickness non-

uniformity to the thin film.

Alternatively, a high frequency alternating voltage can be used to neutralize the positive surface

charges periodically with electrons from plasma to continue the sputtering process. This can be

done by coupling RF voltage capacitatively to the insulating target and to the plasma. The RF fre-

quency needs to be higher than the effective RC time constant of the system to maintain continuous

plasma discharge. This means the switching interval has to be smaller than the time required by the

positive ions to travel from the edge of the sheath to the insulator surface. The first substantial

improvement in sputtering of insulators using RF discharge was reported by Anderson et al [38].

This work was inspired by Wehner’s suggestions for using RF for cleaning an insulator in a triode

sputtering and possible application of RF in depositing insulating films [1]. Later, Davidse and

(a)

(b)

FIGURE 2.28

Angular emission measurements (a) as a function of ion energies [34] and (b) polar plot of flux of sputtered

particles at two different angles of ion incidence. Note that the limit of high-energy behavior shows a cosine

distribution [35].

118 CHAPTER 2 Sputtering and Thin Film Deposition

Page 27: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Maissel successfully deposited insulating films over a substantial area [39]. Standard 13.56 MHz is

a common RF frequency used for most thin film deposition techniques.

It was noted that applied magnetic field can assist stabilization of the RF discharge and deposi-

tion at lower gas pressure. For example, quartz and glasses were RF sputtered at a rate of 30 A/sec

under 13.56 MHz RF frequency, 800 W RF power, at 3000 V peak-to-peak potential and magnetic

field of 110 G. Conducting metal targets can also be sputtered at higher rate using RF sputtering.

For example, metal films can be sputtered at a rate of 1000 A/min at a RF power of 1 kW and gas

pressure of 2 mTorr. A superimposed RF and DC power supply makes it possible to sputter metal

and insulator targets over a large range of gas pressure. Figure 2.30 shows such a sputtering tool

[2]. Such superimposition of RF and DC power supply requires a filtering network consisting of an

inductor and capacitor between the power supplies and the target. The role of capacitor is to protect

the RF power supply from DC source and inductor to protect DC power supply from RF source.

A self-biasing target to a negative potential is an effect in RF sputtering caused by the difference in

ion and electron mobility. Self-biasing in RF sputtering makes the target behave as if the target is

in DC sputtering mode, which is described in Figure 2.31 (p. 121) [40].

2.4.3 Reactive sputteringIn reactive sputtering, sputtering of a target is conducted in the presence of a reactive gas (e.g., oxygen,

nitrogen) that reacts with sputtered material and forms compound film on the substrate [41,42]. Since

the 1950s, following the deposition of TaN for hybrid circuits, reactive sputtering has been used to

deposit compound films for various applications including coated glass, cutting tools, microelectronic

devices and micro electro mechanical systems (MEMS). The most common reactively sputtered films

are oxides and nitrides. Though reactive sputtering may appear as a simple process, in fact, the reaction

between reactive gas and the sputtered material is known to cause some process instabilities, which

include a reduced deposition rate and drop in discharge voltage related to a sudden increase in partial

pressure of the reactive gas and compound formation on the target surface (known as target poisoning).

0

Spu

tterin

g yi

eld

(atm

os/io

n)

8

6

4

2

010–1 102 1031 2 5

Ei(keV) θi

10 0° 20° 40° 60° 80°0

10

20

30(111)

(100)

(110)

(411)poly

Ar+→ Cu 27 keVAr+→ Cu

(211)

(111)

FIGURE 2.29

(a) Ion energy dependence of sputtering yield of copper on (110), (100) and (111) planes [36]. (b) sputtering

yield variation with the angle of incidence of Ar1 ions on the (111) copper plane rotated about (112) axis [37].

1192.4 Sputter deposition of thin films

Page 28: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

In the case of DC diode reactive sputtering, particularly in the presence of oxygen gas, the tar-

get discharge current has been found to drop significantly. In the case of magnetron reactive sput-

tering, a unique behavior similar to hysteresis shown by magnetic materials was observed. We take

an example of reactive sputtering of aluminum in the presence of oxygen gas. Figure 2.32 (p. 122)

shows the variation of deposition rate, discharge voltage and partial pressure of oxygen gas with

gas flow rate into the chamber [3]. Up to a certain critical level (A) of oxygen flow rate, no signifi-

cant change in deposition rate, oxygen partial pressure and discharge voltage is noted. In this range

of gas flow rate, oxygen is adsorbed by the films on substrate and on the shield. Chemical analysis

of these films will show oxygen richness of the films. With further increase in oxygen flow rate,

deposition rate and discharge current drops sharply, this corresponds to the sharp increase in oxy-

gen partial pressure from A to B in Figure 2.32(b) [3].

This behavior relates to the state of reactive sputtering of aluminum films that cannot absorb

anymore oxygen and alumina compound formation takes place on the target surface leading to tar-

get poisoning. Once the target surface is covered with oxide compound, less sputtered material is

available for reaction with free oxygen in background gas and as a result deposition rate drops sig-

nificantly. Sputter yield of an oxidized aluminum target can be 25 times less than its cleaner coun-

terpart aluminum target. Also because of less usage of oxygen, partial pressure of oxygen increases

FIGURE 2.30

Components of a typical DC-RF sputtering tool [2].

120 CHAPTER 2 Sputtering and Thin Film Deposition

Page 29: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

sharply from A to B. Further increase in oxygen flow rate leads to steady (linear) increase in oxygen

partial pressure shown by the dotted line. This is parallel to the pumping curve and lies below it. In

this increased oxygen flow rate region, both deposition rate and the discharge voltage remain at their

minimum and unchanged. On reducing the oxygen flow rate, while the deposition rate and discharge

voltage remain unchanged, the oxygen partial pressure drops steadily from B to C. The oxidized layer

on the target surface is broken at C. Because of the increased deposition rate of elemental aluminum,

the oxygen partial pressure drops to D. The best oxide thin film at higher deposition rate is obtained

at an oxygen flow rate just before reaching A. Classical experimental results showed that it is possi-

ble to reduce or eliminate the hysteresis effect by reaching a high enough pumping speed along with

a reactive gas flow rate when the same operating pressure is achieved.

Target poisoning because of the compound formation at the target surface has been studied

using experiments and modeling [43�46]. Both in-situ (during sputtering) and post-sputtering

FIGURE 2.31

Illustration of pulsating negative sheath formation in capacitively coupled cathode of RF discharge. (a) Net

current/zero self-bias voltage and (b) zero current/non-zero self-bias voltage [40].

1212.4 Sputter deposition of thin films

Page 30: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

measurements of reactive species have been used in experimental studies. Interpretation of results

took into account surface adsorption and ion implementation of reactive species onto the target

surfaces. Post-sputtering measurements of reactive species on the target surface often produced

unambiguous conclusions because of the occurrence of diffusion and desorption of reactive species.

Rosen et al. have used TRIDYN code to understand oxide formation on an aluminum target

taking into account effects of oxygen chemisorption and ion implantation [47]. In this modeling

work, when chemisorptions of oxygen was disregarded and only effect of oxygen ion implantation

was considered, they noted a monotonic decline followed by a steady state erosion rate of the alu-

minum target with increasing oxygen partial pressure, i.e., oxygen implantation rate. When effect

of oxygen chemisorption on the target surface was considered, disregarding oxygen ion implanta-

tion, similar behavior in erosion rate was noted. Both oxygen chemisorption and ion implantation

have similar effects on the target poisoning.

Figure 2.33 shows depth profiles of oxygen concentration underneath the target surface in oxy-

gen chemisorption and ion implantation cases [47]. These profiles correspond to the steady state

Flow of reactive gas

Metal

Metal

Critical flow point

B

A

C

D

Compound

Compound

(a)Deposition rate

(b)Chamber pressure

(c)Discharge voltage

0

FIGURE 2.32

Representative hysteresis curves in reactive sputtering: (a) deposition rate, (b) chamber pressure and (c)

discharge voltage as a function of reactive gas flow used in sputtering of a metal target [3].

122 CHAPTER 2 Sputtering and Thin Film Deposition

Page 31: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

erosion rates. Results of this study also revealed that it did not matter how oxygen was delivered

(chemically adsorbed oxygen molecule or energetic oxygen ion) to the target surface that drove the

decline in the erosion rate of the aluminum target.

2.4.4 Magnetron sputteringPrior to the discussion of magnetron sputtering, here we briefly revisit some of the results from DC

and RF sputtering that elucidate dependence of sputter yield and/or deposition rate on the process

parameters. Figure 2.34 shows the influence of sputtering pressure and current on the sputter yield

and relative deposition rate [7,48]. Note that as sputtering pressure is increased, discharge current

increases. However, if sputtering pressure is too high, collision between sputtered atoms and gas

atoms lowers the relative deposition rate. Hence at an intermediate sputtering pressure, the highest

relative deposition rate is achieved. The shaded region in the figure shows the optimum conditions

for DC sputtering to achieve a higher relative deposition rate. In DC sputtering the deposition rate

typically increases with increasing power and square of current. It has an inverse relationship with

target-to-substrate spacing. Figure 2.35 (p. 125) shows the linear relationship between the deposition

rate of various materials and sputtering power [4]. Metallic materials such as Au, Cu, Cr, Al and Ta

were DC sputtered, while insulating materials such as Ta2O5 and SiO2 were RF sputtered [49�51].

Enhanced ionization of the gas atoms in plasma can lead to the formation of very dense plasma

that will eventually increase the sputtering rate [10]. If the electrons in a plasma are made to move

in a helical path rather than a straight line, the probability of collision between the electrons and

the gas atoms increases. Such desired motion of electrons and also entrapment of secondary elec-

trons close to the target (cathode) can be achieved by controlling a magnetic field in front of the

50

40

30

20

10

00 20 40 60

Ion implanted oxygen

Chemisorped oxygen

Depth (Å)

Oxy

gen

conc

. (%

)

80 100

FIGURE 2.33

Oxygen concentration depth profiles below the sputter surface as a function of ion implanted and

chemisorped oxygen [47].

1232.4 Sputter deposition of thin films

Page 32: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

target. In order to do so, bar- or horseshoe-shaped magnets or electromagnets have been used on

the back of the targets. If B is the magnetic field perpendicular to the page and E is the electric

field parallel to the plane of the page, secondary electrons will move in a way they will be trapped

in the plane of the magnetic field under the influence of ExB drift. ExB is a cross-product of E and

B. ExB drift makes electrons move in a direction perpendicular to both the magnetic field and the

electric field (Figure 2.36(a)) (p. 126) [3].

Figure 2.36(b) shows the motion of electrons between the anode and the cathode [4]. Because

ExB drift will result in a concentration of electrons in a certain region of the cathode, a moving

magnetic field generated by oscillating electromagnets is used to spread the ExB trapped

electrons over the target. This provides good uniformity of the secondary electrons over the

target. Figure 2.37(b) (p. 127) shows a representative magnet pack that rotates behind the sput-

tering target [52]. Figures 2.37(a,c) show geometries of ExB drift in relation to the geometries

of the magnetrons [5,7]. In the case of circular planar magnetron, the ExB drift path takes the

shape of a closed ring, which is the path of the secondary electron motion. After a substantial

degree of sputtering, this ExB drift path leaves a concentric erosion groove on the sputter face

160

Sputtering yieldfor 150 eV Ar ions

Non-magnetron sputtering

140

120

100

Cur

rent

(m

A)

Spu

tterin

g yi

eld

and

rela

tive

depo

sitio

n ra

te

80

60

40Cur

ve A

Curve B

20

00 20 40 60 80 100

Argon pressure (mTorr)120

Discharge currentplanner diode at 3000 V

140 160 180 200

1.0

Typicalsputteringconditions

Relativedeposition ratebased on curvesA & B

0.9

0.8

0.7

0.6

0.5

0.4

0.3

0.2

0.1

0

FIGURE 2.34

Variation of discharge current and sputtering yield as a function of Ar pressure. Note relative deposition rate

calculation based on current and relative sputtering yield [48].

124 CHAPTER 2 Sputtering and Thin Film Deposition

Page 33: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

(Figure 2.38(c)). Similarly, a rectangular magnetron leaves a race-track type erosion groove after

substantial sputtering of the target. In this case, magnetic field strengths at the ends are made

stronger (10�20%) to compensate for loss from the curvatures. Such magnetrons are used for

coating large substrates (e.g., solar cells, displays).

Figure 2.38(a) shows major components of a conventional DC sputtering chamber, which

include DC magnetron, motor, cooling system, shield, sputtering target, substrate and mecha-

nism of controlling target-to-substrate (T-S) spacing and heating of the substrate using a gas

[3]. Fine details of the magnet pack design are usually owned by the OEMs and are proprietary

in nature.

Figure 2.37(b) only reveals some features of a magnetron [52]. Most sputtering processes

generate heat, and it is important to extract the heat from the rear of the target. In order to

extract heat from the target, water is run through the housing containing magnetron and the tar-

get. Typically magnets are coated with water resistant epoxy to protect magnets from corrosion

and oxidation. In the case of a circular magnetron for semiconductor applications, the target size

can be between 12 and 17 inches depending on the wafer size. The speed of rotation of the

motor usually varies between 45 rpm to 95 rpm. The entire housing that holds both target and

magnetron can be quite heavy and a motorized lifting mechanism is used to open up the sputter-

ing chamber by lifting the housing from the face-down to face-up position (see Figure 2.40).

This operation is required for changing sputtering targets and also regular maintenance involving

shield change (Figure 2.41).

16000

14000

12000

10000

8000D

epos

ition

rat

e (Å

/min

)

6000

4000

2000

0 0.5 1.0Sputter power (kW)

1.5 2.5

Au

Cu Al

Cr

Ta

Ta 0 SiO22.5

Gas pressure 3×10–3 Torr (Ar)6” φ TargetSubstrate-target 60 mm

FIGURE 2.35

Sputter power dependent deposition rate for various target materials. DC magnetron sputtering for metallic

and RF sputtering for insulator targets were used [4].

1252.4 Sputter deposition of thin films

Page 34: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Figure 2.38(b) (p. 128) shows various supporting equipment (e.g., power supply, pumps,

gauges) in a DC sputtering tool. Figure 2.39 (p. 129) shows a schematic diagram of a commercial

cluster tool by Applied Materials (SIP EnCoRe)t, consisting of various application-specific cham-

bers, which is used in the semiconductor industry [3,53]. Note the additional chambers for cassette

loading-unloading, degassing, pre-cleaning, cooling, transfer, etc., and pressure ranges used in these

chambers. Depending on the process flow, wafers travel from load-lock to the specific chambers

before wafer processing is complete and wafers are removed from the cluster tool. An image of the

Applied Materials’ Enduras cluster tool is shown in Figure 2.40 (p. 129) [53].

Another important component of a sputtering tool is the shield. Figure 2.41 (p. 130) shows an

example of a shield inside a sputtering chamber [54]. Most advanced sputtering tools have shields

that are multi-piece. Shields have important roles to play, i.e., (a) they confine the plasma to the

front face of the sputtering target, (b) they prevent sputtering of the remaining exposed area of the

target�backing plate assembly and (c) they prevent deposition of sputtered atoms on the chamber

wall. Confinement of the plasma to the target face is achieved by grounding the shield, which

Electron

Anode

e–

Cathode

E

B

E

Magnetic field

B (Magnetic field) perpendicular to the page

Electricfield

Speeddecreased

Speedincreased

(a)

(b)

FIGURE 2.36

(a) A schematic representation of ExB drift effect on electrons [3], (b) ExB drift and path of electron

movement in front of cathode (sputtering target) [based on Ref 4].

126 CHAPTER 2 Sputtering and Thin Film Deposition

Page 35: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

encircles the target periphery. Typically the distance between the target and the shield is kept at

smaller than the cathode dark space. Because the shield is also used to collect laterally sputtered

materials on it, maintenance is required to clean or replace the shield after specific intervals. In cer-

tain cases, re-deposited material can form films with large stresses. These films can also show poor

adhesion to shield material (e.g., steel, aluminum). Flaking of such films is quite common, because

of thermal cycling, which can produce in-film particles. A good example is reactively sputtered

TiN film on shield surfaces. TiN film stress is typically large, and it flakes easily during heating

and cooling of the shield when plasma goes on and off. Most modern sputtering tools have lamps

to maintain shield temperature to avoid thermal cycling. It is desired to have shield life the same as

the target so that both can be changed at the same time. However, in most cases, more frequent

removal of the shield is required for cleaning or replacement. Shield surfaces are in general coated

ExB drift path

ExB drift path

Pole piece assembly

Magnetic field

Radius

(a) (b)

(c)

M2

Ero

sion

M1

M14

Magnetic field lines

Hopping electrons

Electric field

SS

SS

SN

NN

N

N

Erosiontrack

Cathode

Magneticfield lines

FIGURE 2.37

(a) Schematic showing ExB drift path in front of a sputtering target that has a simple circular planar

magnetron cathode [5], (b) top view of a representative magnetron cathode that rotates behind the sputtering

target [52] and (c) ExB drift path and geometry of erosion track case of a rectangular planar magnetron [7].

1272.4 Sputter deposition of thin films

Page 36: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

with thick rough coatings. Cleaning of shields requires either sandblasting or chemical etching of

the parts. An ultrasonic cleaning step is sometimes used for precision cleaning. Clean shields are

stored in a clean room for further use.

Now we briefly discuss those plasma properties that are often studied during the development

of a sputtering tool [55�60]. These are plasma potential, electron temperature and electron density,

which depend on the process parameters and the distance from the target surface for a given target

material. Several Langmuir probes, in suitable orientations, have been used inside a sputtering

Water out

Shield

Clamp Wafer

Heater block

Backside gas

Chamber

PlasmaProcessgas

Microngauge

Rotarypump

High vacuumgauge

Pressuregauge

Target

Power supply

Gas flowWater cooling

Magnet pack

Substrate

Gate valve

Cryopump

Vent

Argon

N2

Throttle valve

RoughingvalveSealedvalve

Water in

Motor

DCmagnetron

(a) (b)

(c)

FIGURE 2.38

Schematic illustrations of (a) a circular planar DC magnetron sputtering chamber and its major components

[3], (b) a sputtering chamber along with its supporting equipments (power supply, pumps, gauges, etc.,) and

(c) image showing concentric erosion grooves and race track shaped erosion grove in sputtering targets

formed by circular and rectangular cathodes (courtesy of Praxair Inc).

128 CHAPTER 2 Sputtering and Thin Film Deposition

Page 37: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

chamber to generate such data. During measurements using Langmuir probes, discharge currents

were kept at low values (0.1 to 1.0 A) to avoid damage of the probes [61]. For a 15 cm diameter

copper target, Figure 2.42(a�c) (p. 131) shows variations of plasma potential, electron temperature

and electron density with distance from the target as a function of argon gas pressure [61]. In this

PVD PVD PVDmodule

10–7 to 10–9 Torr

10–7 to 10–8 Torr

10–4 to 10–5 Torr

10–2 to 10–3 Torr

Transfermodule

Degas &transfer

Cassettes& wafers(Load/Unload)

PVD

Cool

Degas ororient

Degas ororient

PVD

Preclean

Bufferchamber

Load locks

Transfer

FIGURE 2.39

Various chambers of a representative PVD cluster tool (Applied Materials’ SIP EnCoRe tool) for 200 mm

wafers and typical pressure ranges used in a cluster PVD tool [based on Ref. 3, 53].

FIGURE 2.40

Image of one of Applied Materials’ Endura cluster tool [53].

1292.4 Sputter deposition of thin films

Page 38: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

case, probe measurements were carried out at a radius of 4.3 cm where highest glow was recorded.

Plasma properties changed gradually with the departure from this position towards the edges of the

eroded region on the target surface.

As shown in Figure 2.42(a), plasma potential remained nearly constant until the probe reached

the cathode sheath. Similarly, electron density dropped inside the cathode sheath after reaching the

peak at the cathode sheath boundary (Figure 2.42(c)). It was noted that when the probe was placed

deeper into the sheath, magnetron voltage increased (.10%). Gas pressure dependence of the elec-

tron temperature and electron density is very clear from these results. At low operating gas pressure,

because of the reduced collision losses, higher electron temperatures were noted [61]. The opposite

effect of gas pressure in the case of electron density can be explained in terms of electron tempera-

ture variation. At a given discharge current, the ion production rate in the bulk plasma will be inde-

pendent of gas pressure. As a result, if electron temperature increases at low gas pressure, higher

ion velocities can lower the electron density. Measurements of circulating drift current showed

direct proportionality of drift current with magnetron discharge current (Figure 2.42(d)) [62]. For a

given discharge current, the circulating ExB drift current increased with reduced gas pressure. An

increase in ExB drift current was also noted with the usage of noble gases with higher masses.

During sputtering a large number of sputtered atoms perturb the background gas locally in front

of the target. This is related to the non-uniform sputtered particles distribution. Systematic studies

have shown that background gas temperature increases locally and as a result gas density drops

locally. Typically density measurement is done by placing a tube (a few cm from the target face)

parallel to the sputter face and monitoring the apparent pressure. A steady drop in gas density at a

specific location in front of the target has been recorded with increasing power (and current). The

drop in gas density is rapid in the case of higher sputtering pressure. Because the chamber is usu-

ally much larger than the target, overall gas density remains unperturbed. Gas species and target

material also influence the gas density reduction. Higher mass gas and target material with higher

sputter yield showed larger gas density drop.

FIGURE 2.41

A representative shield for a sputtering tool (based on [54]).

130 CHAPTER 2 Sputtering and Thin Film Deposition

Page 39: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Figure 2.43 shows variation in local gas density in front of aluminum and copper targets with

increasing magnetron current [63]. Measurements were done at 5.3 cm from the target. Copper has a

higher sputter yield than aluminum, and the drop in local gas density in front of the target was faster

than that of aluminum. In the sputtering literature, such interaction between sputtered atoms and

background gas atoms was described as a thermalization process [63]. Local gas heating and

rarefaction of background gas atoms have a significant impact on the behavior of plasma (e.g., ion

formation, impedance) and also deposition of sputtered atoms on the chamber surfaces. For example,

reduction in gas density lowers the rate of ion formation and as a result plasma impedance increases.

20

10

Pla

sma

pote

ntia

lE

lect

ron

tem

pera

ture

(eV

)E

lect

ron

dens

ity (

1 �

10E

10/c

m3)

–10

–20

25

20

25

10

5

0

6

4

2

00.0 0.5 1.0 1.5

Distance from cathode surface (cm)

2.0

30 mTorr

30 mTorr

30 mTorr

5 mTorr

5 mTorr

Copper target (a)

(b)

(c)

5 mTorr

2.5 3.0 3.5 4.0

0

70

Indu

ced

ExB

drif

t cur

rent

(A

)

Copper targetAr6.0 in magnetron

60

(d)

50

40

30

20

10

00 2 4 6

Magnetron discharge current (A)

8 10

5 microns10 microns

20 microns

30 microns

FIGURE 2.42

In copper target: (a) plasma potential as a function of distance from the cathode, (b) electron temperature as

a function of distance from the cathode, (c) electron density as a function of distance from the cathode [61],

(d) induced ExB drift current versus magnetron discharge current as a function of argon pressure [62].

1312.4 Sputter deposition of thin films

Page 40: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

2.4.4.1 Directional sputter depositionAs compared to evaporation methods, conventional sputtering methods can provide more conformal

films over steps and low aspect ratio features. This is because sputtering sources are broad sources

for atom flux and also atoms are ejected at wide angles. Typically, target diameter is 50% more

than the substrate diameter for semiconductor applications, and sputtered atom distribution deviates

from cosine distribution leading to ejection of atoms in lateral directions as well. The mean free

path of sputtered atoms being a few centimeters and less than the target-to-substrate spacing (throw

distance), significant amounts of sputtered atoms travel in the lateral direction. While these features

of conventional sputtering are favorable for depositing conformal films in steps and low aspect ratio

features, they pose a problem for high aspect ratio features.

As shown in Figure 2.44(a), sputtered atoms that travel at an angle to the sputter surface normal

can easily form overhang resulting in narrowing down or closure of the high aspect ratio structure.

When a closed void is formed in such a structure, it is called keyhole void. There are in general

two approaches to overcoming this problem in high aspect ratio features: (i) directional sputtering

of neutral species and (ii) sputtering that involves ionization of atoms and deposition of ions (e.g.,

ionized physical vapor deposition, I-PVD) [64,65�80]. Directional sputter deposition of neutral

species is commonly done using (a) long throw sputter deposition and (b) collimated sputter depo-

sition [81,82]. The details of these two methods are discussed in the following sections. In addition,

enhancement of mobility of atoms on the substrate improves conformality and filling of the deeper

features. Increased mobility of the adatoms was achieved by (a) reflow at high substrate tempera-

tures and (b) applying high gas pressure on the substrate to extrude deposited material into the

12

10

8Lo

cal g

as d

ensi

ty (

×101

4 cm

–3)

6

4

2

0 2 4Magnetron current (Amp)

6 8 10

At 5.3 cm frin catgide

4 Pa system pressure

Aluminum

Copper

FIGURE 2.43

Local gas density variation with magnetron current at a distance 5.3 cm from aluminum and copper cathodes

and argon pressure of 30 mTorr [63].

132 CHAPTER 2 Sputtering and Thin Film Deposition

Page 41: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

deep features. Re-sputtering of deposited thin films using biased substrate also improves

conformality of the film in high aspect ratio features.

2.4.4.1.1 Long-throw sputter depositionIn this approach, the target-to-substrate (T-S) distance is increased to directionally filter those sput-

tered atoms that are ejected at high angle (close to normal) from the sputter surface. On increasing the

target-to-substrate throw distance up to 25�30 cm, the sputtering pressure requirement drops low

to 1024 Torr (few tenths of mTorr). In this sputtering pressure range, the mean-free path of the sput-

tered atoms is greater than the target-to-substrate throw distance. As a result geometrically filtered

sputter atom flux is effectively used for thin film deposition. It was established that a throw distance

of 25 cm was optimum for 200 mm wafers in which arriving sputtered atom flux was between 6 45�.Figure 2.44(b) shows the arrival of more directionally sputtered flux to the substrate and reduced

overhang in the entrance [6]. A throw distance of 25 cm also limits the applicability of this technique

above a 1:1�1.5:1 aspect ratio. Operating a magnetron below a few tenths of mTorr sputtering pres-

sure essentially limits the throw distance. This is because of difficulty associated with operating a

magnetron at very low sputtering pressure. The disadvantage of long throw sputtering is loss of a sub-

stantial fraction of sputtered atoms (at low angles to sputter surface) to the side-walls of the chamber.

This phenomenon reduces the deposition rate of the sputtering process. Long throw sputtering also

develops an asymmetry problem of deposition on the substrate from the center to the edge.

As shown in Figure 2.45, in the case of a 200 mm wafer and a 25 cm throw distance, the

greater buildup took place on the outer side-wall in the near-edge area of the wafers [83].

Typically, asymmetry is of the order of two to three times, but it can be higher in case of high

aspect ratio features. The two to three times asymmetry implies that the thickness of film on

inward facing side walls is two to three times thicker than that on the outward facing side-walls.

This technology was found to be inadequate for 300 mm wafer considering the requirement of

increasing the throw distance by 50% and reducing the sputtering pressure by two times to

achieve a similar level of directionality as that of the 200 mm wafer case. At low sputtering

pressure as required for the 300 mm wafer case, the deposition rate will drop below require-

ments of commercial processes. Hence, long throw sputtering was not implemented for deposit-

ing thin films on 300 mm wafers.

FIGURE 2.44

(a) Arrival of sputtered atom flux in lateral directions form overhang and (b) arrival of more directional

sputtered atoms forms less overhang in the entrance of high aspect ratio trenches [6].

1332.4 Sputter deposition of thin films

Page 42: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

2.4.4.1.2 Collimated sputter depositionCollimators are perforated-sheet or spot-welded cell-like strictures made of aluminum, copper, tita-

nium or aluminum alloys. The shapes of the opening can be round, square and hexagonal.

Application of a collimator between the sputtering target and the wafer helps collect sputtered

atoms that are nearly aligned with the center line of the collimator and improve bottom-step cover-

age in narrow trenches and vias.

Figure 2.46 illustrates the mechanism of collimated sputter deposition of thin films [84]. The

degree of filtering of sputtered atoms because of the collimator depends on the aspect ratio of the

collimator cells and also the position of the collimator inside the sputter chamber. Figure 2.47

shows a commercial collimator with hexagonal cells.

In the deposition of titanium, collimators made of titanium are preferred to avoid stress-driven

flaking of deposited materials on the collimator. If thermal expansion coefficients of collimator

material and sputtered material are comparable, stress development in deposited material because

of thermal cycling can be avoided. The limitation of collimated sputter deposition arises from low

deposition rate, clogging of the collimator cells and poor electromigration resistance of the films

because of seam formation between side-walls and the bottom of the narrow channels. As a result,

only low aspect ratio channels and vias can be successfully coated using a collimated sputter depo-

sition technique. This technique is no longer used for advanced applications and hence only a brief

description of collimated sputter deposition will be given in this section.

If one considers cosine-like emission of sputtered atoms, a collimator will only allow atoms

that are ejected within a narrow cone. The higher the aspect ratio of the collimator cells, the smaller

the half-angle.

Figure 2.48 (p. 136) shows a 2D representation of such a cone of ejected atoms [85]. The inset

table shows the collimator aspect ratio dependent emission width (in degree) for a 2 cm high

200 mmwafer

25 cmthrow

Magnetron cathode (type 30 cm dia)

FIGURE 2.45

Schematic showing assymmetry problem, from center to the edge, in long throw sputtering [83].

134 CHAPTER 2 Sputtering and Thin Film Deposition

Page 43: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

collimator located 2 cm from the sputtering target. In the collimator sputter deposition, the deposi-

tion rate drops because of filtering of ejected atoms. The deposition rate is dependent on the aspect

ratio of the collimator and roughly the deposition rate drops by a factor of 3x with an increase in

the collimator aspect ratio by each unit.

Figure 2.49 shows variation of deposition rate with sputter chamber pressure as a function of

aspect ratio of the collimators [84]. At a given chamber pressure, the deposition rate is minimum

for the largest aspect ratio of the collimator. Collimated sputter deposition of titanium into

FIGURE 2.46

Schematic diagram showing collimated sputtering [84].

FIGURE 2.47

Image of a commercial collimator used in sputtering (Source: Internet) [86].

1352.4 Sputter deposition of thin films

Page 44: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

FIGURE 2.48

Distribution (sphere) of emitted atoms from a sputtering target and their subsequent collimation [85].

FIGURE 2.49

Variation of deposition rate with argon pressure as a function of aspect ratio of collimators used in collimated

sputtering [84].

136 CHAPTER 2 Sputtering and Thin Film Deposition

Page 45: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

moderate aspect ratio vias and also TiN diffusion barrier layers were practiced where conformality

and deposition rate requirements were moderate. As shown in Figure 2.50, for moderate aspect

ratio features, step-coverage at the bottom of the feature can be improved by increasing the aspect

ratio of the collimator from 1:1 to 1.5:1 [9]. The highest aspect ratio feature that can be coated

with satisfactory step coverage is 4:1. A collimator with even higher aspect ratio cells is required to

coat a higher aspect ratio feature. However, this would result in reduced deposition rate.

In addition to directional sputtering, two different approaches have been used to fill deep fea-

tures in relatively large technology nodes. These are heat-assisted reflow process and post-

sputtering high pressure fill process. A high temperature reflow process was first proposed in the

late 1980s, in which surface atoms from field area were mobilized to fill the deep features. This

technology exploits enhanced surface diffusion of atoms at elevated temperatures (e.g., 500�C for

Al), which has lower activation energy than that of bulk diffusion. However, in order to take advan-

tage of surface diffusion, the entrance to via/trench needs to be open during sputtering. Secondly,

the first layer needs to adhere well to the substrate to avoid any island formation. In addition, high-

level cleanliness is required to avoid contamination (e.g., gas, water vapor), which reduces the rate

of diffusion of surface atoms. If entrance of the via/trench gets closed because of the bridging, bulk

diffusion would be required to fill the deep feature, which has high activation energy. For example,

for Al, first a seed layer is deposited using either sputtering or CVD and then substrate temperature

is increased considerably (e.g., 500�C for Al interconnects) to drive reflow of the surface atoms

from field areas to deep features. This technology has been in use for Al and Cu interconnect for-

mation where the aspect ratio of the deep features is not large. In the case of Al interconnect fabri-

cation, a Ti underlayer has been used to increase wettability and also reflow. However, a reaction

between Ti and Al forms TiAl3, which increases the Al line resistance.

FIGURE 2.50

Step coverage (at bottom surface) variation with aspect ratio of via as a function of characteristics of

collimators [9].

1372.4 Sputter deposition of thin films

Page 46: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

A pressure filling process was originally proposed by Electro Tech, called ForceFill, in which it

was desired to have bridge formation because of excessive overhang at the entrance of via/trench

rather than a reflow process (Figure 2.51) [9]. When sputter-coated via/trench has bridges over the

entrance, by applying large Ar pressure (600�700 atm) at elevated temperatures, it is possible to

extrude the bridge material into the via/trench. The pressurization step is conducted in a separate

chamber after sputter deposition has been completed. Figure 2.52 shows pressure and temperature

dependence of via filling with Al [74]. Relative pressure of 1.0 corresponds to 600 atm. In order to

reduce the pressure requirement, a higher temperature is required for complete filling of the via/

trench. Ar content of the film is typically less than 0.1 ppm.

2.4.4.2 Ionized physical vapor deposition (I-PVD)Our earlier discussion on the sputter deposition of thin films involved deposition of neutral atoms

on the substrate. No ions of sputtered atoms are formed during sputtering of target material. In rare

occasions, even if ions of sputtered atoms are produced, these will be held on the surface of the

plasma sheath [5,8,9]. It is important to note that sputtered neutral atoms are ejected from the sput-

ter surface in a wide range of angles and these atoms being neutral in nature cannot be redirected

to substrate in normal direction. However, ions of sputtered atoms can be redirected by applying an

electric field. Hence if a dense plasma can be created that will provide highly ionized flux of sputtered

atoms and these ionized atoms can be directed near-normal to the substrate, it would be possible to coat

and fill very high aspect ratio features because of the good directionality of the ionized sputtered atoms.

FIGURE 2.51

Schematic diagrams showing: (a) overhang formation because of high rate sputtering, (b) voiding because of

bridging and (c) high gas pressure extrusion of the material into the via [9].

138 CHAPTER 2 Sputtering and Thin Film Deposition

Page 47: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

These requirements of sputtering were successfully fulfilled in I-PVD [65�73,76�80]. The principles

of producing high-density plasma were originally borrowed from a plasma-etching technique.

In I-PVD, the main source of plasma is the DC magnetron, and the secondary source is induc-

tively coupled plasma (ICP). Inductive coupling of power generated by any source to the plasma is

called ICP. Typically a radio frequency (RF) based ICP is produced by a 1 to 3 turn RF coil placed

along the periphery of the chamber equidistant from the target and the substrate. In general, RF

coil is placed 3 cm to 4 cm from each. The diameter of the RF coil can be similar to the diameter

of the target or larger. Coils are made of high-purity materials.

Figure 2.53(a) shows an I-PVD tool with external RF coil, RF power supplies and matching units

[75]. In most I-PVD chambers, the RF coil is placed inside the chamber to avoid heating of the

metal wall. Even if a dielectric vacuum window is installed in the I-PVD chamber wall, it would be

coated with sputtered thin film layers, which would prevent the inductive coupling of power to the

plasma. In this case an internal slotted Faraday shield is used, which prevents coating of the dielec-

tric window (Figure 2.53(a)) [75]. As the sputtered atoms come in contact with high-density induc-

tively coupled plasma, ionization takes place easily, in collision with electrons, because ionization

energy of most metal atoms are lower than that of argon. This apparently implies that plasma den-

sity would increase with the addition of metal. In practice, the opposite phenomenon is observed, i.

e., plasma density decreases. As discussed earlier, the temperature of the background gas increases

because of the collision with sputtered metal atoms, which lowers the background gas density. As a

result, plasma density drops at a given RF power level. Therefore, gas rarefaction reduces the

plasma density. This topic will be further discussed in the following sections.

Figure 2.53(b) illustrates thermalization of sputtered metal atoms by the background gas and then

ionization of the sputtered atoms in the dense plasma inside an I-PVD chamber [87]. Note that metal

0.3

430

0.50 MM, 3:1 AR Holes0.35 MM, 4:1 AR Holes

420

410

400

Waf

er te

mpe

ratu

re (

C)

390

380

370

360

350

340

0.4 0.5 0.6 0.7

Relative pressure

0.8 0.9 1.0 1.1

VOIDVOID

FILLFILL

FIGURE 2.52

Results show wafer temperature and relative pressure dependence of filling of aluminum vias. A relative

pressure of 1 represents 600 atmosphere [74].

1392.4 Sputter deposition of thin films

Page 48: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

FIGURE 2.53

Schematic illustrations showing (a) components of a representative RF assisted (external coil design) I-PVD

tool [75] and (b) sputtering of metal atoms and their ionization by the electrons in dense plasma inside an

I-PVD chamber. Note the collimation of metal ions by the sheath above the biased substrate [87].

140 CHAPTER 2 Sputtering and Thin Film Deposition

Page 49: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

ions travel normal to the substrate through the sheath. As a result metal ions are collimated in the sheath

over the substrate. However, one should note that coating of high aspect ratio via or trench also depends

on various factors such as re-sputtering of the deposited film by argon ions, re-deposition of

re-sputtered material, ion enhanced mobility of the adatoms and modified sticking coefficient.

Figure 2.54 shows the effect of re-sputtering at the bottom of trench in biased substrate [9]. As shown,

a substantial proportion of re-sputtered film is deposited on the side walls of the bottom corners. This

illustrates how re-deposition to bottom corners improves conformality of the coating.

One of the most important attributes of an I-PVD process is its ability to produce high enough

metal ion fractions, which could be as high as 80% depending upon process parameters. Figure 2.55

shows dependence of Al ion fraction on the inductively coupled power [87]. The solid line represents

calculated Al ion fraction from a global model for the ionization process [88]. Measurement of Al

neutral and Al1 ion density in radial direction suggests diffusion transfer of both the species.

Figure 2.56 shows Al ion fraction variation with sputtering pressure for argon and neon gases

[71]. Al ion fraction gradually increases with gas pressure for both the gases, but higher Al ion

fraction was generated in the neon gas case. For a given magnetron power, Al ion fraction was

found to increase with increasing RF power (Figure 2.57) (p. 143) [71]. Also note that at a given

RF power, higher Al ion fraction was generated at the lowest magnetron power. Al ion fraction sat-

urated at low RF power and at low magnetron power. I-PVD was extensively used for depositing

Ti films to form silicides.

Figure 2.58 (p. 143) compares the Ti step-coverage measured in a wide variety of aspect ratio

features, achieved using three different sputtering methods, e.g., conventional sputtering, collimated

FIGURE 2.54

Schematic showing liner deposition in a trench using I-PVD and effect of re-sputtering at the bottom of the

trench [9].

1412.4 Sputter deposition of thin films

Page 50: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

1.0

Experiment

Model

30 mTorr, ArTgas = 800 KTarget: 1 kW dc

0.9

0.8

0.7

Al i

oniz

ed fl

ux fr

actio

n

0.6

0.5

0.4

0.3

0.2

0.1

0.0

0 500 1000 1500

PICP (W)

2000 2500

FIGURE 2.55

Experimental data showing development of aluminum ion fraction in sputtered aluminum flux with increasing

inductively couples plasma (ICP) power. At 2 kW ICP power, aluminum flux has about 80% ions. Solid line

represents prediction from a global model for ionization process [87].

1.0

( ) argon ( ) neon

250 W RF induction

0.8

0.6

Alu

min

um io

n fr

actio

n

0.4

0.2

0.00 10 20 30

Pressure (mTorr)

40 50

FIGURE 2.56

Aluminum ion fraction variation with chamber pressure for argon and neon gases used in a RF based I-PVD

sputtering too [71].

142 CHAPTER 2 Sputtering and Thin Film Deposition

Page 51: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

1.0

0.8

0.6

Alu

min

um io

n fr

actio

n0.4

0.2

0 100 200

RF induction power (W)

300

Pressure: 36 mTorr

( ) 1 kW magnetron

( ) 2 kW magnetron

( ) 3 kW magnetron

4000.0

FIGURE 2.57

Aluminum ion fraction variation with RF induction power for three different magnetron power levels used in a

RF based I-PVD tool [71].

100

80

Ste

p co

vera

ge (

% o

f a fi

eld)

60

40

20

00 2

PVD Ti

Collimated Ti

I-PVD Ti

4

Aspect ratio of via

6 8 10

FIGURE 2.58

Step coverage (at bottom) variation with aspect ratio for conventional PVD, collimated PVD and I-PVD. Aspect

ratio of 1.5:1 was used for collimated I-PVD [9].

1432.4 Sputter deposition of thin films

Page 52: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

sputtering and I-PVD [9]. In collimated sputtering a 1.5:1 aspect ratio collimator was used. Results

show that I-PVD is a superior technique for coating high aspect ratio features to achieve high

step-coverage.

In a study, 10 and 30 mTorr sputtering pressure and RF power of 200 W were used to measure

electron temperature as a function of magnetron current in Al I-PVD. As shown in Figure 2.59,

electron temperature gradually dropped with increasing magnetron current [88]. High ionization of

Al atoms were not achieved by increasing the RF power. Also the I-PVD Al deposition rate did not

scale well to magnetron power. The study of copper I-PVD showed that neutral gas density

decreased sharply and then slowly with magnetron power (Figure 2.60) [89]. Neutral gas density

for Cu I-PVD also decreased gently with RF power (Figure 2.61) [90]. Local gas density in Cu I-

PVD decreased gently with magnetron power at various RF coil power levels (Figure 2.62) (p. 146)

[90]. At a given magnetron power, local gas density was at its minimum in the case of the highest

RF coil power (e.g., 1400 W). The above results from the Cu I-PVD study verified the phenomenon

of plasma density reduction because of the background gas rarefaction. The magnitude of gas rare-

faction depends on the dimension of the target, coil and also on sputtering process parameters such

as gas species, pressure, flow rate and temperature of the chamber components [90].

Figure 2.63(a) (p. 147) shows the historical development of the Applied Materials commercial

I-PVD tools (e.g., ion metal plasma, IMP; self-ionized plasma, SIP; SIP enhanced coverage with

0 400 800 1200Magnetron current (mA)

0

1

2

3

4

50.0 0.2 0.4 0.6 0.8 1.0 1.2

Aluminum density (x1012cm–3)

Ele

ctro

n te

mpe

ratu

re (

eV)

10 mTorr30 mTorrModel

FIGURE 2.59

Electron temperature variation with magnetron discharge current as a function of argon pressures in an I-PVD

tool. A constant 200 W RF power was used. Solid line represents results of modeling work [88].

144 CHAPTER 2 Sputtering and Thin Film Deposition

Page 53: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

re-sputtering, EnCoRe) [91]. Note the difference in the magnetron power, gas pressure and RF coil

used for these commercial tools. A representative tantalum coil used in the EnCoRe chamber for barrier

layer deposition on 300 mm wafer is shown in Figure 2.63(b) [92]. Typically, a two-step process is

used in the SIP EnCoRe (TaN) process to improve conformality of the Ta film. In the first SIP mode,

Ta film in general shows high bottom coverage, high field thickness and buildup on side-walls. In the

0 500 1,000 1,500 2,000 2,500 3,000 3,500

Magnetron power (DC Watts)

0

0.2

0.4

0.6

0.8

1

1.215 cm dia Cu cathode,Ar gas at 30 mTorr

Neu

tral

gas

den

sity

(x1

0E15

/cm

3)

FIGURE 2.60

Neutral gas density variation with applied magnetron power in an I-PVD tool. Measurements were done at

5.3 cm distance from 15 cm diameter copper target sputtered at 30 mTorr argon [89].

0 200 400 600 800 1,000 1,200 1,400 1,600

RF Power to coil (Watts)

0

0.2

0.4

0.6

0.8

1

1.2

Neu

tral

gas

den

sity

(x1

0E15

/cm

3)

36 cm dia. 2-turn rf coil

FIGURE 2.61

Neutral gas density variation with RF power applied to the coil (without any applied power to magnetron) in

an I-PVD tool. The coil had 36 cm diameter and two turns [90].

1452.4 Sputter deposition of thin films

Page 54: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

SIP mode, Ta sputtered in coil off mode at high magnetron power and low wafer bias. In the second

step, i.e., in inductively coupled plasma mode, the Ar1 sputtering process reduces the bottom coverage

and low angle Ta neutrals protect the bevel (Figure 2.64(a), p. 148) [91]. In this way, desired bottom

coverage and step coverage were achieved.

Figure 2.64(b) shows step-coverage improvement with the evolution of the I-PVD tool from

IMP to EnCoRe at Applied Materials Inc [91]. Note that side-wall, bottom and field thickness

values are comparable in the case of the EnCoRe tool, suggesting a conformal barrier layer.

Figure 2.65(a) (p. 149) shows TEM images of an actual dual damascene structure (at 45 nm node)

in which high side-wall and bottom coverage are visible. Left and right images correspond to center

and edge of the wafer [93]. As discussed earlier, in the second step a high-density Ar plasma was

used to re-sputter excess barrier material from bottom and side-walls. Figure 2.65(b) shows images

of the etched structure [93].

Note the improvement in conformality of the barrier layer and no punch-through feature [93].

For Cu seed layer deposition in less than 20 nm features, a new tool (PVD Amber) of Applied

Materials has been released which uses selective heavy deposition of Cu at the bottom of via to

reduce the aspect ratio of the via [86]. This happens to be a cold deposition process. In the next

step, a high temperature reflow process is used to transfer Cu atoms from side-walls of via to the

bottom of the via. In this design, the capillary effect has also been used to fill the via.

Subsequently, this relatively low aspect ratio feature is filled with electroplated Cu.

0 500 1,000 1,500 2,000 2,500

Magnetron power (DC Watts)

0

0.1

0.2

0.3

0.4

0.5

Neu

tral

gas

den

sity

(x1

0E15

/cm

3)

600W rf 800W rf 1000W rf

1200W rf 1400W rf

FIGURE 2.62

Neutral gas density variation with magnetron power as a function of constant RF coli power in an I-PVD tool.

A constant 30 mTorr argon pressure was used in these experiments [90].

146 CHAPTER 2 Sputtering and Thin Film Deposition

Page 55: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Before closing this discussion of sputtering for semiconductor applications, an example is pre-

sented on the optimization of thin film properties using target-to-wafer spacing adjustments. In a

commercial environment, attempts are often made to extend the life of the sputtering target to

increase productivity. In specific target configurations it is possible to use extra material in pre-

determined erosion zones and increase the target life after optimization of the sputtering process.

Figure 2.66(a) (p. 150) shows an aluminum alloy (Al-0.5Cu) target in which extra material was

used in the form of concentric rings at the erosion zones [94]. Heights of inner and outer rings

were optimized after extensive sputtering experiments and thin film property evaluation. The

Applied Materials Endura tool and a power of 10.6 kW were used for depositing 1 μm thick films

on oxidized 200 mm silicon wafers. A chamber pressure of 2.04 mTorr, Ar flow rate (chamber) of

18 sccm, Ar flow rate (back plane) of 15 sccm and substrates heating at 300�C were used for these

experiments. Target-to-wafer spacing between 44 and 53 mm were used to achieve suitable thin

film Rs uniformity.

Figure 2.66(b) shows variation of Rs uniformity with target-to-wafer spacing at different levels

of target life (100 to 1400 kWh) [94]. In order to have low enough (1.5%, 1σ) Rs uniformity values

in sputter deposited Al-0.5Cu films, target-to-wafer spacing had to be increased with increased tar-

get life. In order to achieve desired film properties, such optimization of target-to-wafer spacing for

a given set of sputtering conditions is important.

FIGURE 2.63

(a) Schematic showing I-PVD tool development at Applied Materials Inc [54,91]. EnCoRe stands for

enhanced coverage with resputtering. (b) Image of a representative tantalum coil used in EnCoRe PVD

tool [92].

1472.4 Sputter deposition of thin films

Page 56: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

2.4.4.3 Hollow cathode magnetronHollow cathode magnetron (HCM) is an I-PVD source that generates very high density plasma

(.1012/cm3) for efficient ionization of sputtered atoms [95�101]. As shown in Figure 2.67

(p. 150), a commercial HCM tool (e.g., INOVA of Novellus Systems Inc.) uses an inverted cup-

shaped sputtering target [97]. This HCM has side magnet array, a rotating magnet at the top, an

electromagnet coil, cooling system, floating anode ring, ceramic insulator and grounded adapter

ring. A vacuum of 1 3 1029 Torr can be achieved in such HCM chambers, and typically HCMs

operate at low gas pressure of a few mTorr or lower. Literature reveals that 6 mTorr of Ar pressure

and a DC power of 4.8 kW were used for sputtering a commercial copper HCM target [97].

At lower gas pressure, the target erosion profile improves. At sub-mTorr gas pressure, the erosion

area significantly increases because of the large ionization volume. For HCM sputtering, no addi-

tional RF or microwave sources are used for generating metal ions. Wafer is held on a substrate

using an electrostatic clamping mechanism and at a distance of 74 mm from the adapter ring (for

INOVA tool of Novellus). Wafer can be heated depending on the process requirement.

The operating principle of HCM is very similar to a planar magnetron. Figure 2.68(a) (p. 151)

shows the ExB drift near the target surface, which captures secondary electrons generated from the

target wall [97]. This allows efficient sputtering of the target material. Unlike planar magnetron,

HCM produces high density plasma in the entire volume of the chamber. The generation of high

density plasma in HCM chamber is facilitated by its cup-shaped sputtering target. Unlike planar tar-

get where primary electrons are lost to the anode and the chamber shield, HCM is capable of con-

fining primary electrons within the volume of the source. (Figure 2.68(b)) [97]. As a result, loss of

Sidewall Bottom Field (nm)0

5

10

15

20

25

30

35

40

D (

nm)

IMP

SIPEnCoRe

I-PVD Evolution (AMAT)

Ar+

Ar+Ar+ sputter processreduces bottomcoveragr, while lowangle Ta neutralsprotect the bevel

Ta (re-sputtered)

Ta

Ta from coil

(a) (b)

FIGURE 2.64

(a) Mechanisms of reducing bottom coverage in ICP mode (Step-II ) which follows SIP mode (Step-I ) that

originally developed high bottom coverage in barrier application, and (b) step coverage improvement with the

evolution of I-PVD tools from IMP to SIP EnCoRE at Applied Materials Inc. [54] 0.25 μm wide trenches with

aspect ratio of 4 were used for comparison. Note that side-wall, bottom and field thickness are comparable in

case of EnCoRE indicating near conformal barrier layers [91].

148 CHAPTER 2 Sputtering and Thin Film Deposition

Page 57: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

primary electrons is at its minimum in a HCM. This helps generate high density plasma within the

volume of the source. In addition, a plasma density in excess of 5 3 1011 /cm3 can be generated

near the wafer. This is achieved by the following mechanism. The magnetic field in a HCM is

designed in such a way so that it creates a cusp near the target opening. This cusp behaves like an

aperture through which high density plasma is extracted in the downstream of the HCM chamber

(Figure 2.68(c)) [97]. The electromagnet around the floating anode defocuses this plasma and

spreads over the entire wafer. Plasma sheath over wafer directs the ions closer to the wafer normal.

Efficient steering of ionized sputtered atoms towards the wafer provides excellent step coverage in

high aspect ratio features without the assistance of any RF bias to the wafer. However, because of

FIGURE 2.65

(a) TEMs showing step coverage of barrier layers in center and edge trenches in a wafer in a dual damascene

structure. High side wall and bottom coverages are shown in these figures. (b) TEMs showing center and

edge trenches after barrier layer deposition and etch process [93].

1492.4 Sputter deposition of thin films

Page 58: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

(a) (b)

FIGURE 2.66

(a) Schematic of a specially designed aluminum alloy (Al-0.5Cu) target (ring-type) and (b) Rs uniformity

(%, 1 Sigma) variation with target�substrate spacing [94].

Rotating magnet

Water exit

Fixed sidemagnet

Waterentrance

Ceramicinsulator

Target

Water coolingjacket

Floating anodering

ShieldAdapter ring

eM coil

FIGURE 2.67

Schematic showing HCM I-PVD tool components and a sputtering target [97].

150 CHAPTER 2 Sputtering and Thin Film Deposition

Page 59: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

the high density plasma in a HCM, a self-bias of approximately 210 to 2 25 V can be seen in

wafer on electrically isolated pedestal. RF biasing of the wafer further improves the directionality

of the sputtered atoms.

Most common targets that are sputtered using HCM are copper, titanium and tantalum. In case

of titanium and tantalum, reactive sputtering is used to deposit TiN and TaN films. Unlike conven-

tional reactive sputtering, no hysteresis effect is seen in reactive sputtering of HCMs because of the

absence of the target poisoning. Therefore, HCM targets can be sputtered sequentially in metallic

and reactive deposition modes without a significant drop in deposition rate.

Figure 2.69 shows properties such as resistivity and chemical composition of TaN phase as a

function of nitrogen partial pressure (N2:Ar flow ratio) [97]. Note that at low nitrogen partial

pressure, tetragonal and bcc TaN phases were formed. With increased nitrogen partial pressure,

TaN showed predominantly bcc and fcc crystal structures. No hysteresis effect was recorded in

reactive sputtering of tantalum HCM sputtering.

RF biasing of the wafer pedestal during HCM sputtering increases step-coverage as well as film

microstructure. This is because of sheath formation, which increases the directionality of the ions

and bombardment energy of the ions. With increasing RF bias power, typically the following

FIGURE 2.68

Schematics showing (a) ExB drift near the surface, typically very strong in HCM, which keeps secondary

electrons near the target surface for sputtering to occur, (b) confinement of primary electrons in the volume

inside HCM and (c) magnetic cusp in front of the HCM target that acts as an opening for plasma extraction

towards the downstream region [97].

1512.4 Sputter deposition of thin films

Page 60: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

changes were noted: (a) higher bottom coverage, (b) increased bottom coverage and sidewall cover-

age because of re-sputtering and (c) large re-sputtering [97].

Figure 2.70(a) shows titanium bottom coverage variation from 22% to 50% in a 0.15 μm and

8:1 aspect ratio contact with increased RF bias of wafer pedestal [97]. Very high RF bias caused

FIGURE 2.69

Variation of TaN resistivity and N:Ta ratio with increasing nitrogen flow in a HCM sputtering tool. Note

structure variation in TaN and also absence of any hysteresis effects [97].

Increasing RF power Increasing RF power

22% 36% 50%

0.25 μm 0.25 μm

(a) (b)

FIGURE 2.70

Cross-sectional TEMs showing: (a) Titanium bottom coverage and (b) Copper bottom coverage as a function

of increasing RF bias for HCM sputtering [97].

152 CHAPTER 2 Sputtering and Thin Film Deposition

Page 61: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

unwanted overhang formation at the opening because of the excessive re-sputtering of thin film.

The extent of the re-sputtering at a given RF power will depend on the film material. Similarly,

Figure 2.70(b) shows RF bias dependence of copper bottom coverage (not quantified in this image)

[97]. RF biasing of the wafer pedestal also altered deposition rate and stress of the copper film. RF

power in excess of 100 W significantly reduced these film properties. Without RF bias, copper film

thickness at the center of wafer was found to be thicker than at the edges. With RF bias, because of

the re-sputtering better film thickness uniformity was achieved.

In one of the modeling studies of copper HCM sputtering, emphasis was placed on the modeling

of plasma properties in relation to the varying power, pressure and magnetic field strength and

distribution [99,100]. This model was a modification of the 2D Hybrid Plasma Equipment Model

[100]. Ion and neutral velocity distribution functions were obtained from a computational tech-

nique, which used Monte Carlo simulation for ion and neutral transport property determination.

Figure 2.71(a) shows variation of electron density inside a copper HCM with varying argon

pressure. The target was sputtered at 10 kW [99]. The region of peak electron density was found to

move from center to the target surface with increasing argon pressure.

FIGURE 2.71

(a) Modeling work showing variation of electron density inside a copper HCM with argon pressure. 10 kW

power was applied to the copper HCM target. Note that the region of peak electron density moves from

center to the target surface using increasing argon pressure and (b) Modeling work showing magnetic field

strength and flux vector variation inside a copper HCM. Magnetic cusp near target opening extracts plasma

from inside of the target towards the downstream region [99].

1532.4 Sputter deposition of thin films

Page 62: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

Figure 2.71(b) shows magnetic field strength and flux vector variation inside a copper HCM. It

also shows existence of a magnetic cusp near the target opening, which extracts plasma from inside

the target towards the downstream chamber [99]. Results of this modeling study also showed flux

of copper (neutral and ions) decreased with increases gas pressure, up to 10 mTorr (Figure 2.72(a)).

On the other hand, the total ion flux and ionized copper fraction increased with gas pressure

(Figure 2.72(b)). With increasing gas pressure thermalizing collisions of sputtered atoms increase,

which in turn decrease the fraction of the total neutral copper flux that is non-thermal in nature.

These results were similar to the results recorded in conventional I-PVD. It was estimated that 95%

of the neutral copper flux was non-thermal below 2.5 mTorr gas pressure. This value dropped to

less than 1% above 20 mTorr gas pressure. This modeling work also noted that conformality of the

copper seed layer not only depended on the copper ionization fraction but was also sensitive to the

fraction of the copper flux that was non-thermal in nature.

2.4.4.4 Magnetrons for large area coatingIn Chapter 1, the importance of sputtering in large area coating, as applied to the display and thin

film photovoltaic industry, was discussed. Historically large planar targets as well as cylindrical tar-

gets have been used in this industry [102�104]. For planar targets, magnetrons similar to the one

shown in Figure 2.73(c) are used. Figure 2.73 shows a schematic of a commercial DC planar mag-

netron of Von Ardenne GmbH used for indium-tin-oxide (ITO) sputtering [105]. The disadvantage

of planar magnetron is low usage of target material (,45%). Figure 2.74 shows images of used

ITO targets and erosion profiles in the form of race tracks [106]. In order to improve target usage

in planar magnetrons, magnet arrays have been made to oscillate by specific magnitudes [107].

This innovation led to indium-tin-oxide target usage as high as 55%.

In recent years because of the growth of displays and the photovoltaic industry as a whole, demand

for cylindrical targets and innovation in rotary magnetron has increased. The reasons for increasing

0 10 20 30 40Pressure (mTorr)

0

1

2

3

4To

tal c

u flu

x (1

016 c

m–2

s–1)

Tota

l lon

flux

(10

16 c

m–2

s–1)

0 10 20 30 400

2

4

6

8

Ion flux

Cu+

Flu

x / T

otal

cu

flux

0.0

0.2

0.4

0.6

0.8

Pressure (mTorr)

Cu+/ Cu

(a) (b)

FIGURE 2.72

Variation of incident flux on the substrate with argon pressure for a copper HCM subjected to 10 kW power:

(a) total copper flux versus argon pressure and (b) total ion flux and the ionized fraction of copper versus

argon pressure [100].

154 CHAPTER 2 Sputtering and Thin Film Deposition

Page 63: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

demand of rotary magnetrons are better target material utilization (70�90%), efficient cooling-driven

high power density, high deposition rate, high stability in reactive sputtering, small variations in sput-

tering behavior because of full target surface erosion and in some cases less particle generation.

Figure 2.75(a) shows the external view of a rotary magnetron [107]. A cylindrical target rotates

slowly around a cylindrical magnetron assembly, which has a static magnet array inside it.

Figures 2.75(b-c) illustrate how an axial SmCo magnet array is placed inside a rotary magnetron

[108]. Magnets and yoke are the essential components of a magnet array (Figure 2.76) (p. 157)

Moving substrate

Collimator Plasma shield

Magnetron

Chamber

Piezocontrol valve

MFC Ar

O2MFM

Fiberopticssystem

inputoutput

Setpoint for intensity

Filter

Pre amplifier

Hybridphoto-multiplier

PEM°05controller

Power supplyP = const

FIGURE 2.73

Schematic illustration of a single magnetron DC sputtering tool used for indium tin oxide coating of large area [105].

FIGURE 2.74

Image showing indium tin oxide rectangular targets used for large area coating [106].

1552.4 Sputter deposition of thin films

Page 64: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

[104]. NdFeB and steel are the most widely used magnet and yoke materials. Figure 2.77 (p. 158)

shows simulated electron trajectories above a cylindrical copper target [109]. An experimentally

measured erosion profile was also compared with a simulated profile. In their experiment, the target

was not rotated to record the erosion profile of the cylindrical target. Rotation of the cylindrical tar-

get produces a nearly uniform erosion profile in the early life of the target. Figure 2.78 (p. 159)

shows the erosion profile of an Al-Mg-Si cylindrical target as a function of target usage [110].

FIGURE 2.75

Images of (a) a rotary magnetron from outside [107], (b) axial SmCo magnet array inside the magnetron

[108] and (c) cross-section of the magnetron [108]. Images (b) and (c) are not related to (a).

156 CHAPTER 2 Sputtering and Thin Film Deposition

Page 65: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

2.5 Thin film characteristicsSince the 1920s, there has been continuous effort in various fields to understand the mechanism of

thin film formation because of the condensation of atoms from the vapor phase of the material.

Earlier work on evaporated thin film at Cavendish Laboratory in England promoted the concept of

nuclei formation, growth and coalescence of islands [7]. With the maturation of vacuum science

and technology, thin film technology also made significant progress. American Vacuum Society

FIGURE 2.76

Schematic illustration of cross-section of a rotary magnetron cathode used for simulation work. Note that a

straight magnetron runs parallel to the rotary target [109].

1572.5 Thin film characteristics

Page 66: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

was established in 1953. Subsequently, because of the progress in the area of electron microscopy

and surface analysis, the field of thin film technology further advanced in terms of identifying lat-

tice defects, epitaxy, segregation of alloying elements and impurities [111�120].

Condensation of atoms from its vapor phase leads to the formation of thin films. During sputter

deposition of thin films, initially the substrate and later films are bombarded with various species,

as shown in Figure 2.79 [2]. However, only sputtered neutral or ionized atoms (e.g., I-PVD) and

some contaminants actually form the thin film. A continuous polycrystalline thin film is achieved

because of the occurrence of a number of events, which are typically classified as nucleation,

growth and coalescence of islands. In the nucleation stage, a number of atoms or molecules come

together and form small clusters or islands on the substrate. As the deposition process continues,

these nuclei grow to sub-critical size by incorporating impinging atoms. This is called the growth

stage. In the next stage, these islands merge by coalescence. This leads to decrease in island density

and as a result formation of denuded zones are possible where further nucleation of islands can take

place [7]. Transmission electron studies reveal that coalescence of islands is liquid-like in character

[2]. Crystallographic re-orientation of nuclei can take place at this stage because of the competition

among coalescing islands. In case of single crystal substrate, because of the suitable structure of the

substrate island may grow and coalesce into a single crystal film. This is called epitaxy. It is

0 10 20 30 40

X (mm)

0 10 20 30 40

X (mm)

Target surface

Y (

mm

)

0

10

20

Z (

mm

)

0

10

20

30

40

(a)

FIGURE 2.77

(a) Top view of simulated electron trajectories above the rotary cathode surface and (b) side view of simulated

electron trajectories above the rotary cathode surface [109].

158 CHAPTER 2 Sputtering and Thin Film Deposition

Page 67: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

possible that coalescence of islands may not form a continuous film immediately and rather form a

network separated by unfilled channels [7]. As deposition continues, these channels also fill in and

a continuous film is achieved. In the past, various electron microscopy studies of thin film forma-

tion, aimed at identifying the end of nucleation and the onset of nucleus growth. It is believed that

FIGURE 2.79

Species that typically bombard thin films during sputtering [2].

0 500 1000 1500 2000 2500

14.00

12.00

10.00

8.00

6.00

4.00

2.00

0.00

Erosion (mm)

6621 kWh12213 kWh

19095 kWh 32163 kWh26777 kWh

FIGURE 2.78

Erosion profile development in an Al-Mg-Si rotary target sputtered at 35 kW as a function of its usage (given

in kWh) [110].

1592.5 Thin film characteristics

Page 68: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

no such clear boundary probably exists between these two events. It is recognized that the above

sequence of events occurs only in the early stage of the thin film deposition � probably in the first

few hundred angstroms thick films.

Various observations made from thin film growth have led to the classification of growth into the

five categories shown in Figure 2.80 [121]. In the Frank-van der Merwe (FM) growth model, thin film

atoms are more strongly bonded to the substrate than to each other, which leads to the growth of the

nucleus in two dimensions. This forms planar sheets and is only observed for a small number of

substrate-thin film combinations. In the Volmer-Weber (VW) growth model, the nucleus grows in

three dimensions and forms islands on the substrate. Metals on insulators, graphite, mica and alkali

Frank-van der Merwe

Volmer-Weber Polycrystalline Columnar

Stranski-Krastanov

FIGURE 2.80

Schematic representations of thin film growth models proposed by various investigators [121].

0 2 4 6 8 10

Number of layers

–1.5

–1

–0.5

0

0.5

1

Free

ene

rgy

SK

FM

VW

FIGURE 2.81

Variation of calculated free energy of thin film with number of atoms (N) in the monolayer film. Dashed and solid

lines represent various models for thin film growth [121].

160 CHAPTER 2 Sputtering and Thin Film Deposition

Page 69: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

halide crystals show VW type growth. In the Stranski-Krastanov (SK) growth model, growth features

reflect a combination of the FM and VW models, i.e., first one or two monolayers are formed and

then islands are formed at the top of these layers because of unfavorable growth conditions.

The reason behind transition from two-dimensional to three-dimensional growth is not

completely understood. Many metal-metal and metal-semiconductor combinations are known to

show the SK growth model. In addition, polycrystalline and columnar growth models are possible

that result from limited atomic mobility at the surfaces. Such thin film morphologies are driven by

geometrical effects (shadowing) and also controlled by surface diffusion of atoms. Columnar shapes

of the grains are achieved when the surface is rough and peaks receive more atoms from all direc-

tions than valleys because of geometrical shadowing. Typically off-normal atom flux enhances the

shadowing effect. In addition to this, if mobility of atoms at the surface is low, peaks grow faster

than the valleys. This results in a columnar structure. Columnar grains can form both in crystalline

and amorphous films.

Attempts have been made to model the growth of films taking into consideration free energy of

the film as a function of atoms in the film [121]. Figure 2.81 shows free energy variation of thin

films that corresponds to the VW, SK and FM models with number of atoms in the monolayer film

[121]. Molecular dynamic calculations successfully delineated boundaries between VW and SK

growth models in W�η space (Figure 2.82) [121]. W is the ratio of film-substrate to film-film

bond energy and η is the misfit. Note the crystallographic orientation dependence of boundary

LAYER BY LAYER

STRANSKI -KRASTINOV

1

2

3

W

FCC (100)

DC (III)

VOLMER-WEBER

.04 .08 .12 .16 .20

MISFIT (η)

FIGURE 2.82

Schematic illustration of domains where FM, SK and VM thin film growth models will operate in W-η space. W

is a measure of strength of thin film�substrate bonds and η is misfit [121]. DC = diamond cubic; FCC = face

centered cubic.

1612.5 Thin film characteristics

Page 70: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

between the VW and SK model. The FM growth model is represented by the dark arrow, which is

confined on the W-axis above W5 1 at zero misfit (η5 0).

The above discussion largely focused on the early stages of polycrystalline film formation dur-

ing sputtering. In this section, we briefly discuss the structural models proposed for relatively thick

films deposited by evaporation and sputtering to distinguish process-dependent grain morphologies.

Figure 2.83 shows the Movchan and Demchishan (MD) structural model for evaporation and the

Thornton structural model for sputtering [122,123]. In the MD structural model, grain structure

variation was based on the melting point of the deposition material. As shown, three different zones

Movchan and demchishan model

Thornton model

Zone 1

Zone 1MetalsOxides

<0.3T’m<0.26T’m

>0.45 T’m>0.45 T’m

0.3-0.45 T’m0.26-0.45 T’m

Zone 2 Zone 3

T1 T2

Zone 2 Zone 3

Temperature

Transition structure Columner grains

Recrystallizedgrain structure

Porous structure

Zone I Zone T

Zone IIZone III

0.10.2

0.30.4

0.60.7

0.80.9

1.0

Substratetemperature(T/TM)

1

10

20

30

Argonpressurein m Torr

FIGURE 2.83

(a) Movchan and Demchishan structural model for thin film deposited by evaporation [122], and (b) Thornton

structural model for thin film deposited by sputtering [123].

162 CHAPTER 2 Sputtering and Thin Film Deposition

Page 71: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

of characteristic grain structure were proposed for metal and oxide films deposited by evaporation.

In contrast, the Thornton model considered sputtering pressure and substrate temperature to predict

various grain structures.

In Figure 2.83, substrate temperature is expressed in terms of T/Tm where T is the substrate

temperature and Tm is the melting point of deposition material. As shown, various types of grain

structures were predicted in the sputtering pressure (mTorr) and T/Tm substrate temperature space.

At low T/Tm and high sputtering pressure, porous structure is formed, while columnar grain struc-

ture is formed at relatively high T/Tm and over a wide range of sputtering pressure. Note the transi-

tion zones between two distinct grain structure zones. In practice, in modern sputtering tools,

several sputtering process variables can modify these grain structures for a given deposition mate-

rial. Figure 2.84 (p. 163) shows a cross-sectional TEM of 150 nm thick tungsten films sputter

deposited on silicon wafer at different sputtering pressure (12 to 60 mTorr Ar) [124]. At low sput-

tering pressure (12 mTorr), film showed dense microstructure without any columnar grains. Film

showed predominantly coarse bcc grains and only a small number of grains had A15 structure. At

intermediate sputtering pressure (26 mTorr), film showed columnar grains with domed top surfaces.

At high sputtering pressure (60 mTorr), film showed dendrite-like grains. Voids were also noted at

12 mTorr Ar

26 mTorr Ar

60 mTorr Ar

100 nm

(a)

(b)

(c)

FIGURE 2.84

Cross-sectional TEMs showing microstructure evolution in 150 nm thick sputtered tungsten films as a

function of argon pressure: (a) 12 mTorr, (b) 26 mTorr and (c) 60 mTorr [124].

1632.5 Thin film characteristics

Page 72: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

columnar grain boundaries. A wide variation in microstructure is possible in thick films depending

on the material and the choice of process parameters during sputtering.

Here we briefly discuss the effect of sputter deposition parameters such as substrate tempera-

ture, film thickness and kinetic energy of ions on the film grain size, surface roughness and density

of the as-deposited films. For a given sputtered film/substrate combination and a given set of sput-

tering conditions, grain size of deposited film increases with higher substrate temperature beyond a

threshold substrate temperature. This trend of film grain size variation with substrate temperature is

qualitatively shown in Figure 2.85 [4,18].

Substrate temperature ( Ts )

Gra

in s

ize

(Gs)

Thickness Kinetic energy

Gra

in s

ize

(Gs)

Annealing temperature

Gra

in s

ize

(Gs)

Thick film Thick film

Thin film

Thin film

Evaporationstarts

High Ts

Low Ts

Gra

in s

ize

(Gs)

FIGURE 2.85

Dependence of thin film grain size on the substrate temperature (Ts), film thickness, post-deposition

annealing temperature and kinetic energy of ions [4,18].

164 CHAPTER 2 Sputtering and Thin Film Deposition

Page 73: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

At a given substrate temperature a thicker film typically show larger average grain size than

its thinner film counterpart. However, film grain size does not continue to increase in thicker

films with increasing substrate temperature, but saturates. Post-annealing of sputtered thin films

at high enough annealing temperature also increases the film grain size and more so in the case

of thicker films as compared to thinner films. Grain size of thin film also depends on the kinetic

energy of the ions. Grain size increases with increasing kinetic energy and then drops gradually

as shown in Figure 2.85 [4,18]. This is prominent at high substrate temperature. Initially,

increase in kinetic energy increases the mobility of atoms leading to the formation of larger

grains. However, at higher ion kinetic energy, mobility of adatoms drops drastically because of

penetration of ions to the substrate.

As-deposited films may develop special features such as epitaxy and microstructures with

oriented and amorphous grains. Studies of thin film microstructure showed that the formation of

epitaxial film is favored by crystallographic compatibility between deposited film and the substrate,

higher mobility of atoms, low supersaturation and also smooth and clean substrate surface. In

contrast, film with oriented grains is achieved because of favorable orientation of a particular

crystallographic direction in the deposited film and it can grow even on an amorphous substrate.

Amorphous thin films with fine grains have been found to form under low mobility of adatoms

and high supersaturation conditions. Reduction in the mobility of surface atoms can be achieved

by decreasing the substrate temperature, introducing selected impurities into the film and also

co-sputtering of materials with different atomic sizes. This type of amorphous films typically grows

layer by layer [4].

The roughness of sputter deposited films slightly increases with film thickness, and this is promi-

nent at higher substrate temperature (Figure 2.86) [4,18]. As shown qualitatively, roughness of

porous film increases sharply with film thickness. Increased surface roughness has been found in

Film thickness

(a)

Film thickness

(b)

Low Ts

Low Ts

High Ts

High TsPo

rous

Rou

ghne

ss fa

ctor

Thi

n fil

m d

ensi

ty

Bulk density value

FIGURE 2.86

(a) Surface roughness and (b) density variation with film thickness as a function of substrate temperature (Ts)

[4,18].

1652.5 Thin film characteristics

Page 74: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

cases where sputtered atoms impinge on the substrate at an oblique angle rather than normal. This is

because of the shadowing effect from neighboring regions. Density of the film is another property

that may depend on the film thickness and the substrate temperature. Ultra-thin films usually show

lower density than their thicker film counterparts. As shown in Figure 2.86, a thicker film is required

to achieve density close to bulk density. An excellent discussion of this subject can be found else-

where [1,7]. A number of thin film properties are discussed on this book’s companioin website.

References[1] Maissel LI, Reinhard G. Handbook of thin film technology. New York: McGraw-Hill; 1983.

[2] Chapman B. Glow discharge processes: sputtering and plasma etching. New York: John Wiley & Sons;

1980.

[3] Powell RA, Rossnagel SM. Thin films. San Diego, CA: Academic Press; 1999.

[4] Wasa K, Kitabatake M, Adachi H. Thin film materials technologies. William Andrew; 2004.

[5] Rossnagel SM. Physical vapor deposition 15�1 In: Doering R, Nishi Y, editors. Handbook of semicon-

ductor manufacturing technology. Florida: CRC Press; 2008

[6] Plummer JD, Deal MD, Griffin PB. Silicon VLSI technology: fundamentals, practices and modeling.

Upper Saddle River, New Jersey: Prentice Hall; 2000.

[7] Ohring M. The materials science of thin films. Boston: Academic Press; 1992.

[8] Rossnagel SM. Thin film deposition with physical vapor deposition and related technologies. J Vac Sci

Technol A 2003;21:S74.

[9] Rossnagel SM. Directional and ionized physical vapor deposition for microelectronics applications.

J Vac Sci Technol B 1998;16:2585.

[10] Helmersson U, Lattemann M, Bohlmark J, Ehiasarian AP, Gudmundsson JT. Ionized physical vapor

deposition (IPVD): a review of technology and applications. Thin Solid Films 2006;531:1.

[11] Rossnagel SM, Cuomo JJ, Westwood WD. Handbook of plasma processing technology. NJ: Noyes;

1990.

[12] Xia L-Q, Chang M. Chemical vapor deposition 13�1 In: Doering R, Nishi Y, editors. Handbook of

semiconductor manufacturing technology. Florida: CRC Press; 2008.

[13] Seidel T. Atomic layer deposition 14�1 In: Doering R, Nishi Y, editors. Handbook of semiconductor

manufacturing technology. Florida: CRC Press; 2008.

[14] Volger D, Doe P. ALD special report-Where’s the metal, Solid State Tecnol 2003;46:35�44.

[15] Applied Materials Inc., (Source: old advertisement materials). Available from www.appliedmaterials.

com.

[16] Alcock CB, Iktin VP, Horrigan MK. Vapor pressure equations for the metallic elements: 298�2500K.

Canadian Metall Quarter 1984;23:309.

[17] Pulker HK. Coating on glass. NY: Elsevier; 1984.

[18] Chopra KL. Thin film phenomena. NY: McGraw-Hill; 1969.

[19] Sree Harsha KS. Principles of physical vapor deposition of thin films. Elsevier; 2006.

[20] Wasa K, Hayakawa S. Jpn J Elect Eng 1965;85:130.

[21] Child CD. Discharge from hot CaO. Phy Rev 1911;2:492.

[22] Grove WR. On the electro-chemical polarity of gases. Phil Trans R Soc 1852;142:87.

[23] Langmuir I. Positive ion currents from the positive column of the mercury arc. General Electric Rev

1923;26:731.

166 CHAPTER 2 Sputtering and Thin Film Deposition

Page 75: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

[24] McClanahan ED, Laegreid N. Production of thin films by controlled deposition of sputtered materials.

In: Behrisch R, Wittmaack K, editors. Sputtering by particle bombardment, III, Heidelberg. Springer

Verlag; 1993. p. 339.

[25] Anderson HH, Bay HL. In: Behrisch R, editor. Sputtering by particle bombardment, Vol I. Berlin:

Springer Verlag; 1983. p. 157.

[26] Sigmund P. Theory of Sputtering. I. Sputtering Yield of Amorphous and Polycrystalline Targets. Phys

Rev 1969;184:383.

[27] Laegreid N, Wehner GK. Sputtering Yields of Metals for Ar+ and Ne+ Ions with Energies from 50 to

600 ev J Appl Phy 1961;32:365.

[28] Almen O, Bruce G. Collection and Sputtering Experiments with Noble Gas Ions. Nucl Inst & Methods

1961;11:257.

[29] Kay E. Trans. Conf. and school on sputtering. CA: Pebble Beach; 1969.

[30] Stuart RV, Wehner GK. Sputtering yields at very low bombarding ion energies J Appl Phy

1962;33:2345.

[31] Oechsner H. Sputtering�A review of some recent experimental and theoretical aspects. Appl Phy A

1975;3:185.

[32] Smentkowski VS. Trends in sputtering. Prog Surf Sci 2000;64:1.

[33] Stuart RV, Wehner GK. Energy distribution of sputtered Cu atoms. J Appl Phy 1964;35:1819.

[34] Callaway WF, Young CE, Pellin M, Gruen DM. Handbook of Ion beam sputtering technology,

Chapter 7. In: Cuomo JJ, Rossnagel SM, Kaufman HR, editors. Park Ridge, NJ: Noyes Publ.;

1989.

[35] Yamamura Y, Itoh N. Ion beam assisted. In: Itoh T, editor. Film growth. Elsevier; 1989.

[36] Roosendaal HE. In: Behrish R, editor. Sputtering by particle bombardment, Vol 1. Berlin: Springer

Verlag; 1983. p. 224.

[37] Elich JJ, Roosendaal HE, Onderlinden D. Radiat Elf 1972;14:93.

[38] Anderson GS, Mayer WN, Wehner GK. Sputtering of dielectrics by high-frequency fields. J Appl Phy

1962;33:2991.

[39] Davidse PD, Maissel LI. Dielectric thin films through rf sputtering. J Appl Phy 1966;37:574.

[40] Vossen JL, Cuomo JJ, Vossen JL, Kern W, editors. Thin film processes. NY: Academic Press; 1978.

[41] Safi I. Recent aspects concerning DC reactive magnetron sputtering of thin films: a review. Surface &

Coating Technol 2000;127:203.

[42] Berg S, Nyberg T. Fundamental understanding and modeling of reactive sputtering processes. Thin Solid

Films 2005;476:215.

[43] Sproul WD, Christie DJ, Carter DC. Control of reactive sputtering processes. Thin Solid Films

2005;491:1.

[44] Schiller S, Heisig U, Goedicke K, Schade K, Teschner G, Henneberger J. Advances in high rate sputter-

ing with magnetron-plasmatron processing and instrumentation. Thin Solid Films 1979;64:455.

[45] Guttler D, Abendroth B, Grotzschel R, Moller W, Depla D. Mechanisms of target poisoning during mag-

netron sputtering as investigated by real-time in situ analysis and collisional computer simulation. App

Phy Lett 2004;85:6134.

[46] Vasina P, Hytkova T, Elias M. Modeling of radial variation of target poisoning during reactive sputter-

ing deposition process. 19th Euro-physics Conference on the Atomic and Molecular Physics of Ionized

Gases. Granada, Spanelsko: European Physical Society; 2008. p. 165�66.

[47] Rosen D, Katardjiev I, Berg S, Moller W. TRIDYN simulation of target poisoning in reactive sputtering.

Nucl Inst and Meth Phy Res B 2005;228:193.

[48] Thornton JA, Vossen JL, Kern W, editors. Thin Film Processes. New York: Academic Press; 1978.

[49] Waits RK. Planar magnetron sputtering. J Vac Sci Technol 1978;15:179.

167References

Page 76: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

[50] Mahan JE, Vantomme A. A simplified collision model of sputtering in the linera cascade regime. J Vac

Sci Technol A 1997;15:1976.

[51] Thornton JA. High rate sputtering technology. Thin Solid Films 1981;80:1.

[52] Demaray RE, Helmer JC, Anderson RL, Park YH, Cochran VE. Jr. Rotating sputtering apparatus for

selected erosion, US patent No. 5252194, 12 Oct. 1993.

[53] Applied Materials Inc. (Source: old advertisement materials). Available from www.appliedmaterials.com

[54] Tanaka Y. Shield for a physical vapor deposition chamber, US patent 5824197, 20 Oct 1998.

[55] Rossnagel SM, Kaufman HR. Charge transportation in magnetron. J Vac Sci Technol A 1987;5:2276.

[56] Rossnagel SM, Kaufman HR. Current�voltage relations in magnetron. J Vac Sci Technol A

1988;6:223.

[57] Rossnagel SM. magnetron plasma diagnostics and processing implications. J Vac Sci Technol A

1988;6:1821.

[58] Rossnagel SM. Dynamic interactions in physical properties of magnetron deposited systems. Mater Sci

Eng A 1991;140:510.

[59] Turner GM, Rossnagel SM, Cuomo JJ. Measured radial and angular distribution of sputtered atoms in a

planar magnetron discharge. J Appl Phys 1994;75:3611.

[60] Rossnagel SM. Deposition and redeposition in magnetrons. J Vac Sci Technol 1988;6:3049.

[61] Rossnagel SM, Kaufman HR. Langmuir probe characterization of magnetron operation. J Vac Sci

Technol A 1986;4:1822.

[62] Rossnagel SM. Induced drift current in circular planar magnetron. J Vac Sci Technol A 1987;5:88.

[63] Rossnagel SM. Magnetron plasma deposition processes. Thin Solid Films 1989;171:125.

[64] Hopwood J. In: Hopwood J, editor. Thin Films: ionized physical vapor deposition. San Diego: Academic

Press; 2000. p. 181.

[65] Gorbatkin SM, Poker DB, Phodes RL, Doughty C, Berry LA, Rossnagel SM. J Vac Sci Technol B

1996;13:1853.

[66] ASTex Corporation High Density Plasma Vapor Deposition, AX4X50, Woburn, MA.

[67] Yamashita MJ. Fundamental characteristics of built-in high-frequency coil-type sputtering apparatus. J

Vac Sci Technol A 1989;7:151.

[68] Barnes, MS, Forster JC, Keller JH. Apparatus for depositing material into high aspect ratio holes, US

Patent 5178739, 12 Jan 1993.

[69] Yamashita MJ. Effect of magnetic field on plasma characteristics of built-in high-frequency coil type

sputtering apparatus J Vac Sci Technol A 1989;7:2752.

[70] Rossnagel SM, Hopwood. J. Magnetron sputter deposition with high levels of metal ionization Appl Phy

Lett 1993;63:3285.

[71] Rossnagel SM, Hopwood J. Metal ion deposition from ionized mangetron sputtering discharge. J Vac

Sci Technol B 1994;12:449.

[72] Rossnagel SM. Semicon. Int., 99 Feb 1996 issue.

[73] Tao K, Mao D, Hopwood J. Ionized physical vapor deposition of titanium nitride: a global plasma

model. J Appl Phy 2002;9:4040.

[74] Dixit G, Hsu WY, Mamamoto KH, Jain MK, Ting LM, Havemann RH. J Semicon Int 79 Aug 1995;(issue).

[75] Cerio F, Drewery J, Huang E, Reynolds G. Film properties of Ti/TiN bilayers deposited sequentially by

ionized physical vapor deposition. J Vac Sci Technol A 1998;16:1863.

[76] Hopwood J. Rev. of inductively coupled plasma for plasma processing. Plasma Sour Sci Tecnol

1992;1:109.

[77] Hopwood J, Qian F. Mechanism for highly ionized magnetron sputtering. J App Phy 1995;78:758.

[78] Tanaka Y, Xu Z, Gopalraja P, Forster J, Yao G, Zhang H, et al. Sub-quarter micron metallization using

ionized metal plasma (IMP) technology. Vacuum 1998;51:729.

168 CHAPTER 2 Sputtering and Thin Film Deposition

Page 77: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

[79] Mao D, Hopwood J. Ionized physical vapor deposition of titanium nitride: a deposition model. J Appl

Phy 2004;96:820.

[80] Nichols CA, Rossnagel SM, Hamaguchi S. Ionized physical vapor deposition of Cu for high aspect

ratio damascene trench fill applications J Vac Sci Technol B 1996;14:3270.

[81] Rossnagel SM, Sward R. Collimated magnetron sputter deposition with grazing angle ion bombard-

ment. J Vac Sci Technol A 1995;13:156.

[82] Rossnagel SM. Direct and preferential sputtering based physical vapor deposition. Thin Solid Films

1995;263:1.

[83] Mayo AA, Hamaguchi S, Joo JH, Rossnagel SM. A cross-wafer nonuniformity of long throw sputter

deposition. J Vac Sci Technol B 1997;15:1788.

[84] Rossnagel SM, Mikalsen D, Kinoshita H, Cumo JJ. Collimated magnetron sputter deposition. J Vac Sci

Technol A 1991;9:261.

[85] Brauer G, Hasselkamp D, Kruger W, Scharmann A. Nucl Inst Meth Phy Res B 1985;12:458.

[86] Ramamurthy S. Applied Materials Inc. Available at www.appliedmaterials.com/technologies/library/

endura-amber-pvd.

[87] Hopwood J. Ionized physical vapor deposition of integrated circuit interconnects. Phy of Plasma

1998;5:1624.

[88] Dickson M, Qian F, Hopwood J. Quenching of electron temperature and electron density in ionized

physical vapor deposition. J Vac Sci Technol A 1997;15:340.

[89] Rossnagel SM. Gas density reduction effects in magnetrons. J Vac Sci Technol A 1988;6:19.

[90] Rossnagel SM. Interaction between gas rarefaction and metal ionization in ionized physical vapor depo-

sition. J Vac Sci Technol B 1998;16:3008.

[91] Tokei Z, Iacopi F, Richard O, Waeterloos J, Rozeveld S, Beach E, et al. Barrier studies on porous silk

semiconductor dielectric. Microelec Eng 2003;70:352.

[92] Admat Inc. Available at www.admatinc.com/index.php/products/sputtering-targets/.

[93] Foster J, Gopalraja P, Gung TJ, Sundarrajan A, Fu X, Hammond N, et al. A PVD based barrier technol-

ogy for 45 nm node. Micro Eng 2005;82:594.

[94] Marx D, Mathew R, Snowman A, Fisher CR. Ring-type sputtering target, US Patent no. 6638402, Oct

28, 2003.

[95] Lu Q, Chau J, Font GI, Lai K. Electrical and pressure probe measurements of hollow-cathode magne-

tron. Seattle, Washington: 46thAVS Int. Symp; 1999.

[96] Wang Z, Cohen SA. Hollow cathode magnetron. J Vac Sci Technol A 1999;17:77.

[97] Klawuhn E, DCouto GC, Ashtiani KA, Rymer P, Biberger MA, Levy KB. Ionized physical vapor depo-

sition using hollow-cathode magnetron source for advanced metallization. J Vac Sci Technol A

2000;18:1546.

[98] Ashtiani KA, Klawuhn E, Hayden D, Ow M, Levy KB, Danek M. A new hollow-cathode magnetron

source for 0.10 μm copper application. IEEE 2000;37.

[99] Vyas V. Transport in low pressure plasma reactors for material processing, PhD Thesis, University of

Illinois at Urbana, 2005.

[100] Vyas V, Kushner MJ. Scaling of hollow cathode magnetron for ionized metal physical vapor deposi-

tion. J Vac Sci Technol A 2006;24:1955.

[101] DCouto GC, Tkach G, Lyons JD, Biberger M, Lai KF, Lu J, Ashtiani K. PVD deposition of titanium

and titanium nitride layers in the same chamber without use of a collimator or a shutter, US Patent no.

6342133, Jan 29 2002.

[102] Wright M, Beardow T. Design advances and applications of rotatable cylindrical magnetron. J Vac Sci

Technol A 1986;4:388.

169References

Page 78: Sputtering Materials for VLSI and Thin Film Devices || Sputtering and Thin Film Deposition

[103] De Bosscher W, Cnockaert D. Advances in Cylindrical Magnetrons, 41st SVC Ann. Tech. Conf. Proc.,

1999, p.156.

[104] Depla D, Li XY, Mahieu S, Van Aeken K, Leroy WP, Haemers J, et al. Rotating cylindrical magnetron

sputtering: simulation of the reactive process. J App Phy 2010;107:113307.

[105] May C, Strumpfel J. ITO coating by reactive magnetron sputtering�comparison of properties from DC

and MF processing. Thin Solid Films 1999;351:48.

[106] Von Ardenne GmbH, Germany. Available at www.vonardenne.biz/VON_ARDENNE.html?

newLanguage=en

[107] General Plasma, AZ, USA. Available at www.generalplasma.com

[108] Nedal SJ, Greene P, Rietzel J, Perata M, Malaszewski L, Hill R. Advanced generation of

rotatable magnetron technology for high performance reactive sputtering. Thin Solid Films

2006;502:15.

[109] Fan Q-H, Gracio JJ, Zhou LQ. Computer-aided simulation of a rotary sputtering magnetron. J Appl

Phy 2004;95:6017.

[110] Cunningham KL. Deposition technology for TFT-LCD production savings. Solid State Technol

2008;51:40.

[111] Thornton JA. High rate thick film growth. Ann Rev Mater Sci 1977;7:239.

[112] Thornton JA. The microstructure of sputter-deposited coatings. J Vac Sci Technol A 1986;4:3059.

[113] Messier R, Giri AP, Roy AR. Revised structure zone model for thin film physical structure. J Vac Sci

Technol A 1984;2:500.

[114] Barna PB, Adamik M. Thin Solid Films 1998;317:27.

[115] Hendersson DJ, Brodsky MH, Chaudhuri P. Simulation of structural anisotropy and void formation in

amorphous thin films. Appl Phy Lett 1974;25:641.

[116] Lewis B, Anderson JC. Nucleation and growth of thin films. New York: Academic Press; 1978.

[117] Srolovitz DJ. Grain growth phenomena in films: a Monte Carlo approach J Vac Sci Technol A

1986;4:2925.

[118] Kobashi K, Nishimura K, Kawate Y, Horiuschi T. Synthesis of diamonds by use of microwave plasma

chemical-vapor deposition: morphology and growth of diamond films Phy Rev B 1988;38:4067.

[119] Thompson CV. Grain growth in thin films. Ann Rev Mater Sci 1990;20:245.

[120] Harper JME, Rodbell KP. Microstructure control in semiconductor metallization. J Vac Sci Technol B

1997;15:763.

[121] Gilmer GH, Huang H, Roland C. Thin film deposition: fundamentals and modeling. Comp Mater Sci

1998;12:354.

[122] Movchan BA, Demchishin AV. Fiz Met Metalloved 1969;28:83.

[123] Thornton JA. Influence of apparatus geometry and deposition conditions on the structure and topogra-

phy of thick sputtered coatings. J Vac Sci Technol 1974;11:666.

[124] Shen YG, Mai YW, Zhang QC, McKenzie DR, McFall WD, McBridge WE. Residual stress, micro-

structure and structure of tungsten thin films deposited by magnetron sputtering. J App Phy

2000;87:177.

170 CHAPTER 2 Sputtering and Thin Film Deposition