sram sofr error tollarent

6
3768 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 56, NO. 6, DECEMBER 2009 A Soft Error Tolerant 10T SRAM Bit-Cell With Differential Read Capability Shah M. Jahinuzzaman  , Member , IEEE , David J. Rennie  , Member , IEEE , and Manoj Sachdev  , Senior Member , IEEE  Abstract—We pro pose a quad- node ten transistor (10T) soft error robust SRAM cell that offers differential read operation for robust sensing. The cell exhibits larger noise margin in sub-0.45 V re gime and 26% less lea kage curre nt tha n the tradi tional soft error tolerant 12T DICE SRAM cell. When compared to a conventional 6T SRAM cell, the proposed cell offers similar noise margin as the 6T cell at half the supply voltage, thus signicantly saving the leakage power. In addition, the cell exhibits 98% lower soft error rate than the 6T cell in accelerated neutron radiation tests carried out at TRIUMF on a 32-kb SRAM implemented in 90-nm CMOS technology.  Index Terms—Differential read, single event effects, soft error rates, SRAMs, standard process. I. INTRODUCTION D UE to low sig nal charge and reduced noi se mar gin, nanoscale integrated circuits are extremely susceptible to par ticle- ind uced sin gle event tra nsi ent s (SETs ) [1] , [2] . Pri mar ily , SET s are caused by alp ha par ticles and cos mic neutrons, which originate from packaging materials and inter- galactic rays, respectively. Through direct or indirect ionization in silicon, these particle s gener ate extra char ge, which gets collec ted by sensit iv e nod es and creates vo lta ge tra nsi ent s at those nodes [3]. Fig. 1 illustrates such an event in a latch consisting of a cross-coupled inverter pair. When the amplitude and duration of the transient is large, it alters the stored value in the latch, causing a single event upset (SEU). A SEU is also referred to as a ‘soft error’ as it does not permanently damage the device. However, soft error can lead to system malfunctions and as such, state-of-the-art microprocessors require soft error protection [4]. While both logic circuits and memory are vulnerable to soft errors, the latter is more susceptible because of high packing density and lack of error masking mechanisms [5]. Particularly, static random access memory (SRAM) is more prone to soft er- rors due to larg er sens it iv e volume per bit and lo we r node ca pac- ita nce tha n its dynami c cou nte rpa rt [6] . In add iti on, the sof t error Manu scrip t receiv ed May 08, 2009; revi sed Augu st 24, 2009 . Curre nt vers ion pub lis hedDecember 09, 2009.This work wassupp ort ed by theDisco ve ry Gra nt of the Natio nal Scien ce and Engi neeri ng Resea rch Cou ncil (NSERC) of Cana da. S. M. Jahinuzzaman is with the Department of Electrical and Computer Engineering, Concordia University, Montreal, QC H3G 1M8, Canada (e-mail: [email protected]). D. J. Rennie and M. Sachdev are with the Department of Electrical and Com- puter Engineering, University of Waterloo, Waterloo, ON N2L 3G1, Canada (e-mail: [email protected]; [email protected]). Color versions of one or more of the gures in this paper are available online at http://ieeexp lore.ieee.org. Digital Object Identier 10.1109/TNS.2009.2032 090 Fig. 1. Particle-induced single ev ent transient in a latch. rate (SER) in SRAMs is increasing as the technology scales in the nanometre regime [2], [7]. In order to limit the SRAM SER, error correction codes (ECC) or soft error hardened memory cells are used. However, due to the limited multiple-bit error correction capability of ECC coupled with the increasing prob- ability of neutron-induced multiple-bit upset [8], the hardened cells appear to be more attractive. The most widely used hard- ened cell is the ten transistor (10T) dual interlocked cell (DICE) [9]. While this cell is fully compatible with the standard CMOS process, it requires 12 transistors to facilitate the differential read operation (see Fig. 2(a)). Differential read is critical for bit line noise immunity under the process-voltage-temperature (PVT) variations. Olson  et al.  reported another process-com- patible differential 10T SRAM cell that lowered the SER [10]. However, due to an extra series NMOS transistor on the read curren t path, the cell exhibits a signi cant ly reduced read cur- rent under area constraints. An area-efcient 6T SRAM cell with cross-coupled node capacitor (see Fig. 2(b)) shows a con- siderable reduction on the SER [11]. However, the cell requires spe cia l pro cess ste ps to rea liz e the metal- ins ulator-me tal (MI M) type capacitor on top of the cell. Similarly, two special-process DRAM-like ca pacitors are ofte n added to a 6T cell to reduce the SER [12]. In this paper, we present a 10T soft error robust SRAM cell that is compatible with standard CMOS process and offers dif- ferential read operation with large noise margin. The cell is re- ferred to as the “Quatro-10T” cell and was tested under acceler- ated neutron radiation by implementing a 32-kb SRAM macro in 90-nm CMOS technology. The paper is organized as follows. Section II presents the proposed Quatro-10T cell. Section III describes the read and write operations and the pertinent sizing requir ements. Secti on IV analy zes the soft error robus tness of the cell. Section V presents the radiation test results while Sec- tion VI draws the conclusion. 0018-9499/$26.00 © 2009 IEEE Authorized licensed use limited to: CONCORDIA UNIVERSITY LIBRARIES. Downloaded on January 13, 2010 at 12:30 from IEEE Xplore. Restrictions apply.

Upload: santhosh-chandu-c

Post on 04-Jun-2018

220 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Sram Sofr Error Tollarent

8/13/2019 Sram Sofr Error Tollarent

http://slidepdf.com/reader/full/sram-sofr-error-tollarent 1/6

3768 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 56, NO. 6, DECEMBER 2009

A Soft Error Tolerant 10T SRAM Bit-Cell WithDifferential Read Capability

Shah M. Jahinuzzaman , Member, IEEE , David J. Rennie , Member, IEEE , and Manoj Sachdev , Senior Member, IEEE 

 Abstract—We propose a quad-node ten transistor (10T) softerror robust SRAM cell that offers differential read operation forrobust sensing. The cell exhibits larger noise margin in sub-0.45V regime and 26% less leakage current than the traditionalsoft error tolerant 12T DICE SRAM cell. When compared to aconventional 6T SRAM cell, the proposed cell offers similar noisemargin as the 6T cell at half the supply voltage, thus significantlysaving the leakage power. In addition, the cell exhibits 98% lowersoft error rate than the 6T cell in accelerated neutron radiationtests carried out at TRIUMF on a 32-kb SRAM implemented in90-nm CMOS technology.

 Index Terms—Differential read, single event effects, soft error

rates, SRAMs, standard process.

I. INTRODUCTION

DUE to low signal charge and reduced noise margin,

nanoscale integrated circuits are extremely susceptible

to particle-induced single event transients (SETs) [1], [2].

Primarily, SETs are caused by alpha particles and cosmic

neutrons, which originate from packaging materials and inter-

galactic rays, respectively. Through direct or indirect ionization

in silicon, these particles generate extra charge, which gets

collected by sensitive nodes and creates voltage transients

at those nodes [3]. Fig. 1 illustrates such an event in a latchconsisting of a cross-coupled inverter pair. When the amplitude

and duration of the transient is large, it alters the stored value

in the latch, causing a single event upset (SEU). A SEU is also

referred to as a ‘soft error’ as it does not permanently damage

the device. However, soft error can lead to system malfunctions

and as such, state-of-the-art microprocessors require soft error

protection [4].

While both logic circuits and memory are vulnerable to soft

errors, the latter is more susceptible because of high packing

density and lack of error masking mechanisms [5]. Particularly,

static random access memory (SRAM) is more prone to soft er-

rors due to larger sensitive volume per bit and lower node capac-itance than its dynamic counterpart [6]. In addition, the soft error

Manuscript received May 08, 2009; revised August 24, 2009. Current versionpublishedDecember 09, 2009.This work wassupported by theDiscovery Grantof the National Science and Engineering Research Council (NSERC) of Canada.

S. M. Jahinuzzaman is with the Department of Electrical and ComputerEngineering, Concordia University, Montreal, QC H3G 1M8, Canada (e-mail:[email protected]).

D. J. Rennie and M. Sachdev are with the Department of Electrical and Com-puter Engineering, University of Waterloo, Waterloo, ON N2L 3G1, Canada(e-mail: [email protected]; [email protected]).

Color versions of one or more of the figures in this paper are available onlineat http://ieeexplore.ieee.org.

Digital Object Identifier 10.1109/TNS.2009.2032090

Fig. 1. Particle-induced single event transient in a latch.

rate (SER) in SRAMs is increasing as the technology scales in

the nanometre regime [2], [7]. In order to limit the SRAM SER,

error correction codes (ECC) or soft error hardened memory

cells are used. However, due to the limited multiple-bit error

correction capability of ECC coupled with the increasing prob-

ability of neutron-induced multiple-bit upset [8], the hardened

cells appear to be more attractive. The most widely used hard-

ened cell is the ten transistor (10T) dual interlocked cell (DICE)

[9]. While this cell is fully compatible with the standard CMOSprocess, it requires 12 transistors to facilitate the differential

read operation (see Fig. 2(a)). Differential read is critical for

bit line noise immunity under the process-voltage-temperature

(PVT) variations. Olson  et al.   reported another process-com-

patible differential 10T SRAM cell that lowered the SER [10].

However, due to an extra series NMOS transistor on the read

current path, the cell exhibits a significantly reduced read cur-

rent under area constraints. An area-efficient 6T SRAM cell

with cross-coupled node capacitor (see Fig. 2(b)) shows a con-

siderable reduction on the SER [11]. However, the cell requires

special process steps to realize the metal-insulator-metal (MIM)

type capacitor on top of the cell. Similarly, two special-process

DRAM-like capacitors are often added to a 6T cell to reduce theSER [12].

In this paper, we present a 10T soft error robust SRAM cell

that is compatible with standard CMOS process and offers dif-

ferential read operation with large noise margin. The cell is re-

ferred to as the “Quatro-10T” cell and was tested under acceler-

ated neutron radiation by implementing a 32-kb SRAM macro

in 90-nm CMOS technology. The paper is organized as follows.

Section II presents the proposed Quatro-10T cell. Section III

describes the read and write operations and the pertinent sizing

requirements. Section IV analyzes the soft error robustness of 

the cell. Section V presents the radiation test results while Sec-

tion VI draws the conclusion.

0018-9499/$26.00 © 2009 IEEE

Authorized licensed use limited to: CONCORDIA UNIVERSITY LIBRARIES. Downloaded on January 13, 2010 at 12:30 from IEEE Xplore. Restrictions apply.

Page 2: Sram Sofr Error Tollarent

8/13/2019 Sram Sofr Error Tollarent

http://slidepdf.com/reader/full/sram-sofr-error-tollarent 2/6

Page 3: Sram Sofr Error Tollarent

8/13/2019 Sram Sofr Error Tollarent

http://slidepdf.com/reader/full/sram-sofr-error-tollarent 3/6

3770 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 56, NO. 6, DECEMBER 2009

Fig. 5. Comparisons of a) SNM and b) leakage current at different supply volt-ages in the simulation.

Fig. 6. SNM and leakage current of Quatro-10T and standard 6T cells in sim-ulations.

Quatro-10T cell consumes 26% less leakage current than the

12T DICE cell and 53% higher leakage current than the 6T cellwhen simulated at 1 V (see Fig. 5(b)). However, a trade-off be-

tween the leakage current and SNM can be made. For example,

the Quatro-10T cell can operate at with an SNM

of 125 mV and a leakage current of 893 pA at 27 , as shown

in Fig. 6. For the same SNM, the 6T cell requires ,

which results in a cell leakage of 1.1 nA. Thus, the Quatro-10T

cell can save 19% cell leakage without compromising the read

SNM.

In a write operation, the Quatro-10T cell is driven to the new

logic value by both bit lines. For writing 0, BL and BLB are

Fig. 7. Simplified view of Quatro-10T cell at the beginning of a write access.

pre-charged to ‘0’ and ‘1’ respectively, and the WL is activated.

A simplified view of the cell at the activation of WL is shown in

Fig. 7. Once WL is high, BL pulls down the potential at node A,

, below to turn off N3 (see Fig. 7). In order to achieve

this, N5 has to be stronger than P1. To find the pertinent condi-

tion, we equate the DC currents through P1 and N5 and expressthe voltage at node A as (2) (See equation at bottom of page)

Here, and are electron and hole mobilities, respec-

tively, and is referred to as pull-up ratio-1 defined as

. Since the NMOS has a higher car-

rier mobility, can be 1 to enable pulling down . As

is pulled below to turn off N3, BLB pulls up through

N6 towards . The resulting overdrive of N4 has to

be strong enough to fight against P4 so that can be pulled

down to flip the cell. Equating the DC currents through P4 (sat-

uration) and N4 (linear), the voltage at node D can be expressed

as (3) (See equation at bottom of page) where is referred

to as pull-up ratio-2 defined as .To complete writing into the cell, has to be pulled below

so that P2 and P3 can be turned on. A

of 0.75 or smaller can reliably do this job as shown in Fig. 8.

Since the Quatro-10T cell is symmetric, all of its constituent

transistors can thus be easily sized by choosing the , ,

and .

IV. SOFT ERROR ROBUSTNESS OF QUATRO-10T CELL

The soft error robustness of the Quatro-10T cell is verified in

SPICE by injecting an exponential current at nodes A, B, C, and

(2)

(3)

Authorized licensed use limited to: CONCORDIA UNIVERSITY LIBRARIES. Downloaded on January 13, 2010 at 12:30 from IEEE Xplore. Restrictions apply.

Page 4: Sram Sofr Error Tollarent

8/13/2019 Sram Sofr Error Tollarent

http://slidepdf.com/reader/full/sram-sofr-error-tollarent 4/6

JAHINUZZAMAN et al.: A SOFT ERROR TOLERANT 10T SRAM BIT-CELL WITH DIFFERENTIAL READ CAPABILITY 3771

Fig. 8. Simulation waveforms of storage nodes, word line, and bit lines in awrite cycle.

Fig. 9. Simulation showing recovery of the cell for an injected current mim-icking a 1     0 SET at node A.

D to mimic a particle-induced SET. Results show that all nodes

are capable of recovering from 1 0 SET. Fig. 9 illustrates

such a recovery for a 1 0 SET at node A. On the other hand,

nodes C and D are able to recover from 0 1 SETs; however,

a sufficiently large 0 1 SET at node A or B has the potential

to flip the cell. If and a large 0 1 SET occurs at node

A, N2 can turn on P1 and P4 by lowering while N3 can turnoff N4 by lowering . Consequently, the cell flips as shown

in Fig. 10. However, the critical charge for such a case is very

large ( 7.9 fC or 3 compared to 6T cell), meaning a very high

energy neutron is required to cause the upset. Since the ground

level neutron flux exponentially decreases with increasing en-

ergy, the upset probability is low. Furthermore, the 0 1 SET

is less likely to occur at the drain of the ‘OFF’ PMOS since it

requires a higher energy neutron than a 1 0 SET does due

to the n-well-to-p-substrate junction collecting a sizable portion

of the deposited charge. However, the storage nodes (A and B)

of the Quatro-10T cell can be made more robust against 0 1

SET by appropriately sizing some of the transistors. To identify

those transistors, we consider the transient response of the nodestoring logic-0 when the exponential current pulse is injected.

Fig. 10. Simulation showing flipping of the cell for an injected current mim-icking a 0     1 SET at node A.

When node A stores 0 and an exponential current, , is

injected into the node, the resulting transient can be described

by the following equation:

(4)

where is the effective node capacitance, is the ON resis-

tance of transistor N1 operating in the linear region, the total

charge injected by the noise current, and the time constant of 

the noise current. Such single time constant noise current is used

because the rising time constant of a typical SET is very small

compared to the falling time constant, and it simplifies mathe-

matical operations [15]. The solution of (4) can be derived as:

(5)

By differentiating (5) and equating the result to zero, we can

find the time when reaches a maximum:

(6)

Substituting (6) into (5) yields the maximum voltage caused at

node A due to the injected current (see Fig. 11):

(7)

In order to prevent any upset due to the injected current,

has to be less than . Thus, using (7) we get

Or

(8)

Authorized licensed use limited to: CONCORDIA UNIVERSITY LIBRARIES. Downloaded on January 13, 2010 at 12:30 from IEEE Xplore. Restrictions apply.

Page 5: Sram Sofr Error Tollarent

8/13/2019 Sram Sofr Error Tollarent

http://slidepdf.com/reader/full/sram-sofr-error-tollarent 5/6

3772 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 56, NO. 6, DECEMBER 2009

Fig. 11. Simulation showing recovery of the cell for an injected current mim-icking a 0     1 SET at node A.

Fig. 12. Simulation results showing logic 0 degradation on a read access and 0

 

1 critical charge of logic 0 node as a function of driver transistor width.

The sizing of the driver transistors (N1 and N3) has to be such

that is small enough to satisfy the inequality given by (8).

Fig. 12 shows the critical charge increase with increasing width

of the driver transistors. Fig. 12 also shows that increasing the

width of the driver transistors also reduces the logic-0 degrada-

tion on read access, thus improving read stability. The

designer should choose the transistor size such that the required

soft error robustness is achieved within the givenarea constraint.

Noted that increasing the size of the driver transistors does not

noticeably affect the write time. This is because the driver tran-

sistor is shut off by the storage node that is pulled down by one

of the bit lines during the write operation.

V. RADIATION TEST RESULTS OF A 32-kb SRAM

A test chip containing a 32-kb (256 128) Quatro-10T-based

SRAM and a 64-kb (256 256) standard 6T-based SECDED-

ECC-protected SRAM was fabricated in 90-nm CMOS tech-

nology (see Fig. 13(a)). The word size for both of the SRAMs

was 32-bits. The area consumption of the 32-kb Quatro-10T

SRAM was similar to the 64-kb ECC-protected SRAM since

the laid out area of the Quatro cell was 2.57 times the area of the

6T cell. The measured power components of the Quatro SRAMare shown in Fig. 13(b). Power measurements were done at 100

Fig. 13. a) Die photograph of the test chip and b) measured power componentsof the Quatro-10T-based 32-kb SRAM.

Fig. 14. a) Energy spectrum of TRIUMF’s neutron beam used to irradiate thechip and b) measured soft error rate comparisons.

MHz with a supply of 0.8 V due to the limitations of test equip-

ment; however, the SRAM was designed to operate at 300 MHz

at 1 V.

Accelerated neutron radiation tests on the test chip were per-

formed according to the JEDEC standards at TRIUMF in Van-couver, BC, Canada. The average neutron beam fluence was

, which was approximately

times the neutron fluence at the sea level in New York City

(NYC). The energy spectrum of the beam was similar to that of 

the atmospheric neutrons as shown in Fig. 14(a). In the radiation

tests, the Quatro-10T cell showed 98% less SER than the 6T cell

and 14% less SER than the ECC-protected SRAM, as shown

in Fig. 14(b). The few errors that occurred in the Quatro-10T

SRAM cell can be attributed to SETs affecting multiple nodes

[10], [13]. Such SETs can also upset a DICE cell [13], which

consists of 12 transistors and hence, occupies larger area than

the Quatro cell. Thus, the proposed Quatro-10T cell manifestsitself as a lower cost alternative to the DICE cell for realizing

soft error robust SRAMs.

VI. CONCLUSION

We have presented a 10T SRAM cell that reduces soft errors

by 98% and facilitates a differential read access. Differential

read is critical for easier design of the sense amplifier and for

reliable sense operation under the worst case conditions. The

high SNM of the proposed cell enables operating in sub-0.4 V

regime to save leakage power while offering better data stability

compared to the 6T cell as well as the DICE cell. In addition, the

cell can be used as a latch to design soft error robust register filesand flip-flops. Research along this line is currently in progress.

Authorized licensed use limited to: CONCORDIA UNIVERSITY LIBRARIES. Downloaded on January 13, 2010 at 12:30 from IEEE Xplore. Restrictions apply.

Page 6: Sram Sofr Error Tollarent

8/13/2019 Sram Sofr Error Tollarent

http://slidepdf.com/reader/full/sram-sofr-error-tollarent 6/6

JAHINUZZAMAN et al.: A SOFT ERROR TOLERANT 10T SRAM BIT-CELL WITH DIFFERENTIAL READ CAPABILITY 3773

ACKNOWLEDGMENT

The authors are grateful to Dr. Sharifkhani for numerous

stimulating discussions, to Pierce Chuang for PCB design, and

to Dr. Ewart Blackmore at TRIUMF for help in radiation tests.

REFERENCES

[1] Y. S. Dhillon, A. U. Diril, A. Chatterjee, and A. D. Singh, “Analysisand optimization of nanometer CMOScircuits for soft-error tolerance,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 5, pp.514–524, May 2006.

[2] R. Baumann, “Soft errors in advanced computer systems,” IEEE Des.

Test C omput., vol. 22, pp. 258–266, May–Jun. 2005.[3] P. E. Dodd and L. W. Massengill, “Basic mechanisms and modeling

of single-event upset in digital microelectronics,” IEEE Trans. Device

 Mater. Rel., vol. 50, no. 3, pp. 583–602, Jun. 2003.[4] D. Krueger, E. Francom, and J. Langsdorf, “Circuit design for voltage

scaling and SER immunity on a quad-core Itanium processor,” in Proc.

 Int. S olid Sta te Circuits Conf., 2008, pp. 94–95.[5] T. Karnik, P. Hazucha, and J. Patel, “Characterization of soft errors

caused by single event upsets in CMOS processes,”  IEEE Trans. De- pend. Secure Comput., vol. 1, no. 2, pp. 128–143, Apr.–Jun. 2004.

[6] C. Lage, D. Burnett, T. McNelly, K. Baker, A. Bormann, D. Dreier,andV. Soorholtz, “Soft error rate andstored chargerequirements in ad-

vanced high-density SRAMs,” in Proc. Int. Electron Devices Meeting,1993, pp. 821–824.

[7] T. Granlund, B. Granbom, and N. Olsson, “Soft error rate increase fornew generations of SRAMs,” IEEE Trans. Nucl. Sci., vol. 50, no. 6, pp.2065–2068, Dec. 2003.

[8] C. W. Slayman, “Cache and memory error detection, correction, andreduction techniques for terrestrial servers and workstations,”   IEEE 

Trans. Device Mater. Rel., vol. 5, no. 3, pp. 397–404, Sep. 2005.[9] T. Calin, M. Nicolaidis, and R. Velazco, “Upset hardened memory de-

sign for submicron CMOS technology,”  IEEE Trans. Nucl. Sci., vol.43, no. 6, pp. 2874–2878, Dec. 1996.

[10] B. D. Olson,D. R. Ball, K. M. Warren,L. W. Massengill, N. F. Haddad,S. E. Doyle, and D. McMorrow, “Simultaneous single event charge

sharing and parasitic bipolar conduction in a highly-scaled SRAM de-sign,” IEEE Trans. Nucl. Sci., vol. 52,no. 6, pp. 2132–2136,Dec. 2005.[11] F. Ootsuka  et al., “A novel 0.25      full CMOS SRAM cell using

stacked cross couple with enhanced soft error immunity,” in Proc. Int.

 Electron Devices Meeting, 1998, pp. 205–208.[12] P. Roche, F. Jacquet, C. Callat, and J.-P. Schoellkopf, “An alpha im-

mune and ultra low neutron SER high density SRAM,” in  Proc. IEEE 

 Int. Reliability Phys. Symp. , Apr. 2004, pp. 671–672.[13] O. A. Amusan, L. W. Massengill, M. P. Baze, A. L. Sternberg, A.

F. Witulski, B. L. Bhuva, and J. D. Black, “Single event upsets indeep-submicrometer technologies due to charge sharing,” IEEE Trans.

 Device Mater. Rel., vol. 8, no. 3, pp. 582–589, Sep. 2008.[14] J. M. Rabaey, A. Chandrakasan, and B. Nikolic , Digital Integrated Cir-

cuits: A Design Perspective. Upper Saddle River, NJ: Prentice-Hall,2002, pp. 658–661.

[15] S. M. Jahinuzzaman, M. Sharifkhani, and M. Sachdev, “An analyticalmodel for soft error critical charge of nanometric SRAMs,”   IEEE 

Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 9, pp.1187–1195, Sep. 2009.

[16] M. S. Gordon et al., “Measurement of the flux and energy spectrum of cosmic-ray induced neutrons on the ground,”   IEEE Trans. Nucl. Sci.,vol. 51, no. 6, pp. 3427–3434, Dec. 2004.