summer02 exposing scaam

10
Summer 2002 Yield Management Solutions 35 The Sidewall Chrome Alternating Aperture Mask (SCAAM), a next generation alternating Phase Shift Mask (alt- PSM) structure, has printed 75 nm semi-dense lines (220 nm pitch) without characteristic PSM anomalies, thus offering the potential for sub-100 nm imaging with 248 nm light. The even-lower-cost Phase Phirst! paradigm would employ ready-to-write SCAAM blanks with pre-patterned surface topography, chrome and resist, eliminating the cost of writing a custom phase pattern on every plate. Circuit designers, however, would have to place every minimum-sized circuit feature at a predefined phase-step location. This system is economically superior to other advanced lithography schemes when standard pre-patterned substrates can be mass-produced using wafer fab techniques, which requires standardization of design grids. Using a conventional or attenuated phase-shift trim mask in a two-exposure lithography scheme facilitates arbitrary interconnections. Pattern Transfer/Shrinks S PECIAL F OCUS Exposing the SCAAM Theory, Characterization, and Confirmation of the Validity of an Innovative Optical Extension Technique Marc D. Levenson, M.D. Levenson Consulting, Takeaki (Joe) Ebihara, Canon USA Inc., Sunil Desai and Sylvia White, KLA-Tencor Corporation It has long been known that alternating aperture phase-shifting masks (alt-PSMs) can project images with pitches down to 0.5λ/NA (about 170 nm for 248 nm light) and almost unlimitedly small dark lines. (The current record is 9 nm 1 ) and low CD variation. However, widespread implemen- tation of alt-PSM technology has been delayed by various challenges, including imaging artifacts and the high cost of pro- duction-quality reticles. By addressing the manufacturability issues of alt-PSMs, we have found a mask structure and production technology that realizes the full theoretical resolution and CD control potentials of these reticles and promises low cost imple- mentation. 2 Low cost is important, as roughly half of all reticles are used for chip designs that have production runs under 600 wafers. 3 In such short production runs, the reticle cost already dominates all other factors at 250 nm and the high projected cost of sub-100 nm reticles cannot be borne by this industry segment. The Phase Phirst! PSM paradigm discussed here can result in lower overall cost of production for chips with wafer runs of one thousand 200 mm-equiva- lent and below. However, certain chip-design constraints are necessary to achieve the necessary economies of scale, and it has proved difficult to interest the design community in implementing these design rules. The key innovation is the Sidewall Chrome Alternating Aperture Mask (SCAA mask or SCAAM), a next gener- ation alternating Phase Shift Mask (alt-PSM) structure shown in Figure 1(a). 2, 4 The SCAAM process etches the phase topography first and then sputters an opaque chrome layer over the phase layer, finally coating with resist. A second write step then forms transparent openings in the conformal chrome layer to define the image. The great optical advantage of this structure is that the physical environment is the same for all

Upload: kla-tencor

Post on 03-Apr-2016

280 views

Category:

Documents


0 download

DESCRIPTION

 

TRANSCRIPT

Page 1: Summer02 exposing scaam

Summer 2002 Yield Management Solutions 35

The Sidewall Chrome Alternating Aperture Mask (SCAAM), a next generation alternating Phase Shift Mask (alt-PSM) structure, has printed 75 nm semi-dense lines (220 nm pitch) without characteristic PSM anomalies, thus offeringthe potential for sub-100 nm imaging with 248 nm light. The even-lower-cost Phase Phirst! paradigm would employready-to-write SCAAM blanks with pre-patterned surface topography, chrome and resist, eliminating the cost of writinga custom phase pattern on every plate. Circuit designers, however, would have to place every minimum-sized circuit featureat a predefined phase-step location. This system is economically superior to other advanced lithography schemes when standard pre-patterned substrates can be mass-produced using wafer fab techniques, which requires standardization ofdesign grids. Using a conventional or attenuated phase-shift trim mask in a two-exposure lithography scheme facilitatesarbitrary interconnections.

Pattern Transfer/ShrinksS P E C I A L F O C U S

Exposing the SCAAM

Theory, Characterization, and Confirmation of theValidity of an Innovative Optical Extension Technique

Marc D. Levenson, M.D. Levenson Consulting, Takeaki (Joe) Ebihara, Canon USA Inc., Sunil Desai and Sylvia White, KLA-Tencor Corporation

It has long been known that alternatingaperture phase-shifting masks (alt-PSMs)can project images with pitches down to0.5λ/NA (about 170 nm for 248 nm light)and almost unlimitedly small dark lines.(The current record is 9 nm1) and low CDvariation. However, widespread implemen-tation of alt-PSM technology has beendelayed by various challenges, includingimaging artifacts and the high cost of pro-duction-quality reticles. By addressing themanufacturability issues of alt-PSMs, wehave found a mask structure and productiontechnology that realizes the full theoreticalresolution and CD control potentials ofthese reticles and promises low cost imple-mentation.2

Low cost is important, as roughly half of allreticles are used for chip designs that haveproduction runs under 600 wafers.3 In such

short production runs, the reticle cost already dominatesall other factors at 250 nm and the high projected costof sub-100 nm reticles cannot be borne by this industrysegment. The Phase Phirst! PSM paradigm discussedhere can result in lower overall cost of production forchips with wafer runs of one thousand 200 mm-equiva-lent and below. However, certain chip-design constraintsare necessary to achieve the necessary economies ofscale, and it has proved difficult to interest the designcommunity in implementing these design rules.

The key innovation is the Sidewall Chrome AlternatingAperture Mask (SCAA mask or SCAAM), a next gener-ation alternating Phase Shift Mask (alt-PSM) structureshown in Figure 1(a).2, 4 The SCAAM process etches thephase topography first and then sputters an opaquechrome layer over the phase layer, finally coating withresist. A second write step then forms transparentopenings in the conformal chrome layer to define theimage. The great optical advantage of this structure is that the physical environment is the same for all

Page 2: Summer02 exposing scaam

Summer 2002 Yield Management Solutions36

openings in the chrome, independent of phase. Thatimmediately eliminates most of the causes of the asym-metries that plague other alt-PSM structures.3, 5

Figure 1 compares the electric field amplitudes and theaerial image intensities for three different mask struc-tures, as calculated using KLA-Tencor’s ProMAX/2Dand PROLITH/2 process window simulation software. Itis clear that the E-fields of both the 0° and 180° aper-tures are the same at the chrome surface of the SCAAmask. That is not true of the dual trench structure,where the bottoms of the trenches affect the amount oflight transmitted and the trench walls alter the phase.2

The net result is a dimmer, asymmetrical image, andone which varies with focus because of a trench-widthdependent error in the effective phase. The idealizedundercut structure produces less asymmetry and phaseerror, but the 80+nm undercut of the chrome edgessignificantly reduces the chrome layer adhesion. In theSCAA mask structure, all chrome is supported and all

trench walls are covered. The SCAAM symmetrybetween 0° and 180° spaces significantly reduces thecomplexity of the mask design (i.e. OPC) process andhelps achieve the optical performance predicted bysimple theories.4, 6

Imperfect fabrication is less of an issue for the SCAAmask structure than for other alt-PSM designs. Figure 2shows that many classes of phase defects are simplyburied under the chrome and thus cannot print.4

Pinholes, protrusions, mouse-bites and other chromepatterning errors can be repaired using conventionaltechniques since the chrome layer is in contact withthe substrate everywhere. Errors in the chrome layercannot induce unrepairable phase defects, since thephase layer is patterned first in the SCAA maskprocess. An inspection between phase patterning andphase etch has been shown to detect all printabledefects except tiny phase pits in 0° spaces.7 It is evenconceivable that FIB tools may be able to repair phase

S P E C I A L F O C U S

Figure 1. Reticle structures, rigorous electric field simulations at the reticle plane and aerial images through focus for 100 nm line—100 nm space

patterns for a SCAA mask (a), dual trench PSM (b) and undercut PSM (c) as imaged at 248 nm, NA=0.744, 4x and σ =0.2 (k1=0.30). These

calculations were performed using ProMAX/2D and ProLITH/2 from KLA-Tencor, Inc.

Page 3: Summer02 exposing scaam

Summer 2002 Yield Management Solutions 37

errors on completed masks by machining entire win-dows to a 180° or 360° phase level and then etching orre-depositing opaque material to closely approximatethe correct transmission. Because strong-PSMs suppressthe MEEF, these repairs need not be made to the preci-sion required for COG masks intended to projectimages with the same dimensions. Thus, since inspec-tion and repairs are feasible, it may be that SCAAmasks will prove more economical than other strongPSM structures at the 100 nm node and beyond.

ExperimentSubwavelength lithography requires an exposure toolwith minimal aberrations and a highly capable resistprocess as well as an appropriate photomask technology.The test mask (prepared by DNP, Ltd.)contained >180 line-space targets with awide variety of CDs and pitches.2 A 4xCanon FPA-5000 ES3 step-and-scanexposure tool (with total aberrations<0.016λ) performed the exposuresreported here in 210 nm thick ShipleyUV-6-SL resist. The ES3 partial coher-ence was set to σ=0.3 and the NA variedbetween NA=0.63 and NA=0.73 in threesteps. The wafers were coated with 55nm of Brewer Science Co. DUV 42BARC, baked for 60 seconds at 205°Cprior to resist coating. The resist wasprebaked at 130°C for 90 seconds andpost-exposure baked at 130°C for 90 sec-onds before 30-second development in 0.26N TMAH. Top down SEMs of

the mask and wafers were performed withKLA-Tencor 8100 and 8250 automatedCD metrology tools.

Testing the various patterns to determinetheir image transfer characteristics requiresmeasuring a large number of CD’s, bothon reticles and on printed wafers. Thetotal number of independent measure-ments in this program exceeded 16,000.The massive measurement task was sim-plified by the use of a KLA-Tencor 8250CD SEM, with its automation capabili-ties and high throughput. The high-posi-tioning-accuracy laser stage made it pos-sible for an unattended CD SEM to driveto each measurement location automati-cally. Simplified recipe setup reduced thenumber of recipe sequences, for example,

by measuring feature widths and pitch within the samesite. Pattern recognition software ensured that the mea-surements were taken of the correct edges, even whenthe environment was not as expected due to patterncollapse or other anomalies. Finally, the date was easilydisplayed as focus/exposure matrixes, as the F and Evalues for each point measured were entered directly inthe recipe, so that data files were ready to be droppeddirectly into KLA-Tencor’s Klarity ProDATA, processwindow analysis software. Bossung plots and overlap-ping process windows were obtained in minutes.

Figure 3 shows typical line-space (L/S) results obtainedat 350J/m2 dose for NA=0.63 and 0.73.8 The 70 nmline, 220 nm pitch nominal structure prints with

Riverbed River-bed

RiverbedRiverbed

Figure 2. Phase defects that would be catastrophic for conventional PSMs are unprintably

buried in chrome in the SCAA mask structure.

Figure 3. SEMs of 75 nm line, 145 nm space 5-line patterns printed at NA=0.63 and

NA=0.73 imaged automatically using a KLA-Tencor 8250 CD SEM.

S P E C I A L F O C U S

Page 4: Summer02 exposing scaam

Summer 2002 Yield Management Solutions38

S P E C I A L F O C U S

75±3 nm lines over a 0.6 µm range of focus: a k1 factorof 0.19 (at NA=0.63), below the theoretical minimumfor equal line-space patterns. Note that the widths ofthe spaces are constant over the focus range. Had therebeen significant phase or amplitude errors, adjacentspaces would have had visibly different widths. Carefulmeasurements revealed a shift <5 nm except near the~210J/m2 development threshold. The 110 nm half-pitch of this pattern corresponds to k1=0.28, and equalline-space patterns of that dimension appeared near theisofocal dose of ~285J/m2. The larger NA gave verysimilar imaging performance, but with DOF actuallyimproved!

The smallest isolated lines printed at 106±4 nm widthat NA=0.63, even though the geometrical width of thechrome would have imaged to 55 nm. This large (>50%)iso-dense bias is characteristic of uncorrected alternating-PSM designs.9 Proper iso-dense correction may beachieved in dual-exposure trim-mask PSM systems eitherby sizing the windows bracketing isolated PSM lines cor-rectly or by using an all-dense pattern on the PSM, eras-ing unwanted lines with a trim mask.1,9,10 Systematicallycomparing the 1000 nm wide spaces on either side of theisolated lines revealed a through-focus shift of <5 nm,within the usual ±30% overlay specification for 100 nmlines. Similar correspondence between theory and resistmeasurements were obtained for dense, semi dense andisolated lines with nominal CDs from 70 nm to 150 nm.

Quantitative resultsFigure 4 shows the Bossung curves for the isolated and220 nm pitch dark lines with 70 nm geometrical CD.It is clear that the exposure latitude for the 100 nmlines printed near the isofocal ~285J/m2 dose of the L/Spattern is greater than 12% with a 0.5 µm range offocus. If the nominal CD of the isolated lines at thatdose were set to 120 nm (to accommodate the iso-densebias), its process window would be comparable, as shownby the common process window insert. The boundariesof process windows for 85 nm lines with 270 nm pitchand various larger patterns all lay outside the indicatedrectangle. Perhaps more significantly, Figure 5 shows the0° and 180° space widths of the 220 nm pitch, 150 nmCD patterns lie within ±10% over a 0.4 µm range offocus, with relatively minor spacewidth alternation (or"pitch walking") indicating little effective phase error.

The spacewidth alternation of this smallest pitch patternwas considerably greater than that observed for othertargets. The insert of Figure 6 shows the methodologyfor measuring the focus dependent line shift by com-paring the pitches measured by the KLA-Tencor 8250CD SEM on each side of the dark line. One quarter ofthe pitch difference is the shift of the center line. Wefound the focus dependent line shift coefficient for all theline-space patterns did not vary with exposure, once thethreshold had been exceeded.10 Figure 6a shows how themeasured shifts with focus for each line space pattern

Dose (J/m2)

Figure 4. Bossung plots for the 70 nm nominal line in the semi-dense (220 nm pitch) array and the isolated lines printed under the same conditions

at NA=0.63. The inset shows the overlapping process volume when the as-printed CDs are set to 100 nm and 120 nm for the dense and isolated

lines respectively. The rectangular process window illustrates a DOF of 0.5 µm and an exposure latitude of 12 percent.

Page 5: Summer02 exposing scaam

Summer 2002 Yield Management Solutions 39

fall on a characteristic straight line. The fact that zeroshift occurs at a focus level of~0.1 µm (best focus withinresist stack) implies that there is no transmission dif-ference between 0° and 180° spaces. Figure 6b plotsthe measured focus dependent line shift coefficient (inunits of nanometers of shift per micrometer of defocus)as a function of spacewidth. The small values observed—even for the somewhat anomalous 220 nm pitch case

—indicates that line shift will not be a problem forSCAA mask imaging within a 0.5 µm CD process win-dow for pitches between 220 nm and 400 nm. Theexperimental resist CDs printed using the SCAA maskagreed with those predicted by an aerial image modelfor NA=0.63, 0.68 and 0.73 and demonstrated theunimportance of the residual 0.016 wave aberrations inthe ES3 projection lens.4

Figure 5. Bossung plots for the 150 nm spaces with 0° and 180° phase shifts in the 220 nm pitch pattern. The relatively small slope of these plots

for doses above threshold (~230 J/m2) implies the effective phase shift is very near 180°.

S P E C I A L F O C U S

Figure 6. The shift of the center dark line is measured as 1/4 of the dif ference of pitch values measured to the left and right of the center line as

shown in the inset. The measured shift of lines in 250 nm pitch patterns is linear in focus for all fully developed exposures (a). The measured focus

dependent line shift correlates with space width for most sites on the SCAA test mask (b).10

Page 6: Summer02 exposing scaam

The optical proximity effect, however, continues toaffect imaging with the SCAA mask. Figure 7 showsthe measured resist CDs for 100 nm geometrical (1x)mask features with various pitches at 320J/m2. Clearly,the densest line space pattern here prints the finest fea-tures, with a >40% shift between 300 nm and 500 nmpitch. Printing equally narrow lines in the many envi-ronments characteristic of a real chip might prove ratherdifficult. However, designs are possible in which all thefine lines are in semi-dense arrays on the PSM and theunwanted features are erased using the trim mask. Suchdesigns would not require extensive optical proximitycorrection. In the case of isolated lines, the printed line-width depends on the width of the transparent windowon either side of the mask feature.10 With proper design,there may be little need to print unwanted assist features.

The wide variety of test patterns on the first SCAAmask permitted the analysis of linearity and the maskerror enhancement factor (MEEF) shown in Figure 8.8

As expected, the resist CD for the isolated line became

40 Summer 2002 Yield Management Solutions

S P E C I A L F O C U S

insensitive to the mask CD around ~150 nm (@1x),leading to a MEEF < 0.1 for resist CDs near 100 nm.The behavior of resist CDs for lines in ~300 nm pitchline-space arrays was entirely different. The resist CDscorrelated closely with the mask CDs until the spacesbetween the dark lines became too small to print. Theresult was a correlated MEEF (i.e. a MEEF in which allfeatures grow or shrink together, keeping pitch constant)of ~0.8 for resist CDs between 75 nm and 160 nm.Such a value is close enough to unity to allow OPCprograms to correct for residual interactions. However,fully exploiting this linearity requires placing featuresin well-defined arrays, at least on the alt-PSM used in atwo-exposure trim-mask system.

Phase Phirst!If the phase-shifted features need to be in regular arraysfor imaging reasons, the optimum phase patterns canbe pre-imprinted on generic SCAA mask substrates,greatly simplifying the PSM fabrication process. Suchready-to-write SCAAM blanks are at the core of thePhase Phirst! PSM paradigm, as illustrated in Figure 9.In this scheme, the mask house would hold a variety ofPhase Phirst! substrates in inventory and when aPhase-Phirst job came in, the appropriate plate wouldbe taken out and the chrome openings written usingconventional technology. The mask maker would doone write, one development, one etch, one inspection

Figure 7. The proximity effect for 100 nm nominal lines of various

pitch on the SCAA mask. The densest line-space patterns printed the

smallest lines for 320 J/m2 exposure even at NA=0.63.

Figure 8. Linearity and correlated MEEF for isolated and grouped lines

with pitch near 300 nm at 320 J/m2 exposure.

Figure 9. The three steps needed to fabricate a SCAA mask using a

ready-to-write Phase Phirst! substrate.

Page 7: Summer02 exposing scaam

Summer 2002 Yield Management Solutions 41

and (possibly) one repair. The overall process flowshown in Figure 9 would be nearly identical to that fora COG mask. Turn-around time should be three daysor less, as for COG plates today. A trim-mask would bewritten at the same time to complete the dual exposuredarkfield mask set. The cost to the customer should besimilar to that of two COG masks!4

The key question is whether designers would be willingto place small circuit features at the designated phase-shift locations across an entire reticle. Current designsdo not conform to any such restriction, and thus simplyshrinking current layouts is not compatible with PhasePhirst! Neither is post-processing an existing design;circuit elements will have to be moved to conform tothe phase pattern, and that is not possible during tape-out. On the other hand, current designs can be madecompatible with a variety of phase patterns as shown inFigure 10.

Larger features—which would not require phase-shift toprint properly—would be placed randomly as requiredon a trim mask. The second exposure using that COGor attenuated PSM would create the connection patternallowing the chip to function. In the fab, this PhasePhirst! Paradigm would resemble the darkfield dualexposure PSM lithography methods that are alreadybeing applied.12, 13 Figure 10 shows how the same cir-cuit cell can be made using various phase patterns. The

inverse is also true—a single substratephase pattern can produce many dif-ferent circuit structures with differentchrome openings and blockout masks.It seems likely that a small number ofphase patterns will prove adequate for90% of ASIC devices. The most feasi-ble starting point may be a simplephase stripe pattern with all gates ori-ented in the same direction and spacedon a 250 nm center-to-center grid.The circuits designed for such sub-strates may also be patterned success-fully (but perhaps more expensively)using dipole illumination, and attenu-ated-PSMs! The table suggests opti-mum phase-step spacings (featurepitches) for various tool parameters.Since the exposure tools will demagnifyby 4x or 5x, the substrate features willbe 1000 nm today (and 240 nm in2007), easily fabricated with today’soptical tools.

Circuit density may be another concern. While it mightappear that a rigid coarse-grid rule would require circuitfeatures to be spaced further from one another than in aless constrained design, Figure 11 shows that this maynot be the case. On the left appears an SEM of the gatelayer of an existing microprocessor design, which hasbeen post processed for PSM. Most fine features arealready placed properly on the Phase Phirst! grid lines,but two are not. The center and right pictures showthat this SEM can be modified using Powerpoint toplace all the gates on the grid in two different ways. Inone case, the density does decrease, but only by a fewpercent. In the other example, the density increases asthe disciplined design eliminates apparently wastedspace. Of course, strong PSM allows considerable circuitshrinkage in any case. Further partnerships with the

S P E C I A L F O C U S

Figure 10. The same circuit cell can be printed with the same transmission windows and trim-mask

using any of several substrate phase patterns in the Phase Phirst! PSM paradigm.

Wavelength Numerical Aperture Phase Step Spacing Circuit Half-Pitch

248 nm 0.60-0.68 250 nm 125 nm

248 nm 0.73-0.80 200 nm 100 nm

193 nm 0.75-0.78 150 nm 75 nm

157 nm 0.78-0.85 120 nm 60 nm

Table. Optimum Phase Phirst! substrate phase-edge spacings for different

exposure wavelengths and projection lens NAs along with the resulting

minimum circuit half pitches for ASIC devices. The wafer-scale pitch for

the phase step pattern is twice the indicated spacing and the mask

dimension would be 4 or 5 times larger, depending on demagnification.

Page 8: Summer02 exposing scaam

Summer 2002 Yield Management Solutions42

S P E C I A L F O C U S

design community need to be carried out to test whetherthe Phase Phirst! design paradigm is feasible for anentire chip and whether density increases or decreases.

Substrate and reticle manufacturingPhase Phirst! substrate production is a new business,best undertaken by manufacturers of reticle blanks.The optimum process flow appears in Figure 12. ThePhase Phirst! blanks begin as fused silica plates as doconventional COG substrates, but a new high-techtopography creation/inspection process is inserted afterthe final polishing step and before chrome coating.2

The proven way to make this topography is to spin ona resist film, pattern it in a stepper or (1x scanner)using a photomask that defines the phase pattern andthen etch the silica substrate to the prescribed depth.Alternatively, interferometric lithography could be usedto define a simple phase stripe pattern.14 A spin-on orCVD silica layer—which etches more rapidly than thesubstrate—can be applied to the polished surface priorto lithography to improve phase-shift accuracy.15 Thesurface topography would then be inspected to insurepattern fidelity and the absence of killer defects. Rejectplates would be polished flat and re-used. However, if asubstrate was perfect except for a few isolated anomalies,the locations of these phase-defects could be recordedin a database and the plate coated with chrome andresist, inspected and shipped. Since most of the dark-

field PSM will remain covered by the opaque film ofchrome, it should be possible to use the defect databaseto match substrate and chip design so that no trans-parent windows are written in defective areas.

The process flow in Figure 12 requires specialized capitalequipment—such as a stepper equipped to handle sixinch square substrates and avoid stitching errors.Recovering the capital investment requires mass production of identical substrates. That gives rise to a chicken and egg problem: Which comes first, the

Figure 11. Image-processing an SEM of an existing chip to eliminate off-grid gates and conform to Phase Phirst! layout rules may allow for increased

circuit density.

Figure 12. Process flow for fabricating Phase Phirst! substrates. A

defect database may lower costs by permitting the use of less than

perfect substrates.

Page 9: Summer02 exposing scaam

Summer 2002 Yield Management Solutions 43

S P E C I A L F O C U S

chip designs that demand Phase Phirst! substrates, orthe economical substrates that can make those designsworth the effort?

The reticle industry has not yet realized the economiesof scale that have allowed the semiconductor industryto reduce prices consistently for 30 years. The resulthas been a dramatic increase in both the cost of pro-duction and the sales price of advanced reticles. As wemove into the sub-wavelength era, designers, reticlesuppliers and fab operators must transcend old practicesand seek more efficient methods. By allowing simplifiedOPC, higher fab yields and lower reticle cost based onthe mass production of identical, defect free phase patterns, Phase Phirst! could be part of the answer.However, Phase Phirst! is economically unfeasible forlow volume mask production.

Figure 13 shows the estimated sales price for strong-PSMs produced in different ways as a function of theproduction volume. The conventional alternating PSMprocesses (with separate write and etch steps for thecustom-designed phase and chrome layers) do notexhibit economies of scale. They do not require special-ized apparatus, but there is a firm lower limit for thereticles produced by such processes, independent ofvolume, estimated here as $35,000 per plate. Low yieldsmay increase the price of production-quality PSMs wellabove that limit, at least until the processes mature.

SCAA masks can be produced using Phase Phirst! withthree different exposure tools: a 5x i-line stepper, a 1x

projection aligner or an interferometric lithographysystem. The stepper is most expensive to install, but itsmaster reticles are relatively inexpensive. In contrast, thecapital cost of a refurbished aligner is low, but its masterreticles would be quite costly, reducing the economies ofscale. The interferometric lithography tool would also beinexpensive and would require no reticles, but it couldonly pattern simple linear phase arrays. Its total costwould be similar to the case of the aligner with 1-3 phasedesigns. Figure 13 also assumes dedicated etch andinspection tools that are the same in each case and thatall other costs are comparable to COG mask making.

Figure 13 shows clearly the unfeasibly high pricesrequired for PSMs manufactured using Phase Phirst!when the volumes are less than about 30 substrates.These high initial expenditures constitute a seriousimpediment to the implementation of this system.However, when the capital costs and learning can beamortized over 100-300 reticles, the Phase Phirst! costfalls below the minimum possible with custom phase-layer production. At even higher volumes, the fixedcosts become unimportant and the SCAA mask manu-facturing cost approaches that of a COG mask. However,since SCAA masks have low MEEF (see Figure 8), CDcontrol and chrome layer defectivity may be less chal-lenging than for corresponding COG masks. We estimate that the Phase Phirst! production costs willbecome asymptotic to that of the previous generationCOG masks for volumes >10,000 plates per year.

There are other mask-making economics issues:Roughly 700,000 reticles are made each year, buttoday <1% are for half pitches <150 nm where PhasePhirst! would be useful. That is a total of 7,000 masks.If all of them were made on Phase Phirst! substrates, thetotal world-wide demand could be fulfilled by runningone stepper at 20 wph for less than 8 hours per week.Unfortunately, there would be little other work for that$7 million tool specially equipped to print six inchsquare mask substrates! Phase Phirst! is probably tooexpensive to implement at a single company with limited (<700/yr) PSM volume requirements. The economics would work best if the Phase Phirst! designand production facilities were available to the entireASIC/SOC industry.

ConclusionsThese extensive CD SEM measurements—made possibleby the KLA-TENCOR 8250—demonstrate thatSCAAM technology largely eliminates the anomalies(such as space width alternation) that afflict common

Figure 13. The relationship between price and production volume for

conventional strong-PSMs and Phase Phirst! substrates printed with

various technologies using dif ferent numbers of master reticles.

Page 10: Summer02 exposing scaam

Summer 2002 Yield Management Solutions44

alt-PSM structures. The optical advantages predictedfor alt-PSMs by basic theory have been achieved andthe design and OPC tasks simplified. The SCAA maskstructure also facilitates chrome repair and literally buriesmany types of phase defects. SCAA masks are also morestable physically than alt-PSM structures in which thequartz walls are undercut beneath the chrome edges tominimize the trench walls effects, and can be inspectedeasily using top-down SEM technology.10 These nextgeneration PSMs can be built, and make KrF lithographyfeasible for half-pitches corresponding to k1=0.28 andresist linewidths down to 75 nm. Resist and processtrimming techniques could push the final CD to 30 nmand below, with acceptable CD variation.

Future work with higher NA and finer image pitchesshould demonstrate similar process windows for sub-100 nm half pitches and 60 nm linewidths, and evenless at 193 nm. The SCAAM may prove the mostviable alt-PSM structure for the 100 nm node. Multipleresist processes with SCAA masks having line to spaceratios of 1:3 and a pitch near 280 nm may even print70 nm equal line-space patterns if the overlay controland resist process are good enough to place the narrow(70 nm) dark line of the second exposure exactly in themiddle of the wide (210 nm) bright space of the first.

The Phase Phirst! system is economically superior toother advanced lithography schemes when standardpre-patterned substrates can be mass produced usingwafer fab techniques.2,4 A small number of predefinedphase grids will be sufficient for the vast majority ofhigh-speed ASIC designs, but numerous designers mustadopt this system if the economies of scale are to berealized. Arbitrary interconnections are possible whenthe SCAAM is made using the Phase Phirst! substratealong with a conventional or attenuated phase-shift trimmask in a two-exposure lithography scheme. Successfulimplementation of this PSM paradigm will requireincreased R&D participation by potential users.

AcknowledgementsToo many individuals and companies have contributedto this work to acknowledge them all here by name.However, the authors wish to especially thank NaoyaHayashi, Yasutaka Morikawa and Haruo Kokubo ofDai Nippon Printing Co. for making the test mask.Phase Phirst! is a trademark of M. D. LevensonConsulting. This paper is based on material originallyintended for presentation at Interface 2001.

References1. M. Fr i tze, B. Tyrrel l , D. Astol f i , D. Yost, P. Davis,

B. Wheeler, R. Mallen, J. Jarmolowicz, S. Cann, H.Y. Liu,M. Ma, D. Chan, P. Rhyins, C. Carney, J. Ferri, B.A.Blachowicz, "100-nm Node Lithography With KrF ?" SPIEVol. 4346-21 (2001).

2. M.D. Levenson, J.S. Peterson, D.G. Gerold and Chris A.Mack, "Phase Phirst! An Improved Strong-PSM Paradigm",SPIE Vol. 4186, 395-403 (2000).

3. K. Early and W.H. Arnold, "Cost of Ownership for Soft-XrayProjection Lithography," SPIE Vol. 2087, 340-349 (1993)

4. M.D. Levenson, T.J. Ebihara, M. Yamachika, "SCAA maskexposures and Phase Phirst! design for 110nm and below",SPIE Vol. 4346-183 (2001).

5. J.S. Petersen, D.G. Gerold, M.D. Levenson, "MultiplePitch Transmission and Phase Analysis of Six Types ofStrong Phase-Shifting Masks," SPIE Vol. 4346-72 (2001)

6. K. Adam and A. Neureuther, "Cross-Talk Modeling inDeep Trench Alt. PSM Strategies," Ultratech AdvancedReticle Symposium, http://www.ultratech.com/about/pre-sentations/K.Adam.PDF (2001).

7. Y. Morikawa, H. Kokubo, M. Nishiguchi, M. Nara, M.Hoga, and N. Hayashi, "Feasibility Study of ManufacturingProcess and Quality Control of the New Alternating PSMStructure," SPIE Proc. 4562-129 (October 2001).

8. T. Ebihara and M. D. Levenson, "Exposing the SCAAM –Perfect 70 nm Images with 248 nm Light," Ultratech Ad-vanced Reticle Symposium, http://www.ultratech.com/about/presentations/T.Ebihara.PDF (2001).

9. J.S. Petersen, et al., "Designing dual-trench alternatingphase-shift masks for 140 nm and smaller using 248nm KrF and193nm ArF Lithography," SPIE Vol.. 3412, 503-520 (1998).

10. S. Desai, T. Ebihara, M. D. Levenson, S. White, Auto-mated SEM Metrology of Wafers Printed Using a SCAAMask, SPIE Proc. 4689-107 (March 2002).

11. Patent Number US 6,251,549 B1, June 26, 2001also US 6,287,732, Sept. 11, 2001.

12. Michael E. Kling, "Phase-shifting masks come of age," Microlithography World 9, 4-8 (Summer 1999).

13. Christopher Spence, Marina Plat, Emile Sahouria, NickCobb and Frank Schellenberg, "Integration of opticalproximity correction strategies in strong phase shifter designfor poly-gate layers," SPIE Proc. 3873, 277-287 (1999).

14. S.H. Zaidi, S.R.S. Brueck, F.M. Schellenberg, R. S.Mackay, K. Uekert and J.J. Persoff, "Interferometric Litho-graphy Exposure Tool for 180-nm Structures," SPIE Vol.3048, 248-254 (1997).

15. Naoyuki Ishiwata, Takema Kobayashi, Tomohiro Ya-mamato, Hideaki Hasegawa and Satoru Asai, "Fabrica-tion process of alternating phase shift mask for practicaluse," SPIE Vol. 4066-17 (2000).

16. Marc D. Levenson, Takeaki (Joe) Ebihara, Sunil Desai andSylvia White "Exposing the SCAAM – low cost imaging at70nm with 248nm light," Arch Interface ‘01™ CD-ROM,Arch Chemical Corp. (2001).

S P E C I A L F O C U S