thermal-induced leakage power optimization by redundant resource allocation

20
EECS Department, Northwestern University, EECS Department, Northwestern University, Evanston Evanston Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation Min Ni and Seda Ogrenci Memik Min Ni and Seda Ogrenci Memik November 6, November 6, 2006 2006

Upload: durin

Post on 04-Feb-2016

49 views

Category:

Documents


0 download

DESCRIPTION

Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation. Min Ni and Seda Ogrenci Memik November 6, 2006. EECS Department, Northwestern University, Evanston. Thermal Leakage Coupling. Four main sources of leakage current Reverse-biased junction leakage current (IREV) - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

EECS Department, Northwestern University, EvanstonEECS Department, Northwestern University, Evanston

Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Min Ni and Seda Ogrenci MemikMin Ni and Seda Ogrenci Memik

November 6,November 6, 20062006

Page 2: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Thermal Leakage CouplingThermal Leakage Coupling

Four main sources of leakage current

– Reverse-biased junction leakage current (IREV)

– Gate induced drain leakage (IGIDL)

– Gate direct tunneling leakage (IG)

– Subthreshold (weak inversion) leakage (Isub)

Page 3: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Thermal Leakage CouplingThermal Leakage Coupling

Power consumption as a function of temperature [Pedram06]:

Page 4: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Previous WorkPrevious Work

Low Power Resource Binding [Chang, DAC95], [Chang, DAC96]

Temperature-aware Resource Binding [Mukherjee, DAC05] Given Resource Constraint Given Peak Temperature Constraint

Page 5: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

MotivationMotivation

Question: how to decide the peak temperature constraint in high-level synthesis? One possible metric is minimizing the total leakage power

Concept: two-state low power design Phase one: low leakage power resource allocation Phase two: low dynamic power resource binding

Modeling: relation between number of resources n, temperature T and total leakage power Pleakage

Solution: find the number of resources, hence, temperature that minimizes the total leakage power

)(A

PPfT dl

),( TngPl

),( TngPl

cnA

Page 6: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

OutlineOutline

Leakage estimation model Curve fitting Heat transfer for leakage estimation

Redundant resource allocation Resource dynamic power Estimating the package properties Steady state temperature

Experimental results

Page 7: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Leakage ModelingLeakage Modeling

Analytic Model

Curve fitting Exact Lagrange’s interpolation:

Page 8: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Leakage ModelingLeakage Modeling

Benefit vs. Analytic: Polynomial is better for analytical and numerical computation Let HSpice take care of the physical details

Benefit vs. non-exact fitting, e.g. least-square Exact fitting over the range of interest

Page 9: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Heat Transfer ModelingHeat Transfer Modeling

The basic relation between power density, heat transfer coefficient and temperature [Im, IEDM00]

Temperature evaluation based on dynamic power, which assumes to be a constant value

A

PPhTT dl

a

Page 10: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Heat Transfer ModelingHeat Transfer Modeling

Actual power

The situation becomes more complicated after adding the leakage power

Leakage power scaling based on the area of resource F = 250 for 16-bit multiplier with area = 2107.54 F = 80 for 32-bit adder with area = 665.77

dl PPP

Page 11: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Optimal Resource NumberOptimal Resource Number

The relation between the number of resources and total leakage power

If we set , we have,

),(),( nTpnnTP lel

0)(

dn

ndPl

0)( dn

dT

dT

dpnnp le

le

Page 12: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

What’s NextWhat’s Next

Given the number of resources n, the subproblem becomes solving the following equation

Here, we still have two unknown values Dynamic power Pd

Heat transfer coefficient h

Our goal is to decide n, which minimizes the following Pleakage = n*Lp(Tx)

Page 13: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Resource Dynamic Power EstimationResource Dynamic Power Estimation

Assumptions and simplifications Each resource consumes a typical average dynamic power for

executing one operation Ignore the dynamic power of extra dynamic power of MUX when

sharing resource

Dynamic power of one operation is

Bench #steps Pd per Add Pd per Multi

Arf 21 534.19 3446.26

Ewf 17 659.89 4257.15

Fdct 12 934.84 6030.96

Fft 15 747.87 4824.77

smTR

PPopt

0

Page 14: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Estimating the Package PropertiesEstimating the Package Properties

Tradeoff between heat transfer coefficient and cost Thermal runaway Maximum h (minimum cost) package

Find the maximum h Binary search Two Initial points

Page 15: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Steady State TemperatureSteady State Temperature

Solve the following equation by secant method

Secant method, no explicit derivative is needed

Initial point

Page 16: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Complete flow of algorithmComplete flow of algorithm

Incremental search The solution space is small

Near-optimal solution The leakage benefit becomes small

Optimize when more than one resource type is in the DFG First add redundancy for the

module with highest power density The operations are assumed to be

distributed evenly among all available resources

Page 17: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Experiment resultsExperiment results

Resources used in the experiments

Scaling from 180nm down to 70nm by full-scale methodology

Benchmarks are popular DSP and multimedia kernels [Mangione-Smith, Micro97], example “arf”,

Area Average Pd Delay

Adder 665.77 3472.00 0.619

Multiplier 2107.54 22399.00 1.227

Page 18: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Experiment Results Experiment Results

Leakage power vs. min-resource allocation(53.8% improvement) and temperature-aware allocation(35.7% improvement) [Mukherjee, DAC05]

Page 19: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

Experiment ResultsExperiment Results

Resource temperature of different allocation strategies Adder and multiplier temperature

Page 20: Thermal-Induced Leakage Power Optimization by Redundant Resource Allocation

ConclusionsConclusions

The contribution of this paper includes: A paradigm for two-stage low power resource

allocation and binding methodology A simple leakage estimation model in high-level

synthesis design phase A leakage optimizing algorithm trading off resource

area with total leakage power

Thank youThank you