thrust d: patterning · 183 task d-2 task completed. task d-3 moved to thrust c. task d-4...

24
178 THRUST D: PATTERNING Personnel: Thrust Leader: Karen K. Gleason, Chemical Engineering, MIT Other PIs: Christopher K. Ober, Materials Science and Engineering, Cornell University B. (Pierre) T. Khuri-Yakub, Electrical Engineering, Stanford University Anthony J. Muscat, Chemical and Environmental Engineering, UA Other Research Personnel: Goksenin Yaralioglu, E. L. Ginzton Laboratory, Stanford Graduate Students: Utkan Demirci, Electrical Engineering, Stanford University Nelson Felix, Chemical and Biomolecular Engineering, Cornell University Yu (Jessie) Mao, Chemical Engineering, MIT Peter Nguyen, Chemical Engineering/Materials Engineering, Cornell (grad 8/03) Victor Pham, Chemical Engineering, Cornell University Bo Xie, Chemical and Environmental Engineering, UA Undergraduate Students: Maria Nguyen, Chemical and Biomolecular Engineering, Cornell (summer 03) Robert Ferris, Chemical and Biomolecular Engineering, Cornell University Mentors and Industrial Collaborators: Robert Allen, IBM Almaden Research Center Marie Angelopoulas, IBM Yorktown Research Center John Billingham, Praxair Steve Burnett, International Sematech Leisl Dukhedin-Lalla, Voltaix Kimberly Hershey, Praxair Trace Hurd, Texas Instruments Laura Losey, Texas Instruments Hunter Martinez, Motorola Phil Matz, Texas Instruments Kenneth McCullough, IBM Micheal Mocella, Dupont Dirk Pfeiffer, IBM Conrad Sorensen, Praxair Dorel Toma, TEL Josh Wolf, International SEMATECH Vision and Goals: The focus of this thrust are processes for patterning integrated circuits, which result in reduced solvent utilization while also enhancing performance for defining smaller integrated circuit features.

Upload: others

Post on 07-Aug-2020

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

178

THRUST D: PATTERNING

Personnel: Thrust Leader:

• Karen K. Gleason, Chemical Engineering, MIT Other PIs:

• Christopher K. Ober, Materials Science and Engineering, Cornell University • B. (Pierre) T. Khuri-Yakub, Electrical Engineering, Stanford University • Anthony J. Muscat, Chemical and Environmental Engineering, UA

Other Research Personnel: • Goksenin Yaralioglu, E. L. Ginzton Laboratory, Stanford

Graduate Students: • Utkan Demirci, Electrical Engineering, Stanford University • Nelson Felix, Chemical and Biomolecular Engineering, Cornell University • Yu (Jessie) Mao, Chemical Engineering, MIT • Peter Nguyen, Chemical Engineering/Materials Engineering, Cornell (grad 8/03) • Victor Pham, Chemical Engineering, Cornell University • Bo Xie, Chemical and Environmental Engineering, UA

Undergraduate Students: • Maria Nguyen, Chemical and Biomolecular Engineering, Cornell (summer 03) • Robert Ferris, Chemical and Biomolecular Engineering, Cornell University

Mentors and Industrial Collaborators: • Robert Allen, IBM Almaden Research Center • Marie Angelopoulas, IBM Yorktown Research Center • John Billingham, Praxair • Steve Burnett, International Sematech • Leisl Dukhedin-Lalla, Voltaix • Kimberly Hershey, Praxair • Trace Hurd, Texas Instruments • Laura Losey, Texas Instruments • Hunter Martinez, Motorola • Phil Matz, Texas Instruments • Kenneth McCullough, IBM • Micheal Mocella, Dupont • Dirk Pfeiffer, IBM • Conrad Sorensen, Praxair • Dorel Toma, TEL • Josh Wolf, International SEMATECH

Vision and Goals: The focus of this thrust are processes for patterning integrated circuits, which result in

reduced solvent utilization while also enhancing performance for defining smaller integrated circuit features.

Page 2: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

179

State of the Art: Currently, wet chemistry is used both for photoresist application and pattern

development. Solutions containing polymers are spin-on to create photoresist layers. Chemical vapor deposition (CVD) provides a solventless alternative to the conventional spin-on approach. Supercritical CO2 (scCO2) has the potential to replace convention wet development. Incorporating the beneficial properties of scCO2 as a developer presents multiple opportunities for achieving improvement in patterning. In particular, scsCO2 has no surface tension and a low viscosity, characteristics which are desirable for achieving the successfully development of high aspect features without pattern collapse.

While some photoresists are soluble in CO2, limitations exist in the type of polymer used for scCO2 processing. Supercritical CO2 may be limited as a solvent because of its inherent inability to interact with strongly polar or non-polar groups. Addition of cosolvents can dramatically change the equilibrium properties of a solution. In principle, addition of cosolvents can be done on a selective (per solute) basis. Molecular dynamic simulations and thermodynamic calculations have the potential to offer predictive capabilities for selecting cosolvents.

ESH Significance:

All dry resist processing would greatly reduce ESH risks and costs associated with liquid organic waste and volatile organic air emissions. Reduction or elimination of waste associated with photolithography has been identified by both the 1997 Semiconductor Industry Association (SIA) National Technology Roadmap for Semiconductors (NTRS) and the 1996 Electronics Industry Environmental Roadmap (EEIR). Photoimageable dielectrics are another high priority on these roadmaps, because such materials would greatly reduced the number of manufacturing steps, resulting in correspondingly less materials usage, energy consumption, waste disposal, and overall cost.

Accomplishments:

• Retention of irradiation-sensitive moieties and linear polymeric structure were achieved in PGMA and other polymeric thin films through a novel low-temperature hot-filament CVD process with high deposition rate (>200 nm/min).

• Control of film molecular weight through the hot-filament CVD allows for a 125 nm line/space pattern to be achieved in PGMA films using conventional development. Copolymerization of fluorine containing monomers with GMA improved film solubility in CO2 and achieved a 300 nm pattern using scCO2 development.

• Designed and demonstrated intrinsic chemically amplified positive-tone photoresist for pure scCO2 development. Initial development of samples exposed to 248nm and E-beam showed ~200-300nm resolution.

• Novel single reservoir ejector array device geometry is created. This new ejector array removes the individual reservoirs and it is observed that it requires lower levels of energy per droplet.

• The first photoresist line was drawn on a silicon wafer by using the single reservoir ejector arrays. These arrays also ejected water and various solvents such as, ethylalcohol, isoproponal, methanol, etc.

Page 3: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

180

• Finite element modeling of the ejector arrays resulted in understanding of the physics that lies behind the device operation. The importance of the uniform actuation, the effect of the periodic array structure on the individual ejectors, the acoustic crosstalk between he membranes, the effect of the individual reservoirs, the orifice capillary wave resonances are investigated.

• Removed H-bonded O-H groups from damaged ultra low-k CDO films using alcohol cosolvent addition to scCO2. The best technical and environmental performance was obtained for n-propanol, isopropanol, and n-butanol cosolvents.

• Addition of n-propanol increased the solubility of water in the supercritical fluid driving the dehydration of ashed damaged MSQ films.

• Demonstrated repair (k value recovered) of damaged porous ultra low-k MSQ films using scCO2 and 1-2 vol% Si-bearing precursors, such as HMDS and TMCS.

Industrial Interactions and Technology Transfer: • Summer 2003, V. Pham interned at IBM Fishkill to determine etch properties of

fluorinated polymers, which are important for scCO2 processing. • October 2003, V. Pham presented at ERC teleconference "Recent Advances in

Photoresist Processing Using Supercritical CO2." • November 2003, Prof. Ober and students discuss patterning capabilities and future

interactions with Ralph Dammel and Frank Houlihan of Clariant. • October 2003, Presentations and interactions with lithography researchers from IBM

and Shipley at the 13th Photopolymer Conference. • Participation of industrial mentors and collaborators in the monthly teleconference of

Thrust D. • B. Xie, C. C. Finstad, and A. J. Muscat, “Water Removal from Ultra Low-k MSQ

Films using scCO2/Cosolvent Mixtures,” 4th Annual International SEMATECH Wafer Cleaning and Surface Preparation Workshop, Hyatt Regency, Austin, TX, May 1-2, 2003.

• Teleconference and email exchanges with engineers from Texas Instruments, International SEMATECH, FSI International, TEL-Supercritical Systems Inc., Supercritical Fluids, Inc., and IBM.

Interactions with Other Thrusts: • Collaboration with Prof. McRae’s efforts in Thrust C involving a case study to

evaluate the potential risks and benefits of solventless lithography. • Collaboration between the Ober group (D-1) for SAXS analysis on samples processed

by the Muscat group (D-5). Strategic Plan: Next-Year Plans:

• Demonstrate high-resolution positive-tone images with scCO2 development. • Achieve sub-100 nm features of CVD resists using scCO2 development. • Determine solubility rules for CVD thin films as a function of chemical structure and

molecular weight.

Page 4: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

181

• Improve resolution of intrinsic positive-tone resist through design, formulation, and scCO2 processing optimization. Aim at sub-100nm resolution.

• Establish solubility screening method for candidate resist systems in scCO2 and cosolvents.

• Continue the work on surface wave ejector arrays. Show multiple simultaneous ejections from these arrays.

• Continue the experiments for photoresist coverage of wafers using single reservoir and individual reservoir 2D micromachined ejector arrays.

• Improve the theoretical understanding of the ejector arrays in order to improve the ejector array design. This will allow simultaneous ejection from thousands of ejector array nozzles. Moreover, it will provide single direction ejection through the membrane orifices.

• Patent the new ejector arrays designs through SRC/ERC. • Demonstrate silanol removal on patterned ultra low-k MSQ films using mixtures of

scCO2 with alcohol cosolvents and Si precursors. • Conduct pore-sealing experiments for porous ultra low-k MSQ films using scCO2 and

Si-bearing precursor mixtures. • Construct second-generation scCO2 research reactor with continuous flow and in situ

FTIR film monitoring capabilities. Long-Term Plans:

• Demonstrate deep UV patterning of CVD resists. • Optimize scCO2 processing parameters for feature development. • Assess environmental aspects of cosolvents and guidelines for use in photoresist

processing. • Evaluate viability of dielectric patterning scheme for integration in manufacturing

process (interaction with Subtask D2). • Achieve full wafer photoresist coverage of wafers using 2D micromachined ejector

arrays. • Achieve photoresist ejection by using surface wave ejector arrays. • Advance the theoretical understanding of the ejector arrays. • Create new devices for photoresist ejection. • Establish the surface chemistry of post-RIE plasma residue removal using cosolvents

and Si precursors dissolved in scCO2. • Remove Cu atom contamination from patterned MSQ films using a metal chelator

such as hfacH dissolved in scCO2. • Evaluate the deposition of copper barrier layer films using scCO2.

Facilities: • Dual-mode CVD reactor (pulsed PECVD and pyrolytic). • Cornell Nanofabrication Facility and supercritical CO2 facility. • MIT Center of Materials Science and Engineering. • Class 1000 clean room. • scCO2 reactor system • Surface analysis equipment (FTIR, XPS, ellipsometry)

Page 5: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

182

Publications and Presentations and Patents: Task D-1 Publications:

• Mao, YJ, “Chemical Vapor Deposition of Thin Films as Organic Resists”, Master of Science Thesis, MIT, 8/14/03,

• Mao, YJ, Gleason, KK, Nguyen P, and Ober, CK, “Solventless Lithography”, March 25, 2003, Cavin's Corner, http://www.src.org/member/sa/perspectives/corner/archive.asp

• Mao, Y., Gleason, K. K. "Hot Filament Chemical Vapor Deposition of Poly (glycidyl methacrylate) Thin Films Using Tert-butyl Peroxide as an Initiator," submitted to Langmuir in October 2003, revised in December 2003.

• Mao, Y., Felix, N. M., Nguyen, P. T., Ober, C. K., Gleason, K. K. "Towards All Dry Lithography: Electron-beam Patternable Poly (glycidyl methacrylate) Thin Films from Hot Filament Chemical Vapor Deposition," submitted to Journal of Vacuum Science and Technology A in December 2003.

• Pham, VQ, Rao, NG, Ober, CK, “Swelling and dissolution rate measurement of polymeric thin film in supercritical carbon dioxide” accepted for publication for August 2003, Journal of Supercritical Fluids.

• Pham, VQ; Ferris, RJ; Hamad, A; Ober, CK, “Positive-Tone Photoresist Process for Supercritical Carbon Dioxide Development” submitted to Chemistry of Materials.

Presentations:

• Gleason, KK, “Engineering the Chemistry of Vapor Deposition”, 3M, Minneapolis, MN, 1/16/03. invited

• Gleason, KK, “Engineering the Chemistry of Vapor Deposition”, Plasma Technology Network Seminar, Universite du Quebec, 4/11/03. invited

• Gleason, KK, “Engineering the Chemistry of Vapor Deposition”, Chemical Engineering Department Seminar, UCLA, 4/18/03. invited.

• Gleason, KK, “ Nanocoatings by Hot Filament Chemical Vapor Deposition”, Polymer Processing Science and Technology Seminar, MIT, Cambridge, MA, 10/1/03.

• Gleason, KK, “Nanocoatings by Chemical Vapor Deposition”, Honeywell Briefing, MIT, Cambridge, MA, 12/10/03.

• Pham, V. Q., Ober, C. K. “Positive-Tone Photoresist Process for Supercritical Carbon Dioxide Development”, SRC TechCon Presentation, Dallas, August 2003.

• Pham, V. Q, Nguyen, P., Felix, N., Mao, Y., Gleason, K. K., Ober, C. K. “New Photoresists and Processing Methods for scCO2 Development”, The 13th International Conference on Photopolymers, Tamiment, PA, October 2003.

• Mao, Y., Gleason, K. K., Nguyen, P., Ober, C. K. “Hot Filament Chemical Vapor Deposition Combined with Supercritical CO2 for Solventless Lithography”, The 13th International Conference on Photopolymers, Tamiment, PA, October 2003.

• Pham, V. Q.; Nguyen, P. T.; Felix, N. M.; Ober, C. K. “Photoresists and Processes for Supercritical CO2 Development”, SRC Review, Wisconsin 2003.

Page 6: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

183

Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents:

• “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström, B.T. Khuri-Yakub, “2D Micromachined Fluid Ejector Array: FEM Simulations," Proc. of IEEE Ultrason. Sympos. Hawaii, Oct. 2003.

• Utkan Demirci, Goksen G. Yaralioglu, A. Sanli Ergun, B. (Pierre) T. Khuri-Yakub "Acoustically Actuated Flextensional Single Crystal Silicon 2D Micromachined Ejector Arrays" Techcon'03, August 2003, Dallas.

• Utkan Demirci, Goksen G. Yaralioglu, A. Sanli Ergun, Gokhan Percin, B. (Pierre) T. Khuri-Yakub "Acoustically Actuated Flextensional 2D Micromachined Ejector Array" Semicon West'03, June 2003, San Francisco

Task D-5 Publications:

• B. Xie and A. J. Muscat, 8th International Symposium on Cleaning Technology in Semiconductor Device Manufacturing, J. Ruzyllo, T. Hattori, R. L. Opila, and R. E. Novak, eds. (Electrochemical Society Proceedings, Pennington, NJ), 2003.

Presentations: • B. Xie and A. J. Muscat, “Porous Film Cleaning and Repair Using scCO2,”

January-June, 2003, ISMT Novel Cleans Working Group, ISMT ESH Assessment Working Group, ISMT Interconnect PAG, ISMT Interconnect PAG & ESH Working Group, and ISMT EAG.

• B. Xie, C. C. Finstad, and A. J. Muscat, “Water Removal from Ultra Low k MSQ Films using scCO2/Cosolvent Mixtures,” 4th Annual International SEMATECH Wafer Cleaning and Surface Preparation Workshop, Hyatt Regency, Austin, TX, May 1-2, 2003.

• B. Xie and A. J. Muscat, “Backend Processing using Supercritical Carbon Dioxide”, NSF/SRC EBSM ERC weekly seminar, May 15, 2003.

• B. Xie and A. J. Muscat, “Cleaning and Repair of Ultra Low-k Films Using Supercritical CO2,” FSI Surface Conditioning Symposium, July 9-11, 2003, Chaska, MN.

• B. Xie and A. J. Muscat, “Water Removal and Repair of Porous Ultra Low-k Films using Supercritical Carbon Dioxide,” 8th International Symposium on Cleaning Technology in Semiconductor Device Manufacturing, Electrochemical Society National Meeting, Orlando, FL, October 14, 2003.

Patents and Disclosures: • None

Page 7: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

184

DETAILS OF TASKS AND SUBTASKS OF THRUST D Task D-1: Solventless Lithography Personnel: PI:

• Karen K. Gleason, Chemical Engineering, MIT • Christopher K. Ober, Materials Science and Engineering, Cornell University

Graduate Students: • Yu (Jessie) Mao, Materials Science and Engineering, MIT • Victor Q. Pham, Chemical and Biomolecular Engineering, Cornell University • Nelson M. Felix, Chemical and Biomolecular Engineering, Cornell University

Undergraduates: • Maria Nguyen, Chemical and Biomolecular Engineering, Cornell University • Robert Ferris, Chemical and Biomolecular Engineering, Cornell University

Objectives: The specific goal is to create an environmentally benign process for lithography. Two

dry processes have been explored as substitutes for traditional wet chemistry steps: resist applied by chemical vapor deposition (CVD) and development with supercritical CO2. Careful design and control of the chemistry of the vapor deposition process has allowed retention of the irradiation sensitive groups, formation of linear polymeric structures, and control over molecular weight required to achieve patterning. Additionally, fundamental structure/property relationships for patterning and CO2 solubility have been identified.

Background: Conventional photoresists are applied by solvent-based spin-on technology. Typically, only a few percent of the material dispensed onto the wafer actually becomes the photoresist layer. Thus, spin-on resists result in large volumes of waste material, which require safe and costly disposal. Also, the solvents used in spin-on processes pose potential risks for worker exposure and are subject to regulatory constraints on volatile organic chemical (VOC) emissions. For the microelectronics industry, CVD is believed to incur fewer penalties with respect to the environment, safety, and health. Being an enclosed process, CVD raises no issues of exposure to volatile organic compounds or solvents. There are also no costs or wastes associated with solvents and rinse water usage that is inherent in a spin-on process.

As decreased feature sizes have been achieved, the properties of the solvent used as developer have become increasingly important. Aqueous liquid developers lack necessary high selectivity and tunable solvating power for advanced applications, and generate large amounts of environmentally harmful waste. Supercritical fluids possess multiple characteristics beneficial for development of small, high-aspect ratio features: good selectivity, high diffusivities, low surface tension, and solvating capabilities tunable through pressure and temperature control. Supercritical (sc) CO2 is of particular interest for these applications; prepared from compressed environmental sources or from byproducts of other synthetic reactions, it produces no aqueous waste or net CO2 during photoresist development. Nontoxic, nonflammable, cost-efficient and recyclable, it seems ideal for environmentally benign processing.

Page 8: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

185

In order to expand the range of solutes that are compatible with scCO2, small volumes of organic solvent can be added to the solution. This affects the equilibrium properties of the mixture and in some cases, can dramatically increase the solubility of a solute in scCO2 mixture. Using environmentally benign cosolvents to develop CVD films in scCO2 is expected to enhance processing capabilities and increase resolution. Furthermore, new insights have been gained to increase our processing capability using the dissolution rate monitor [Pham and Ober, 2003]. This equipment continues to provide detailed analytical capabilities beyond investigating film dissolution, as thermodynamic and kinetic studies of cosolvent interaction with scCO2 and polymeric photoresists are possible.

While both positive and negative-tone resists are employed in industry, the former is usually preferred due to problems with swelling associated with the latter. We introduced the silylation technique to convert the originally negative-tone system to positive-tone when processed in CO2 [Pham and Ober, 2003]. In addition, new intrinsic positive-tone resist chemistries are being investigated. Current work is focused on optimizing resist chemistry, formulation, and processing conditions to produce sub-100nm resolution.

Method of Approach: The family of methacrylate/acrylate precursors has great potential for creating dry CVD

resists. The simplest precursor is methyl methacrylate (MMA), which can be conventionally polymerized to a positive tone e-beam resist of moderate sensitivity. The polymer of alpha-chloro acrylate is also a positive-tone e-beam resist with the potential to improve sensitivity. The precursors tert-butyl methacrylate and glycidyl methacrylate (GMA) have functionalized pendant groups that can react in the presence of irradiation products from a photoacid generator (PAG). The reactivity of the pendent groups can first be established through e-beam exposure followed by the addition of PAG to create sensitivity to deep UV. Finally, copolymerization of acrylate precursors with fluorinated side groups will allow for tailoring of the transparency and supercritical CO2 solubility of CVD resists.

Thus, there are several challenges a CVD process must meet. Linear polymer chains must be created as any crosslinking would prevent complete dissolution of the developed regions. To achieve the high sensitivity, the majority of fragile pendant groups in precursors like GMA must survive the CVD process and be incorporated into the film. Additionally, the process must allow for preservation and incorporation of the PAG into the CVD film.

Two methods for producing thin films from chemical vapor deposition (CVD) are being used: pulsed plasma-enhanced CVD (PECVD) and hot-filament CVD (HFCVD). The low excitation energies of these technique avoids crosslinking allow for the incorporation of specific chemical bonding configurations into the film framework by avoiding complete fragmentation of the gaseous reactants. In particular, the introduction of initiator species in HFCVD provides greater control over growth rates, chemical composition, and molecular weight, resulting in film properties that can be tailored for process integration. Through molecular design of CVD films, patternability and solubility will be controlled and optimized for development of features.

Current research work is focused on deposition of CVD organic resists aiming to achieve high contrast and high sensitivity under e-beam exposure and scCO2 development with cosolvents. Results are used to assess process viability and provide essential feedback in both precursor choice and deposition techniques to produce films with an optimal combination of

Page 9: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

186

photosensitivity, resolution and scCO2 solubility. Follow up incorporation of PAG species for chemical amplification and deep UV sensitivity are conducted as appropriate.

To achieve an intrinsic positive-tone resist, a random copolymer of 2-(4-ethenylphenyl)-2-propyl adamantanoate (EPAd) and 1H, 1H-perfluorooctyl methacrylate (F7MA) was synthesized. The bulky adamantanoate group hinders chain rotation, so the copolymer is entropically unfavorable to dissolve in scCO2 at modest processing conditions (200 bar, 40 oC). Chemical amplification reactions after exposure to deep UV and eletron-beam cleave the bulky adamantanoate protecting groups, thereby removing the hindrance to dissolution. Consequently, favorable enthalpic interaction between the highly fluorinated F7MA group and scCO2 dominates, and the exposed patterns dissolve during the development process, rendering this system positive-tone in scCO2. Highlights of Results and Accomplishments:

Significant success was made on the deposition of glycidyl methacrylate (GMA) through HFCVD using tert-butyl peroxide as the initiator. A complete control of polymerization process including initiation, propagation and termination through HFCVD can be accomplished using the initiator strategy. The introduction of the initiator allows film depositions at low filament temperature (<200°C) and greatly improves film deposition rates (>220 nm/min). Furthermore, few other reactions occur at the low filament temperatures needed by peroxide initiators and thus there is great potential for retaining the functional groups and linear polymer structure essential for resist chemistry. Characterization of the as-deposited PGMA films by Fourier transform infrared spectroscopy (FTIR), nuclear magnetic resonance (NMR) and x-ray photoelectron spectroscopy (XPS) proved the linear polymer structure and the retention of pendant epoxide rings in the HFCVD films. In contrast, the peak broadening and the peak intensity loss in the FTIR spectrum of the plasma deposited film reflect large distribution of chemical bonding and destruction of functional groups, including the pendant epoxide group of GMA.

Surface roughness of the films used in lithography becomes more important as critical dimensions decreases. Smooth films are required to reduce line edge roughness. The atomic force micrograph (AFM) shows the PGMA thin film deposited by HFCVD with an RMS roughness of only 0.3 nm. The number-average molecular weight of PGMA films can be systematically varied from 3,000 to 33,000 through adjusting filament temperature and the feed ratio of initiator to precursor in the HFCVD process. The ability to completely dissolve HFCVD PGMA films in solvent THF for GPC molecular weight analysis, confirms that the HFCVD process produces few if any crosslinks in the as-deposited films.

Negative-tone features were obtained for the HFCVD PGMA films after electron-beam exposure. The film with number average molecular weight, Mn, of 11,500 demonstrates a sensitivity of 27 µC/cm2 and a contrast of 1.1 using conventional development (Figure D-1a). By comparison, the PGMA film with Mn 10,800 and PDI 1.19 prepared from solution polymerization and spin coating shows an electron-beam sensitivity of 30 µC/cm2. Decreasing film Mn decreases sensitivity but greatly improves resolution by alleviating the swelling problem of small features. The PGMA film with Mn ~4,700 g/mol was found to resolve features as small as 125 nm using conventional development (Figure D-2), an improved resolution compared with the sub-0.2 µm line/space pair resolution obtained by other negative-tone CVD resists (Nalamasu, 1997). The PGMA films were observed to show improved sensitivity using supercritical CO2 development compared with conventional development. The sample with Mn 11,500 shows a sensitivity of 15 µC/cm2 using supercritical CO2 with 2% acetone added as a

Page 10: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

187

cosolvent. Another advantage of the PGMA films is that they show minimal aging effect after two weeks of stability tests (Figure D-1b). Recently we have submitted two papers about the results obtained for HFCVD PGMA thin films:

• Mao, Y., Gleason, K. K. "Hot Filament Chemical Vapor Deposition of Poly (glycidyl methacrylate) Thin Films Using Tert-butyl Peroxide as an Initiator," submitted to Langmuir in October 2003, revised in December 2003.

• Mao, Y., Felix, N. M., Nguyen, P. T., Ober, C. K., Gleason, K. K. "Towards All Dry Lithography: Electron-beam Patternable Poly (glycidyl methacrylate) Thin Films from Hot Filament Chemical Vapor Deposition," submitted to Journal of Vacuum Science and Technology A in December 2003.

Figure D-1. Sensitivity curves of a) PGMA films with different molecular weight and b) PGMA film with Mn 11,500 1-4 weeks after sample arrival at Cornell.

Figure D-2. Scanning electron micrographs showing 400 nm, 200 nm, and 125 nm line/space pattern achieved for a HFCVD PGMA film produced by electron beam exposure with conventional wet development.

The low-temperature HFCVD with initiator strategy has been extended to synthesis of

other vinyl monomers, including siloxanes with vinyl groups, acrylic acid, methacrylic acid, methyl methacrylate, α-methyl chloro acrylate, ethyl acrylate and fluoroalkyl acrylate (FAA). Co-deposition of these monomers using HFCVD permits engineering of the film composition over a wide range. In particular, the synthesis of a series of P(GMA-FAA) copolymers results in a high sensitivity dry resist. The fluorine containing monomer greatly enhances the copolymer’s solubility in supercritical CO2. The scanning electron micrographs in Figure D-3 show 300 nm and 500 nm line/space patterns achieved by the copolymers using e-beam exposure followed by supercritical CO2 development with or without cosolvent added.

a b

a) b)

Page 11: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

188

10 µm

0.5 µm L/S

1.0 µm L/S

0.3 µm L/S Figure D-3. Features resolved for P(GMA-FAA) films showing 500 nm and 300 nm line/space pattern achieved with supercritical CO2 development, a) with 1% ethanol added a cosolvent, b) in pure CO2.

In Figure D-4, we show 300 nm and larger positive-tone features achieved with pure scCO2 development at 40 oC and 200 bar with copolymer EPAd-F7MA. To our group’s knowledge, these are the first intrinsic positive-tone resist system successfully patterned and developed in scCO2. Work is continuing to achieve sub-100nm resolution with these and similar systems.

One manuscript on the development work has been accepted for publication and another has been submitted for review:

• Pham, VQ, Rao, NG, Ober, CK, “Swelling and dissolution rate measurement of polymeric thin film in supercritical carbon dioxide” accepted for publication for August 2003, Journal of Supercritical Fluids.

• Pham, VQ; Ferris, RJ; Hamad, A; Ober, CK, “Positive-Tone Photoresist Process for Supercritical Carbon Dioxide Development” submitted to Chemistry of Materials.

Interactions with Other ERC Projects: A collaboration with Prof. McRae’s efforts in Thrust C involving a case study to evaluate

the potential risks and benefits of solventless lithography. Industrial Interactions and Technology Transfer:

• November 2003, Prof. Ober and students discuss patterning capabilities and future interactions with Ralph Dammel and Frank Houlihan of Clariant.

• October 2003, Teleseminar presentation by V. Pham, "Recent Advances In Photoresist Processing Using Supercritical CO2."

• Summer 2003, V. Pham interned at IBM Fishkill with Rao Varanasi. • October 2003, Presentations and interactions with lithography researchers from IBM

and Shipley at the 13th Photopolymer Conference. • Hosted James McClain, President and CTO of Micell Technologies for an ERC

teleconference, April 2003.

300nm

500nm

1.0µm

Figure D-4. Positive-tone lines and spaces developed in scCO2 with random copolymer (EPAd-F7MA).

Page 12: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

189

• Authored an outlook entitled, “Solventless Lithography” in response to Ralph Cavin’s request for a contribution to the Cavin’s Corner section of the SRC website, March, 2003.

Next-Year Plans: • Demonstrate high-resolution positive-tone images with scCO2 development. • Achieve sub-100 nm features of CVD resists using scCO2 development. • Determine solubility rules for CVD thin films as a function of chemical structure and

molecular weight. • Improve resolution of intrinsic positive-tone resist through design, formulation, and

scCO2 processing optimization. Aim at sub-100nm resolution. • Establish solubility screening method for candidate resist systems in scCO2 and

cosolvents.

Long-Term Plans: • Demonstrate deep UV patterning of CVD resists. • Optimize scCO2 processing parameters for feature development. • Assess environmental aspects of cosolvents and guidelines for use in photoresist

processing. • Evaluate viability of dielectric patterning scheme for integration in manufacturing

process (interaction with Subtask D2).

Task D-2: Additive Processing This task has been completed. Task D-3: Decision Making for Alternative Technologies

This task has been moved to Thrust C (maintaining interactions with thrust D). Task D-4: Environmentally Benign Deposition of Photoresist and Low-k Dielectrics Personnel: PI:

• B. T. (Pierre) Khuri-Yakub, Electrical Engineering Department, Stanford University Graduate Student:

• Utkan Demirci, Electrical Engineering, Stanford University

Research Associates: • Goksen Goksenin Yaralioglu, Stanford University

Objectives: In semiconductor and microelectromechanical systems (MEMS) manufacturing,

deposition of organic polymers is the most employed process step [1]. Spin coating is widely employed in current applications, since it can fulfill the throughput and quality requirements of the IC industry [2]. However, this method causes extensive waste of expensive chemicals. For instance, photoresist deposition by spin coating wastes more than 95% of the resist consumed during the process [3]. In addition to losing expensive chemicals, the cost of disposing hazardous waste is high [3]. Furthermore, this waste pollutes the environment. For instance, in 2003, the semiconductor industry used $690 million worth of photoresist, most of which is wasted during

Page 13: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

190

the deposition step. This usage combined with the cost of disposal of hazardous waste adds up to approximately a billion dollars cost per year.

We propose to use a single reservoir two dimensional micromachined droplet ejector array for benign deposition of photoresist and other spin-on materials, such as low-k and high-k dielectrics used in IC manufacturing. Direct deposition of these chemicals will reduce waste as well as production cost. These ejectors do not harm heat or pressure sensitive fluids and they are chemically compatible with the materials used in IC manufacturing.

Background: Several methods for droplet generation exist. One of these methods is ink-jet printing [4].

The thermal ejection inkjet (bubble jet) is based on a fast heating of the ejection fluid and the subsequent formation of an expanding vapor bubble, which creates an increasing pressure in the fluid reservoir [4]. The fluid is pushed out of the reservoir through a nozzle as droplets. However, the problem with thermal ejection for the applications of interest here is that the heating may damage heat sensitive fluids such as photoresist, and 1ow-k or high-k dielectrics. Another ejection method is based on piezoelectric actuation, which involves the actuation of a piezoelectric element, and a corresponding increase in the static pressure of the fluid volume [4]. This results in droplet ejection through a vertical or horizontal pointing nozzle. However, this method pressurizes the reservoir at every ejection cycle with possible adverse effects on baro-sensitive fluids. Moreover, both the aforementioned device types are difficult to fabricate as 2D arrays [4,5]. Furthermore, it is hard to reduce the printhead size and to increase the spatial density of the array elements used in these two types of devices. In general, these devices operate at frequencies between 10 kHz and 100 kHz and eject 10-20 µm diameter droplets [9].

Previously, various actuation methods have been used to eject fluids from a small orifice in a membrane. Maehara et al. demonstrated an ultrasonic atomizer, where a piezoelectric actuator located on top of a 50 µm thick circular steel membrane with an 80 µm in diameter pinhole in the center generated 70 µm in diameter droplets [5]. Percin et al. used a piezoelectric ring fabricated on top of the membranes of a large-scale device, where the piezoelectric ring initiated the displacement of the membranes with the support of a piezoelectric plate placed behind the device [6-10]. Although these devices allow the individual addressing of each array element, their fabrication process is difficult due to the extra lithography steps required for the piezoelectric ring formation [6].

Method of Approach: Demirci, et al. demonstrated acoustically actuated 2D micromachined ejector arrays [11].

In this design each membrane of the array had access to an individual fluid reservoir. As a result, different fluids could in principle be ejected by the membranes of a single array simultaneously. However, plane acoustic waves have to couple to the fluid reservoirs and then travel through them in order to actuate the membranes. The acoustic energy coupled to the reservoirs suffers energy loss due to scattering at the reservoir entrance. Moreover, the closest distance between the transducer and the membranes is limited by the reservoir height, and the acoustic waves attenuate as they travel through the fluid reservoir due to the viscosity of the ejection fluid and possibly radial coupling to the reservoir walls. These factors result in a larger energy per ejected droplet in these devices, as compared to the energy per droplet for single reservoir acoustically actuated 2D micromachined ejector arrays.

Page 14: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

191

Coating a wafer per se does not require individual addressing of the array elements, since it can be done by scanning an ejector array over a wafer as shown in figure 1. Moreover, it does not require ejection of various fluids from different array elements, since there is only one ejection fluid (i.e. photoresist). This allows the use of an external transducer that is not bonded to the membranes for actuation and the use of a novel micromachined ejector array without an individual fluid reservoir for each membrane.

Figure 1. Approach: Coverage of a wafer with photoresist using micromachined ejector array.

We propose to use acoustically actuated 2D micromachined ejector arrays to deposit organic polymers onto wafers. The ejector array does not damage sensitive fluids and it produces equi-sized droplets. Further, it does not increase the static pressure in the fluid volume and it is compatible with various chemicals. Moreover, the arrays operate in the 0.3 MHz to 5 MHz frequency range. Therefore, they provide high flow rates and a capability to eject 3-7 µm diameter droplets [11].

Highlights of Results and Accomplishments: (i) Developed the fabrication process for uniform thickness and material, single

crystal silicon membrane based micromachined 2D ejector arrays. Fabricated uniform thickness and material single crystal silicon membrane based micromachined 2D ejector arrays and demonstrated ejection of solvents with these arrays.

(ii) Created a novel design for single reservoir ejector arrays, which accomplish lower power per droplet ejected when compared with the individual reservoir ejector arrays.

(iii) Demonstrated ejection of various solvents by single reservoir and individual reservoir ejector arrays.

(iv) Demonstrated photoresist ejection by using single reservoir ejector arrays. Drew a photoresist line and covered an area on a wafer with photoresist.

Micromachined Ejector Array

Ejected Resist

Page 15: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

192

(v) Developed the theoretical understanding of the ejector array operation by using finite element and analytical models.

(vi) Started the fabrication of a new type of ejector arrays by using a piezoelectric lithiumniobate wafer and utilizing surface waves.

Design:

A flexurally vibrating circular membrane located at one face of a through-wafer hole etched in a wafer constitutes the unit cell of a 2D micromachined ejector array as shown in figure 2 (a). The two-dimensional ejector array is formed by replication of this unit cell as shown in figure 2 (b). The thickness of the wafer and the diameter of the through-wafer hole determine the height of the fluid reservoir and the membrane diameter, respectively. An orifice is etched in the center of the circular membrane so that fluid in the cylindrical reservoir is fired through this orifice as droplets when the membrane is actuated.

Membrane

SiliconSubstrate

First Set ofDrops

Second Set ofDrops

Delay between two actuations

Transducer

Nitridemembranes

Orifices

Through vias to themembranes

Ejectionliquid

(a) (b) Figure 2. (a) Unit Cell of a 2D micromachined ejector array. (b) Geometry of a 2D micromachined ejector array.

The actuation of the device is done acoustically. A piezoelectric transducer (A306S or A303S, Panametrics, Georgia) is placed coaxially with the membrane with its acoustic axis orthogonal to the open face of the reservoir at a controllable distance. As the generated ultrasonic waves travel through the fluid reservoir and reach the clamped circular membrane, the vibrating membrane generates capillary waves on the liquid surface of the air/liquid interface at the orifice and raises the pressure on the interface without compressing the reservoir [8]. This pressure should overcome the restoring forces of the surface tension of the liquid in order to initiate ejection. In addition, it should remain higher than the atmospheric pressure long enough during actuation to allow the formation of a droplet.

Page 16: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

193

Photoresist Ejection by Single Reservoir Ejector Arrays: Shipley SPR 3612 (ρ,σ, µ, Marlborough, MA, USA) photoresist was ejected at 1.12

MHz, from a 20x20 single reservoir 2D Micromachined Array with 160 µm in diameter SixNy membranes and 10 µm in diameter orifices (Figure 4). The measured voltage across the 50 Ω transducer was 40 ± 0.1 V.

The single reservoir micromachined ejector arrays were able to eject off-the-shelf

Shipley SPR 3612 photoresist. However, the experiments were carried out in a dry laboratory environment, which caused fast evaporation of the photoresist solvents and thus a rapid increase in the viscosity. Therefore, 15 %vol. photoresist solvent (acetone, or Microposit photoresist solvent AC 17, Shipley) was added to the photoresist in order to compensate for the evaporation and to maintain the photoresist solvent concentration during ejection.

The total power consumed in order to eject a single droplet of photoresist was measured to be 12.6 ± 0.08 W. This value translates into 28.1 ± 0.18 nJ per photoresist droplet for a 20x20 ejector array.

Oxide filmdeposition

Nitride filmdeposition

Silicon bulketch

Orifice formation with dry etching

Oxide etching

Silicon wafer

Oxide filmdeposition

Oxide filmpatterning

Silicon bulketch

Orifice formation with dry etching

Silicon wafer

Oxide removal

SOI wafer bonding

Wet Oxide etch

(a) (b)

Figure 3. Fabrication steps for (a) SixNy membrane based (b) single crystal silicon 2D micromachined ejector arrays.

Page 17: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

194

Table D-2—ESH Metrics

Interactions with Other ERC Projects:

This is a module in the overall plan to develop an environmentally benign photoresist deposition process. Next-Year Plans:

• Continue the work on surface wave ejector arrays. Show multiple simultaneous ejections from these arrays.

Single droplet jet

10 mm

Transducer

Wafer

Simultaneousparallel jets

15 mm

(a) (b)

Figure 4. (a) Ejection at 1.12 MHz from a 160 µm in diameter SixNy membrane with a 10 µm in diameter orifice. Droplets travel through a 500 µm long open ended air cylinder and 10 mm in air before they descend onto the silicon wafer. The array is placed tilted to the wafer surface to be able to take a picture of the device surface and the wafer surface together. (b) 40 elements of the ejector array ejecting. Droplets travel parallel to each other through a 500 µm long open ended air cylinders and a 15 mm air path.

Usage Reduction Emission Reduction

Goals / Possibilities

Energy

Water

Chemicals

PFCs

VOCs

HAPs

Other Hazardous

Wastes

95% decrease in

photoresist and

low K-dielectric

usage

n/a

100%

reduction in

water used to

dispose waste

resist and low

K-dielectrics.

5%

reduction in

photoresit

and low K-

dielectrics.

n/a

100%

Some

reduction

in acid

vapors

Reduction in chemicals used to dispose waste photoresist and low K-dielectrics

Page 18: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

195

• Continue the experiments for photoresist coverage of wafers using single reservoir and individual reservoir 2D micromachined ejector arrays.

• Improve the theoretical understanding of the ejector arrays in order to improve the ejector array design. This will allow simultaneous ejection from thousands of ejector array nozzles. Moreover, it will provide single direction ejection through the membrane orifices.

• Patent the new ejector arrays designs through SRC/ERC. Long-Term Plans:

• Achieve full wafer photoresist coverage of wafers using 2D micromachined ejector arrays.

• Achieve photoresist ejection by using surface wave ejector arrays. • Advance the theoretical understanding of the ejector arrays. • Create new devices for photoresist ejection.

Task D-5: Supercritical CO2 Processing of Wafer Surfaces Personnel: PI:

• Anthony J. Muscat, Chemical and Environmental Engineering, UA

Graduate Student: • Bo Xie, Chemical and Environmental Engineering, UA

Objectives: The goal of this work is to develop the science of supercritical fluid processing to clean,

restore, and cap porous low-k films to enable ultra low-k integration for the 45 nm technology node. Continuous pores present significant integration challenges that may not be addressed satisfactorily by conventional backend-compatible cleans based on organic solvents or inorganic acids containing modifiers. Supercritical carbon dioxide (scCO2) is compatible with copper metal since it is nonaqueous and has no surface tension so can penetrate nanometer pores to deliver modifiers introduced to clean and repair patterning damage. Moreover, the semiconductor industry would use CO2 produced as a byproduct from other industries, and there are proven technologies available to recycle and reuse CO2. This subtask will develop a fundamental and systematic understanding of backend wafer cleaning and film repair chemistries based on scCO2 as well as demonstrate proof of concept processing sequences. Current projects include adding alcohol cosolvents to scCO2 to repair etching and ashing damage during pattern formation on porous ultra low-k films and restoring the k value of the films after plasma ashing using scCO2 to transport and react Si-bearing precursor molecules. The NSF/SRC EBSM Engineering Research Center and the Interconnect and ESH Divisions of International Sematech (ISMT) jointly fund this subtask.

Background:

Low-k dielectric films are the performance driver in back end of line integration. These films are needed for device integration to lower power consumption and minimize cross talk between metal lines. Low-k materials currently in production for the 90 nm node are either organics or organosilicates with dielectric constants near 2.8. Future generations of these materials containing manufactured pores filled with air will be needed to achieve k values below

Page 19: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

196

2.6 for the 65 nm and 2.4 for the 45 nm device generations. Pores compromise the structural integrity of low-k films as well as expose the interior of a film to the outside. The open framework creates significant process integration challenges since the pores must be cleaned, free of etching damage, and capped before deposition of the copper (Cu) barrier and seed layers. Etching during pattern formation and ashing to remove photoresist damages and chemically modifies low-k layers. Water is introduced into organosilicate low-k layers during ashing of photoresist. The water is present in the form of silanol (Si-OH) groups, which raise the k value typically above 3 and must be removed. Conventional cleaning approaches face problems with dewetting of nonpolar surfaces, highly porous structures, damage by plasmas, and changes in k due to absorption of chemicals. Cleans based on scCO2 mixed with small amounts of additives (< 5 vol%) such as cosolvents and chelators potentially offer a lower cost solution because of the mass transport, density, tunable solvating power, nonaqueous, low surface tension, reusability and low toxicity of scCO2. scCO2 is being investigated for cleaning porous materials (Reidy, 2003), photoresist removal (Chavez, 2001), drying to prevent pattern collapse (Goldfarb, 2000), deposition of metal layers (Blackburn, 2001), etching metals (Xie, 2003; Bessel, 2003) and patterning (Pryce-Lewis, 2001). These applications of scCO2 are under active development in response to needs for materials and reduced-dimension compatible cleans, new patterning approaches, and low chemical-use processes (Muscat, 2003).

Several approaches have been used to minimize or repair damage to carbon-doped silicon oxide (CDO) low-k films after photoresist ashing, which typically raises the k value to the 3.5 range. Wang et al. showed that the dielectric constant of He-plasma treated CDO after ashing was the same as the as-deposited film (k=2.7-2.8) (Wang, 2003). Mor et al. reported that pure hexamethyldisilazane (HMDS) vapor reacted with silanol (SiO–H) groups in porous organosilicate glass (POSG) films (Mor, 2002). The dielectric constant decreased from 3.62 to 2.4 when O2 plasma-damaged POSG underwent HMDS treatment at 80°C for 15 min followed by furnace curing at 400°C for 60 min.

Method of Approach: Transmission FTIR spectroscopy (Nicolet Nexus 670 using a MCTA detector) was used

ex situ to monitor chemical changes in the porous CDO film methyl silsesquioxane (MSQ) (JSR 5109) a resolution of 4 cm-1 after processing in scCO2 mixtures. Water or silanol removal was calculated using the integrated FTIR peak area in the range from 3150 to 3560 cm-1 on samples before and after treatment. Electrical measurements were made on metal insulator semiconductor (MIS) capacitors fabricated after processing in scCO2. C-V curves were measured at 1 MHz with an AC bias from –30V to +30V, and capacitance in accumulation was used to determine the ULK MSQ film dielectric constant.

Page 20: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

197

0.35

0.30

0.25

0.20

0.15

0.10

0.05

0.00

Inte

nsity

4000 3500 3000 2500 2000 1500 1000

Wavenumber, cm-1

0.04

0.03

0.02

0.01

0.00

Intensity

CH

3 D

efor

mat

ion,

127

8.5

Si-O

-Si S

tret

ch (C

age)

, 113

4.0

CH

3 A

sym

str

etch

, 297

7.3

CH

3 Sy

m s

tret

ch, 2

921.

9

Si-O

-Si S

tret

ch (N

etw

ork)

, 106

1.5

OH

Str

etch

, 315

0.0

- 360

0.0

OH

Str

etch

, 941

.6

isol

ated

/gem

inal

SiO

H, 3

740.

0a

b

c

Figure D5-1: FTIR spectra of porous ultra low k MSQ for 7 vol% (7 mol%) n-propanol addition to supercritical CO2. (a) As received ashed MSQ film; (b) After exposing sample in (a) to a 15 min ramp to steady-state conditions and a 2 min soak in scCO2/7 vol% n-propanol at 289 atm and 49°C; (c) Difference spectrum (b) – (a).

Highlights of Results and Accomplishments: Addition of alcohol cosolvents to scCO2 increased the removal of hydrogen-bonded

silanol groups by a factor of 2-3 times over scCO2 alone. ULK MSQ films were processed in scCO2 containing 5-7% cosolvent by volume at 200-300 atm and 45-55°C for a 2 min soak time. The aliphatic C1-C6 alcohols were used as cosolvents. Figure D5-1 shows the FTIR pre-process, post-process, and difference (post minus pre) spectra for 7% n-propanol added to scCO2 at 289 atm and 49°C for a 2 min soak time. The vibrational stretches of interest are identified as follows: isolated/geminal O-H stretch (3740 cm-1), hydrogen-bonded O-H groups (3150 to 3600 cm-1), asymmetric CH3 stretch (2977 cm-1) and symmetric CH3 stretch (2922 cm-1), and the primary lattice vibrations Si-CH3 (1277 cm-1, 839 cm-1), Si-OH (942 cm-1), Si-O-Si cage (1134 cm-1), Si-O-Si network (1062 cm-1). The H-bonded silanol (SiO-H) groups were removed from the film without leaving any residue of the cosolvent (confirmed with isotope studies). Isolated SiO-H groups were created showing that dehydration is a condensation reaction with more than one SiO-H participating. The Si-O-Si bonds of the lattice were relaxed as shown by the slight shift of the peak at 1062 cm-1 to higher wavenumber. The water removal percentage was 63%. Similar results were obtained with the other cosolvents studied. What is not clear from the 5-7% cosolvent addition FTIR results is how the cosolvent is functioning to remove water. One possibility is increasing the solubility of water in the supercritical fluid, since the cosolvents have a higher solubility than water in scCO2. Another possibility is catalyzing the dehydration reaction SiO-H…SiOH → Si-O-Si + H2O, which occurs at a relatively low temperature 45-55°C.

Page 21: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

198

15

10

5

0

Rat

io o

f Sila

nol %

Rem

oved

to C

osol

vent

Mol

e %

met

hano

l

etha

nol

n-pr

opan

ol

isop

ropa

nol

n-bu

tano

l

isob

utan

ol

tert-

buta

nol

n-pe

ntan

ol

n-he

xano

l

acet

ic a

cid

5 vol% 7 vol%

0.16

0.14

0.12

0.10

0.08

0.06

0.04

0.02

Vap

or P

ress

ure

at 2

5°C

(atm

)

1614121086420

Ratio of Silanol % Removed to Cosolvent Mole %

methanol

ethanol

n-propanol

isopropanol

n-butanoliso-butanol

tert-butanol

n-pentanoln-hexanol

acetic acid

Figure D5-2: Summary of silanol groups removed from blanket ashed ultra low MSQ films as a function of the C1-C6 alcohols and acetic acid cosolvents added to supercritical CO2. The silanol removal percentage was scaled by the mole % of cosolvent added. Results for 5 and 7 vol% cosolvent addition are shown. Error bars are 95% confidence limits.

Figure D5-3: Performance evaluation for cosolvent addition (5 vol% results) to supercritical CO2 for removal of silanol groups from porous ultra low-k MSQ films. The vapor pressure of the cosolvents at 25°C is used as an environmental metric. The silanol removal percentage was scaled by the mole % of cosolvent added.

Scaling the percentage of silanol groups removed from porous MSQ films by the mole percentage of cosolvent added to scCO2 provided a relative assessment of the technical performance of the cosolvents studied (Figure D5-2). Both 5 and 7 vol% of cosolvents were studied for C1-C6 alcohols and acetic acid, which corresponded to mol% ranging from 3-12%. The plot of this ratio as a function of 5 and 7 vol% cosolvent addition in Figure D5-2 shows that n-propanol, isopropanol, and n-butanol removed the largest percentage of silanol groups per mole of cosolvent. Addition of 5-7 vol% was chosen since water removal percentage has saturated in this range. With the exception of n-butanol, the C4 through C6 alcohols removed the largest percentage of silanols at 7 vol% within experimental error. The more efficient removal obtained at 5 vol% compared to 7 vol% for n-propanol, isopropanol, and n-butanol is likely the result of cosolvent aggregation. Sufficient cosolvent molecules were available that aggregation occurred decreasing the cosolvent molecules available to solvate water molecules produced by condensation of silanols. This interpretation indicates that the primary effect of cosolvent addition is to increase the solubility of water in supercritical CO2. Water has a lower solubility in scCO2 than the cosolvents studied, and cosolvent addition increased the percentage of silanols that condensed compared to using pure scCO2. The branched C3 alcohol isopropanol performed as well as the linear n-propanol, however, the branched C4 alcohol isobutanol removed much less water than the linear C4 alcohol n-butanol (Xie, 2003a).

Page 22: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

199

0.35

0.30

0.25

0.20

0.15

0.10

0.05

0.00

Inte

nsity

4000 3500 3000 2500 2000 1500 1000Wavenumber, cm-1

0.04

0.03

0.02

0.01

0.00

Intensity

CH

3 D

efor

mat

ion,

127

6.5

Si-O

-Si S

tret

ch (C

age)

, 113

4.0

CH

3 A

sym

str

etch

, 297

7.3

CH

3 Sy

m s

tret

ch, 2

921.

9

Si-O

-Si S

tret

ch (N

etw

ork)

, 106

1.5

OH

Str

etch

, 315

0.0

- 360

0.0

OH

Str

etch

, 941

.6

Isol

ated

/gem

inal

SiO

H, 3

740.

0a

b

c

Figure D5-4: Repair of ashed porous ultra low-k MSQ film by addition of 1% HMDS to supercritical CO2. (a) FTIR spectrum of as received ashed MSQ; (b) FTIR spectrum after exposing sample in (a) to a 15 min ramp to steady-state conditions and a 2 min scCO2/1% HMDS process at 216 atm and 56°C; (c) Difference spectrum (b) – (a).

The vapor pressure of each of the cosolvents at 25°C was plotted as a function of the percentage of silanol groups removed scaled by the mole percentage of cosolvent at 5 vol% to assess the relative environmental performance of the cosolvents studied (Figure D5-3). Vapor pressure is only one measure of the environmental impact of a molecule. It is important in designing waste recovery equipment and in assessing disposal options. In addition, it gives some measure of the relative safety of handling a class of compounds and their flammability. Methanol had the highest vapor pressure of the cosolvents studied and its performance for removing water from the surface, which may have been solubility limited, was below that of n-propanol, isopropanol, and n-butanol as shown in Figure D5-2. These results show that methanol is not a desirable cosolvent from an environmental perspective and suggest that it may not perform as well as other alcohols some of which are already present in a fab (Xie, 2003a). To test the hypothesis that the cosolvent increases the solubility of water in the supercritical fluid, the silanol removal percentage as a function of the volume percentage of n-propanol added from 0.05-7% was measured at 220±10 atm and 55±2°C. The results show that silanol removal saturated at 0.5 to 1 vol% n-propanol at the conditions studied. A two step sequence of 0.05 vol% n-propanol in scCO2 followed by another 0.05 vol% n-propanol in scCO2 process removed more water than a single 0.05 vol% step. These results support the conclusion that n-propanol addition increased the solubility of water in the supercritical fluid, since water has a lower solubility in scCO2 than the cosolvents studied.

Page 23: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

200

4.0

3.5

3.0

2.5

2.0

Die

lect

ric C

onst

ant

Bla

nket

MS

Q

Ash

ed M

SQ

7% n

-pro

pan

ol

1% H

MD

S

1%

TM

DS

1%

TM

CS

Vac

uum

Ann

eal

120

100

80

60

40

20

0

Contact A

ngle or W

ater Rem

oval Percentage

30 nm

Porous ULK MSQ Film

Glue

30 nm

Porous ULK MSQ Film

Glue

Figure D5-5: Dielectric constant, contact angle, and silanol removal for unprocessed blanket and ashed ultra low-k MSQ films, and ashed MSQ films processed using n-propanol/scCO2, HMDS/scCO2, TMDS/scCO2, TMCS/scCO2, and vacuum anneal.

Figure D5-6: Cross-sectional TEM image for 1% HMDS addition to scCO2 at 212 atm and 57°C with a 2 min soak.

Two chemistries were used to repair CDO films after patterning, one in which a precursor bearing both Si and halogen atoms reacts with hydroxyl groups (ex. TMCS [ 3 3( )CH SiCl ]) and the other in which a molecule with a weak bond to Si reacts (ex. HMDS [ ( ) ( )3333 CHSiNHSiCH ] and tetramethyldisilazane (TMDS) [ ( ) ( )2323 CHHSiNHSiHCH ]). The transmission FTIR pre-, post-, and difference spectra for 1% HMDS addition to scCO2 at 216 atm and 56°C shows that HMDS reacted with both H-bonded O-H groups (decrease in the O-H peak at 3150-3600 cm-1) as well as isolated O-H groups (decrease in the O-H peak at 3740 cm-1) (Figure D5-4). There was a concomitant increase in the C-H stretch of CH3 at 2977 cm-1 and 2922 cm-1 and Si-CH3 peaks at 1277 cm-1 and 839 cm-1. Similar results were obtained for TMCS and TMDS precursor addition. These precursors reacted with the silanol groups on the surfaces of the pores of the MSQ producing chemical moieties with CDO-like compositions. For TMDS two additional peaks appeared at 2150 cm-1, which was assigned to the Si-H stretch, and at 910 cm-1, which was attributed to the Si-H bending mode. Comparing difference spectra for the precursors shows that the CH3 asymmetric stretch at 2977 cm-1 and CH3 deformation mode at 1277 cm-1 were much larger after processing with 1% TMCS/scCO2 than after 1% TMDS/scCO2 or 1% HMDS/scCO2. The isolated SiO-H peaks were approximately the same. The H-bonded SiO-H peak for 1% TMCS/scCO2 was smaller compared to the Si-N-Si bearing precursors. MSQ films repaired with these chemistries were stable for one month with minimal uptake of water from ambient air. Thermal desorption of MSQ after processing in 1% HMDS/scCO2 did not show any evidence for unreacted precursor in the pores of the film or desorption of precursor fragments (Xie, 2003b).

Dielectric constant, contact angle, and water removal measurements are summarized in Figure D5-5 as a function of different process chemistries. Oxygen plasma ashing increased the dielectric constant from the starting value of 2.4±0.1 for blanket MSQ and made the hydrophobic MSQ starting surface (contact angle 106°) strongly hydrophilic (<10°). Processing ashed MSQ

Page 24: THRUST D: PATTERNING · 183 Task D-2 Task completed. Task D-3 Moved to Thrust C. Task D-4 Publications, Presentation, Patents: • “Utkan Demirci, Goksenin Yaralioglu, E. Hæggström,

201

with an alcohol cosolvent dissolved in scCO2 left the surface hydrophilic because of the isolated SiO-H groups, removed 30% of the H-bonded SiO-H, and reduced the k value to 3.2±0.1. An alcohol cosolvent alone is not sufficient to remove and restore CDO films but may be an effective choice in a comprehensive processing strategy since it removes H-bonded silanols selectively to isolated silanols. Vacuum annealing to 400°C removed all of the water but damaged the film as shown by the red shift in the FTIR of the siloxane network and cage peaks as well as by the dielectric constant of 3.6±0.1. The Si bearing precursor molecules dissolved in scCO2 removed over 50% of the water and generated primarily hydrophobic surfaces but the dielectric measurements were mixed. TMCS and HMDS produced k values of 2.5±0.1 close to nonashed MSQ, however, because of Si-H groups TMDS yielded k values of 3.3±0.1 even though complete silylation was achieved.

Pore size distribution is an important property of ultra low-k dielectrics since it must be smaller than the minimum feature size of device components, and the structure of the film backbone depends on mechanical strength, electrical and thermal stability, and thermal conductivity. Figure D5-6 shows a cross-sectional TEM micrograph of a porous MSQ film after processing in a 1% HMDS/scCO2 mixture at 212 atm and 57°C for a 2 min soak. The lighter regions in the image with fewer electrons detected correspond to the pores. The results show that the pores have a nearly spherical geometry with diameters in the range of 1 to 6 nm. AFM images of the top surfaces of these films corroborated the TEM results showing pore diameters on the order of 5 nm in diameter.

Interactions with Other ERC Projects: • The Ober group at Cornell is doing SAXS analysis on processed samples.

Next-Year Plans: • Demonstrate silanol removal on patterned ultra low-k MSQ films using mixtures of

scCO2 with alcohol cosolvents and Si precursors. • Conduct pore-sealing experiments for porous ultra low-k MSQ films using scCO2 and

Si-bearing precursor mixtures. • Construct second-generation scCO2 research reactor with continuous flow and in situ

FTIR film monitoring capabilities.

Long-Term Plans: • Establish the surface chemistry of post-RIE plasma residue removal using cosolvents

and Si precursors dissolved in scCO2. • Remove Cu atom contamination from patterned MSQ films using a metal chelator

such as hfacH dissolved in scCO2. Evaluate the deposition of copper barrier layer films using scCO2.