transmission electron microscopy observations on the interfacial structures of the pt/srbi2ta2o9/pt...

7
Transmission Electron Microscopy Observations on the Interfacial Structures of the Pt/SrBi 2 Ta 2 O 9 /Pt Thin-Film Capacitors Prepared by Metallo-Organic Decomposition Xinhua Zhu, w,z Di Wu, y Aidong Li, y Zhiguo Liu, y and Naiben Ming z z National Laboratory of Solid State Microstructures, Department of Physics, Nanjing University, Nanjing 210093, China y National Laboratory of Solid State Microstructures, Department of Materials Scienece and Engineering, Nanjing University, Nanjing 210093, China Interfacial structures of the Pt/SBT/Pt capacitors prepared by metallo-organic decomposition were examined by transmission electron microscopy (TEM) and high-resolution TEM (HRTEM) as a function of the postannealed time at 7501C to investigate the interfacial evolution developed in the Pt/SBT/Pt capacitors. The results show that after postannealing at 7501C for a short time (e.g., 300 s), a thin amorphous layer was ob- served at the interfaces of the SBT/Pt-bottom and the SBT/Pt- top electrodes in the Pt/SBT/Pt capacitors, whereas after post- annealing for a moderate time (e.g., 20 min), the interfaces of the SBT/Pt-bottom and the SBT/Pt-top electrodes became quite smooth and uniform, and almost free of the interfacial phase. However, after postannealing for a longer time (e.g., 60 min), an interfacial phase consisting of oval-shaped nanocrystallites was developed at both the SBT/Pt-bottom and the SBT/Pt-top elec- trode interfaces, which resulted in weakly bonded interfaces be- tween the SBT films and Pt electrodes. This is the reason why a Pt electrode peeling phenomenon (similar to that reported in the Pt/SBT/Pt/capacitors annealed in the forming gas atmosphere) is frequently observed in the Pt/SBT/Pt capacitors postannealed for 60 min during the fabrication of cross-sectional TEM sam- ples. Based on two-dimensional lattice fringes of the HRTEM images from the small nanocrystallites and the corresponding fast Fourier transform patterns, the oval-shaped nanocrystallites precipitated at both the SBT/Pt-bottom and the SBT/Pt-top electrode interfaces were determined to be a PtBi 2 phase with a cubic structure. The formation of the Pt–Bi-based interfaces in the Pt/SBT/Pt capacitors is due to the reaction of bismuth (out- diffused from the SBT films) with Pt electrodes during the post- annealing process. The elliptical morphology of the interfacial PtBi 2 phase can be ascribed to its different growth rates in the parallel and vertical directions of the interface, and also the limited space for grain growth perpendicular to the interface. I. Introduction F ERROELECTRIC thin films have attracted considerable atten- tion for nonvolatile memory applications because of their low operating voltage, fast access time, and hard radiation. 1–3 The key component of ferroelectric random access memories (FeRAMs) is a ferroelectric capacitor containing a layer of a ferroelectric thin film and a Pt electrode-sandwiched capacitor. In the past decade, lead zirconate titanate (PZT) thin films have been considered to be one of the best candidates for such non- volatile memory applications due to their high remanent polar- ization (P r over 20 mC/cm 2 ). However, besides Pb toxicity issues, PZT thin films also have some problems such as low fatigue endurance and retention property on Pt-covered silicon sub- strates. 4 Recently, issues with fatigue and concerns related to the environment, safety, and health have prompted interest in a new class of ferroelectrics based on bismuth-layered perovskites, such as SrBi 2 Ta 2 O 9 (SBT). 5,6 It has been shown that SBT thin films have excellent fatigue endurance on conventional Pt/TiO 2 / SiO 2 /Si substrates, where polarization does not decrease after 10 10 switching cycles. 5 SBT thin films have been prepared by different methods, and their physical properties have also been investigated extensively with an emphasis on FeRAMs applica- tions. 7 However, the major drawback of SBT thin films used in silicon devices is their high crystallization temperatures ( 7501C), which would damage the silicon substrate and also enhance diffusion phenomena, even in relatively stable sub- strates such as Pt/TiO 2 /SiO 2 /Si. Therefore, interfacial regions are formed in series with the SBT films. The formation of such detrimental interfaces can reduce the dielectric and ferroelectric properties of SBT thin films, thus limiting their applications in nonvolatile memories. Recently, several studies have been carried out to investigate the effect of these oxide interfacial phases on the performance of SBT films, mainly by analyzing the data of X-ray diffraction (XRD) patterns, the polarization fatigue, or leakage current conduction. 8–11 For example, Seong et al. 8 reported on a Bi 4 Ti 3 O 12 or a Bi 2 Ti 4 O 12 interfacial phase formed at the inter- face between the SBT film and the Pt/TiO 2 /SiO 2 /Si substrate during the deposition of SBT films at 5501C by plasma- enhanced MOCVD. They found such that an interfacial phase could decrease the leakage current density of the SBT films. Li et al. 10 reported that the interfacial diffusion between the SBT films and the Pt (bottom) electrode could result in the formation of second phases such as PtBi 2 and Bi 4 Ti 3 O 12 , and the latter was the main interfacial phase based on the data of XRD and Auger electron spectroscopy. SBT thin films with a moderate inter- facial diffusion (thin Bi 4 Ti 3 O 12 interfacial layer) demonstrated an improved fatigue behavior and retention property, which was ascribed to the existence of the main interface phase of Bi 4 Ti 3 O 12 . The formation of the Bi 4 Ti 3 O 12 interfacial layer was presumed to be caused by the reaction of the accumulated Bi (out-diffused from the SBT film) with Ti (from the adhesion TiO 2 layer) diffused through the Pt-bottom electrode and oxy- gen at the interface during the annealing process. However, se- vere interfacial diffusion (B40-nm-thick Bi 4 Ti 3 O 12 interfacial phase) deteriorates the related electrical properties, resulting in smaller values of remnant polarization and dielectric constant of the SBT films. Calzada et al. 11 also reported the interfacial phases and electrical characteristics of Sr 0.8 Bi 2.2 Ta 2 O 9 films deposited on Pt/TiO 2 /SiO 2 /Si (100) and Ti/Pt/Ti/SiO 2 /Si (100) H. M. Chan—contributing editor This work is sponsored by Digital DNA Laboratories, Semiconductor Production Sec- tor, Motorola Inc. It is also partially supported by Natural Science Foundation of Jiangsu Province (Project No. BK2007130), opening project of National Laboratory of Solid State Microstructures, and National Natural Science Foundation of China under grant numbers of 60576023 and 60636010. w Author to whom correspondence should be addressed. e-mail: xhzhu1967@ yahoo.com.cn Manuscript No. 23238. Received May 20, 2007; approved October 14, 2007. J ournal J. Am. Ceram. Soc., 91 [3] 979–985 (2008) DOI: 10.1111/j.1551-2916.2007.02197.x r 2008 The American Ceramic Society 979

Upload: xinhua-zhu

Post on 20-Jul-2016

213 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Transmission Electron Microscopy Observations on the Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin-Film Capacitors Prepared by Metallo-Organic Decomposition

Transmission Electron Microscopy Observations on the InterfacialStructures of the Pt/SrBi2Ta2O9/Pt Thin-Film Capacitors Prepared by

Metallo-Organic Decomposition

Xinhua Zhu,w,z Di Wu,y Aidong Li,y Zhiguo Liu,y and Naiben Mingz

zNational Laboratory of Solid State Microstructures, Department of Physics, Nanjing University, Nanjing 210093,China

yNational Laboratory of Solid State Microstructures, Department of Materials Scienece and Engineering, NanjingUniversity, Nanjing 210093, China

Interfacial structures of the Pt/SBT/Pt capacitors prepared bymetallo-organic decomposition were examined by transmissionelectron microscopy (TEM) and high-resolution TEM(HRTEM) as a function of the postannealed time at 7501C toinvestigate the interfacial evolution developed in the Pt/SBT/Ptcapacitors. The results show that after postannealing at 7501Cfor a short time (e.g., 300 s), a thin amorphous layer was ob-served at the interfaces of the SBT/Pt-bottom and the SBT/Pt-top electrodes in the Pt/SBT/Pt capacitors, whereas after post-annealing for a moderate time (e.g., 20 min), the interfaces of theSBT/Pt-bottom and the SBT/Pt-top electrodes became quitesmooth and uniform, and almost free of the interfacial phase.However, after postannealing for a longer time (e.g., 60 min), aninterfacial phase consisting of oval-shaped nanocrystallites wasdeveloped at both the SBT/Pt-bottom and the SBT/Pt-top elec-trode interfaces, which resulted in weakly bonded interfaces be-tween the SBT films and Pt electrodes. This is the reason why aPt electrode peeling phenomenon (similar to that reported in thePt/SBT/Pt/capacitors annealed in the forming gas atmosphere)is frequently observed in the Pt/SBT/Pt capacitors postannealedfor 60 min during the fabrication of cross-sectional TEM sam-ples. Based on two-dimensional lattice fringes of the HRTEMimages from the small nanocrystallites and the correspondingfast Fourier transform patterns, the oval-shaped nanocrystallitesprecipitated at both the SBT/Pt-bottom and the SBT/Pt-topelectrode interfaces were determined to be a PtBi2 phase with acubic structure. The formation of the Pt–Bi-based interfaces inthe Pt/SBT/Pt capacitors is due to the reaction of bismuth (out-diffused from the SBT films) with Pt electrodes during the post-annealing process. The elliptical morphology of the interfacialPtBi2 phase can be ascribed to its different growth rates in theparallel and vertical directions of the interface, and also thelimited space for grain growth perpendicular to the interface.

I. Introduction

FERROELECTRIC thin films have attracted considerable atten-tion for nonvolatile memory applications because of their

low operating voltage, fast access time, and hard radiation.1–3

The key component of ferroelectric random access memories(FeRAMs) is a ferroelectric capacitor containing a layer of aferroelectric thin film and a Pt electrode-sandwiched capacitor.

In the past decade, lead zirconate titanate (PZT) thin films havebeen considered to be one of the best candidates for such non-volatile memory applications due to their high remanent polar-ization (Pr over 20 mC/cm

2). However, besides Pb toxicity issues,PZT thin films also have some problems such as low fatigueendurance and retention property on Pt-covered silicon sub-strates.4 Recently, issues with fatigue and concerns related to theenvironment, safety, and health have prompted interest in a newclass of ferroelectrics based on bismuth-layered perovskites,such as SrBi2Ta2O9 (SBT).

5,6 It has been shown that SBT thinfilms have excellent fatigue endurance on conventional Pt/TiO2/SiO2/Si substrates, where polarization does not decrease after1010 switching cycles.5 SBT thin films have been prepared bydifferent methods, and their physical properties have also beeninvestigated extensively with an emphasis on FeRAMs applica-tions.7 However, the major drawback of SBT thin films used insilicon devices is their high crystallization temperatures( � 7501C), which would damage the silicon substrate andalso enhance diffusion phenomena, even in relatively stable sub-strates such as Pt/TiO2/SiO2/Si. Therefore, interfacial regionsare formed in series with the SBT films. The formation of suchdetrimental interfaces can reduce the dielectric and ferroelectricproperties of SBT thin films, thus limiting their applications innonvolatile memories.

Recently, several studies have been carried out to investigatethe effect of these oxide interfacial phases on the performance ofSBT films, mainly by analyzing the data of X-ray diffraction(XRD) patterns, the polarization fatigue, or leakage currentconduction.8–11 For example, Seong et al.8 reported on aBi4Ti3O12 or a Bi2Ti4O12 interfacial phase formed at the inter-face between the SBT film and the Pt/TiO2/SiO2/Si substrateduring the deposition of SBT films at 5501C by plasma-enhanced MOCVD. They found such that an interfacial phasecould decrease the leakage current density of the SBT films. Liet al.10 reported that the interfacial diffusion between the SBTfilms and the Pt (bottom) electrode could result in the formationof second phases such as PtBi2 and Bi4Ti3O12, and the latter wasthe main interfacial phase based on the data of XRD and Augerelectron spectroscopy. SBT thin films with a moderate inter-facial diffusion (thin Bi4Ti3O12 interfacial layer) demonstratedan improved fatigue behavior and retention property, which wasascribed to the existence of the main interface phase ofBi4Ti3O12. The formation of the Bi4Ti3O12 interfacial layerwas presumed to be caused by the reaction of the accumulatedBi (out-diffused from the SBT film) with Ti (from the adhesionTiO2 layer) diffused through the Pt-bottom electrode and oxy-gen at the interface during the annealing process. However, se-vere interfacial diffusion (B40-nm-thick Bi4Ti3O12 interfacialphase) deteriorates the related electrical properties, resulting insmaller values of remnant polarization and dielectric constant ofthe SBT films. Calzada et al.11 also reported the interfacialphases and electrical characteristics of Sr0.8Bi2.2Ta2O9 filmsdeposited on Pt/TiO2/SiO2/Si (100) and Ti/Pt/Ti/SiO2/Si (100)

H. M. Chan—contributing editor

This work is sponsored by Digital DNA Laboratories, Semiconductor Production Sec-tor, Motorola Inc. It is also partially supported by Natural Science Foundation of JiangsuProvince (Project No. BK2007130), opening project of National Laboratory of Solid StateMicrostructures, and National Natural Science Foundation of China under grant numbersof 60576023 and 60636010.

wAuthor to whom correspondence should be addressed. e-mail: [email protected]

Manuscript No. 23238. Received May 20, 2007; approved October 14, 2007.

Journal

J. Am. Ceram. Soc., 91 [3] 979–985 (2008)

DOI: 10.1111/j.1551-2916.2007.02197.x

r 2008 The American Ceramic Society

979

Page 2: Transmission Electron Microscopy Observations on the Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin-Film Capacitors Prepared by Metallo-Organic Decomposition

substrates by chemical solution deposition. Their results showedthat the interfacial phase for the SBT films deposited on the Pt/TiO2/SiO2/Si (100) substrate was a Pt–Bi alloy (PtBi2) with athickness of 10 nm, whereas the interface with a compositionclose to Bi4Ti3O12 was developed between the SBT films and theTi/Pt/Ti/SiO2/Si (100) substrate, and whose thickness was esti-mated to be 70–90 nm by Rutherford backscattering spectros-copy (RBS). It was also found that the Bi4Ti3O12 interface didnot deteriorate the ferroelectric properties of the SBT films, butit also did not lead to a considerable improvement in the ferro-electric response, as reported by Li et al.10 The contradictive re-sults reported by different research groups probably resultedfrom the different postannealing conditions.

So far, the nature of the interfaces between the SBT thin filmsand Pt (top and bottom) electrodes and their formation mech-anisms are not well understood, although the SBT films havebeen proved some time ago to be excellent alternatives to thetraditional PZT films for FeRAMs applications. Actually, in thepast 10 years, only a few transmission electron microscopy(TEM) studies on the interfacial structures of ferroelectric film/electrode have been reported. Zafar et al.12 performed a conven-tional TEM investigation on the microstructure of Pt/SBT/Pt/TiO2/SiO2/Si capacitors annealed in N2 and forming gas atmo-sphere at 5001C. They found that the stacks annealed in theforming gas exhibited extensive electrode peeling, whereas such aphenomenon was not observed in the stacks annealed in a ni-trogen atmosphere. The Auger electron spectroscopic studies ofthe forming gas-annealed capacitor stacks showed that most ofthe peeling occurs at the interface between the SBT film and thePt-bottom electrode, and excess bismuth precipitates are alsoobserved at the peeled surface. They suggested that electrodepeeling could be attributed to the reduction of bismuth oxide inSBT films to bismuth in a hydrogen atmosphere, which accu-mulated at the SBT/Pt-bottom electrode interface. Owing to thebismuth expansion on solidifying by about 4%, such an expan-sion could have led to mechanical stress at the Pt-bottom elec-trode, and thereby caused the Pt-bottom electrode to peel off.Therefore, by a conventional cross-sectional TEM sample prep-aration technique (two cut slices bonded together surface to sur-face using the epoxy cement between them, mechanicallypolished, dimpled, and ion beam-thinned specimen), it is noteasy to prepare cross-sectional TEM samples for such hetero-structures that exhibit weakly bonded interfaces between the fer-roelectric thin films and bottom electrode due to the problem ofelectrode peeling.

Later, Poonawala et al.13 carried out a comprehensive cross-sectional TEM study of virgin, hydrogen-, and oxygen-annealedSBT/Pt/TiO2/SiO2/Si heterostructures, in an attempt to betterunderstand the mechanisms of the hydrogen-induced degrada-tion in the electrical properties of SBT capacitors and the oxygenrecovery-annealed SBT ones. Their TEM results showed thatthe hydrogen-induced Bi-depleted layer can extend up to about30 nm underneath the free surface of the SBT film, and thatthere is accumulation of Bi at the grain boundaries of pristine,hydrogen, and oxygen recovery-annealed SBT layers. The diffu-sion of Bi is largely controlled by grain boundaries both in thehydrogen-annealed and the oxygen recovery-annealed SBTcapacitors. The good electrical properties of the oxygen recov-ery-annealed SBT capacitors, following hydrogen annealing,strongly suggest that the whole SBT layer has recovered as anappropriate layered-perovskite structure. However, the SBTlayer may be Bi deficient, because no Bi was introduced fromoutside the system during the oxygen recovery annealingprocess. This is the reason why the oxygen-annealed SBTcapacitors, previously reduced by hydrogen annealing, did notrecover to the same polarization level of the virgin capacitors.However, it is still unclear whether the hydrogen-inducedpolarization degradation is only due to the Bi loss from thegrains and the near-surface region of the SBT layer, or whetherthere is a contribution to the degradation from hydrogen in-corporation in the lattice, as reported previously in the case ofhydrogen-induced polarization degradation in PZT films.14

Bursill et al.15 also characterized and compared the interfacestructures and microstructure of PZT/Pt/Ti/SiO2/Si and PZT/RuO2/SiO2/Si thin films by conventional TEM and high-reso-lution TEM (HRTEM) techniques, with a view to understand-ing why improved fatigue characteristics were observed in thePZT films with RuO2 electrodes. They found that the RuO2/PZT interface consisted of a curved pseudoperiodic minimalsurface (required to minimize elastic energy). The interface waschemically sharp with virtually no intermixing of RuO2 andPZT, as evidenced by the HRTEM images as well as energy-dispersive X-ray (EDS) analysis. The PZT/Pt/Ti/SiO2/Si thinfilms exhibited good crystallization and showed sharp interfacesthroughout, whereas a nanocrystalline pyrochlore phasePb2ZrTiO7�x (xa1) was observed on the top surface of thePZT layer. Unfortunately, they did not examine the interfacialstructures between the film and the top electrode as required forcapacitors and ferroelectric switching although the PZT/topelectrode interface is probably most critical for the degradationproperties of ferroelectric capacitors. It is interesting to noticethat for this pair of films, the Pt/PZT shows much better crys-tallization than the RuO2/PZT; only the latter shows a pyroch-lore phase. However, the RuO2/PZT exhibited much betterfatigue characteristics. It remains unclear how their results canbe interpreted with respect to the degradation properties of fer-roelectric capacitors. Therefore, it is necessary to examine filmsbefore and after fatigue experiments to search for nanostructur-al changes that may be expected to occur if oxygen diffusion andtrapping play a significant role in degradation. However, todate, there have been no definitive studies on this subject.

Using TEM and secondary ion mass spectroscopy (SIMS),Niwa et al.16 examined the interfacial structures between the PZTfilms and Pt (top and bottom) electrodes, to better understandtheir impact on the degradation of the ferroelectric properties ofPZT memory devices (with Pt electrodes) postannealed in a hy-drogen atmosphere during LSI processes. The hydrogen distribu-tion obtained by SIMS and nanometer-level metallic atomdistributions at a Pt/PZT/Pt capacitor interface (before and afterhydrogen heat treatment) determined by TEM and EDS clearlyverified that annealing a Pt/PZT/Pt capacitor in a hydrogen at-mosphere could result in Pb diffusion into the Pt electrode fromthe PZT, and Pt diffusion into PZT from the electrode. Such aninterdiffusion is not the conventional thermal interdiffusion, butappears rather to be generated by the reaction with hydrogenequally on both the top and the bottom Pt electrodes. A hydrogentreatment could enhance the Pb diffusion into Pt at the PZT/Ptinterface. In addition, when H2-treated samples were annealed inoxygen, the ferroelectric properties such as nonvolatile polariza-tion (value equal to double that of spontaneous polarization)could almost recover to their previous state, before hydrogen an-nealing. However, the diffused atoms Pb and Pt do not return totheir original positions; therefore, the interface is not restored to itsoriginal structure. These findings are helpful to understand thedetails of degradation mechanisms such as how the effects ofhydrogen reduction combine with Pt catalysis to form oxygenvacancies at the interfaces between PZT and the Pt (both top andbottom) electrodes.

In this work, we report on the interfacial structures of Pt/SBT/Pt thin-film capacitors prepared by metallo-organic de-composition, which are examined by both conventional TEMand HRTEM techniques as a function of the postannealed timeat 7501C, to investigate the evolution of the interfacial structuresdeveloped in the Pt/SBT/Pt capacitors.

II. Experimental Procedure

SBT films were prepared by the metallo-organic decompositionmethod with a spin-coating technique. The precursor solutionsused were a carboxylate solution (0.1 mol/L) with 10 mol% ex-cess Bi composition, which were spin coated onto Pt-coveredoxidized Si(100) substrates. SBT films with a thickness of 50–100nm were obtained by repetition of the deposition/thermal

980 Journal of the American Ceramic Society—Zhu et al. Vol. 91, No. 3

Page 3: Transmission Electron Microscopy Observations on the Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin-Film Capacitors Prepared by Metallo-Organic Decomposition

treatment process. The spin rate was 3000 rpm and the bakingtemperature was 4001C. A final annealing was conducted at7501C in flowing oxygen for 60 min to crystallize the amorphousSBT films, and then the Pt-top electrodes with a diameter of 200mm were sputtered onto the surface of the SBT film with ashadow mask at room temperature. The Pt/SBT/Pt capacitorswere postannealed in a tube furnace at 7501C in flowing oxygenfor different times, to investigate the evolution of the interfacialstructures developed in the Pt/SBT/Pt capacitors. In this work,three samples were selected, which are sample A (Pt/SBT/Pt ca-pacitors postannealed at 7501C for 300 s), sample B (Pt/SBT/Ptcapacitors postannealed for 20 min), and sample C (Pt/SBT/Ptcapacitors postannealed for 60 min). All the times stated refer tokept times during which the sample was held at 7501C in aflowing oxygen atmosphere.

Interfacial structures of the Pt/SBT/Pt capacitors were exam-ined by cross-sectional TEM specimens, which were prepared by astandard technique. Two slices were first cleaved from the Pt/SBT/Pt capacitor and glued together face-to-face joining the film-cov-ered surface. After the glue cured, disks with a diameter of 3 mmwere obtained by cutting away the redundant epoxy. These diskswere then ground, polished, dimpled, and then followed by Ar ionmilling in a Gatan dual ion milling (Model 600, Gatan Inc., Pleas-anton, CA) at 5 keV with an incident angle from 151 to 121. Afterperforation, the samples were further milled with 3 kV ions withan incidence angle of 101 to remove the surface contamination.

The thin specimens were examined using a field-emission TEM(FEI Tecnai F20 operated at 200 kV, FEI Company, Hillsboro,OR), and all the high-resolution and conventional TEM imageswere recorded by a Gatan multiscan charge-coupled device cam-era system (Model 794, Gatan Inc.). The fast Fourier transform(FFT) pattern and the corresponding Fourier-filtered HRTEMimages were obtained using the Gatan Digital Micrography soft-ware (revised version 2.0, Gatan Inc.).

III. Results and Discussion

Throughout this article, the crystallographic indexing is basedon the following nominal unit cell parameters: aSBT 5 0.55306nm, bSBT 5 0.55344 nm, cSBT 5 2.4984 nm (JCPDS card no. 81-557); aPt5 0.391 nm (JCPDS no. 1-1190); and for PtBi2 with acubic lattice structure, a5 0.6691 nm (JCPDS no. 26-221).

Smooth morphologies of interfaces in the Pt/SBT/Pt capac-itors are very important to achieve good leakage property forSBT thin films, which is required for low operation voltage ofcapacitors. In this work, the morphologies of both the SBT/Pt-bottom electrode and the SBT/Pt-top electrode interfaces wereexamined by cross-sectional TEM images of the Pt/SBT/Pt ca-pacitors postannealed at different times. Figure 1 shows over-view cross-sectional TEM images of the interfaces in the Pt/SBT/Pt capacitors postannealed at 7501C for (a) 300 s (sample

Fig. 1. Overview cross-sectional transmission electron microscopic images of the interfaces observed in the Pt/SBT/Pt capacitors postannealed at 7501Cfor (a) 300 s (sample A), (b) 20 min (sample B), and (c and d) 60 min (sample C). (c) and (d) show the SBT/Pt-bottom and the SBT/Pt-top electrodeinterfaces in the sample C, respectively.

March 2008 Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin Film Capacitors 981

Page 4: Transmission Electron Microscopy Observations on the Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin-Film Capacitors Prepared by Metallo-Organic Decomposition

A), (b) 20 min (sample B), and (c and d) 60 min (sample C) in anoxygen atmosphere. In Fig. 1(a), from the bottom to the top, itcan be seen the crystalline Si substrate/amorphous SiO2/colum-nar crystallites of the Pt-bottom electrode/crystallized the SBTfilm/Pt-top electrode. Between the Pt-bottom electrode and theSBT film, a thin amorphous layer (thickness in the range of 4.5–12.0 nm) with a bright TEM contrast was observed, and also arough SBT/Pt-top electrode interface was observed in this sam-ple, at which the SBT film contacted directly with the Pt-topelectrode in some positions, and in other places an amorphouslayer with a thickness of B7.0 nm was observed. Details will beshown below by HRTEM images. Similarly, in Fig. 1(b), a stackof Si/SiO2/Pt/SBT/Pt-top electrode heterostructures was ob-served in sample B. However, it was noticed that the interfac-es of both the SBT/Pt-bottom and the SBT/Pt-top electrodeschanged on increasing the postannealed time, which becamequite smooth and uniform, and almost free of the interfacialphase. This indicated that the SBT film contacted well with thePt-bottom and Pt-top electrodes. In sample C with a longerpostannealing time, an interfacial phase consisting of nanocrys-tallites with an elliptical morphology (length size in the range of15–35 nm and width in the range of 10–16 nm) was clearly ob-served at the SBT/Pt-bottom electrode interface, as shown inFig. 1(c). A similar case was also observed at the SBT/Pt-topelectrode interface, as shown in Fig. 1(d), but the sizes of theelliptical nanocrystallites were smaller (length size in the range of8–14 nm and width in the range of 5–7 nm). It should be noticedthat the two TEM images (Figs. 1(c) and (d)) taken from differ-ent positions in the TEM specimen of the sample C are shownhere, to demonstrate clearly the two kinds of interfaces betweenthe SBT film and Pt (top and bottom) electrodes, respectively.The interfacial nanocrystallites growing with an elliptical mor-phology are probably due to their different growth rates in the

parallel and vertical directions of the interfaces between the SBTfilm and Pt electrodes. Based on the above cross-sectional TEMimages, it can be seen that the postannealing time had a signifi-cant influence on the morphology of the interfaces between theSBT film and Pt electrodes in the Pt/SBT/Pt capacitor.

To reveal interfacial structures in the Pt/SBT/Pt capacitors asa function of the postannealing time at atomic scale, HRTEMinvestigations of the samples A, B, and C were carried out. TheHRTEM images of the SBT/Pt-bottom and SBT/Pt-top elec-trode interfaces in sample A are shown in Fig. 2. Two kinds ofSBT/Pt-bottom interfacial structures were observed, as shown inFigs. 2(a) and (b), respectively. In Fig. 2(a), the SBT film con-tacted with PtBi2 (discussed below) through an amorphous layerwith a thickness of about 5 nm, whereas in Fig. 2(b), the SBTfilm contacted with Pt and PtBi2 through an amorphous layerwith a thickness of about 4 nm. The coexistence of Pt and PtBi2was observed, and the interface between them was considerablycurved, as indicated in Fig. 2(b). The two-dimensional (2D) lat-tice fringes of the HRTEM image (in the Pt-bottom electrodeside) shown in Fig. 2(a) were examined to be 0.32 nm, close tothe {200} lattice spacing (0.33 nm) of the cubic PtBi2. The insetin Fig. 2(a) shows an FFT pattern of the selected area markedby a box in Fig. 2(a). Obviously, this FFT pattern can be wellindexed according to the cubic PtBi2 structure, indicating theHRTEM image taken along the [001] zone axis of PtBi2. TheHRTEM image of the interfacial structure of the SBT/Pt-topelectrode is shown in Fig. 2(c); an amorphous layer with athickness of 7.5 nm can be observed at the interface. The latticefringes of the HRTEM image shown in the Pt-top electrode sidewere measured to be 0.27 nm, in good agreement with the spac-ing value (0.273 nm) of (211) planes in cubic PtBi2. This meansthat the Pt-top electrode reacts with bismuth, forming a Pt–Bialloy (PtBi2) interfacial phase at the interface between the SBT

Fig. 2. (a and b) high-resolution transmission electron microscopy (HRTEM) images of two kinds of the SBT/Pt-bottom electrode interfaces, and (c)HRTEM image of the SBT/Pt-top electrode interface observed in the sample A. Inset in (c) is a low-magnification cross-sectional TEM image of thestack of Si/SiO2/Pt/SBT/Pt heterostructure.

982 Journal of the American Ceramic Society—Zhu et al. Vol. 91, No. 3

Page 5: Transmission Electron Microscopy Observations on the Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin-Film Capacitors Prepared by Metallo-Organic Decomposition

film and the Pt-top electrode. The inset in Fig. 2(c) shows anoverview cross-sectional TEM image of the stack of a Si/SiO2/Pt/SBT/Pt heterostructure, in which an amorphous layer with abright TEM contrast was observed at both the SBT/Pt-bottomelectrode and the SBT/Pt-top electrode interfaces.

The HRTEM images of the SBT/Pt-bottom electrode inter-face in the sample B are shown in Fig. 3. As can be observed inFig. 3(a), the PtBi2 phase contacted directly with the SBT film,the interface between them was sharp, with no evidence of an-other phase separating the PtBi2 and SBT layers. To clearlydemonstrate the 2D lattice fringes observed in the Pt-bottomside, a Fourier filtered image and the corresponding FFT pat-tern (see insets) of the local area marked by a box in Fig. 3(a)were obtained by Gatan Digital Micrography software. In theFourier filtered image, the lattice fringes along the [100] and[010] directions could be clearly observed. The FFT pattern alsoindicated the HRTEM image obtained from the [001] direction.In Fig. 3(b), it can be seen that the SBT film contacts with the Pt-bottom electrode through a very thin (B1.6 nm) amorphouslayer. In addition, within the layer of the Pt-bottom electrode, asmall volume of PtBi2 phase exists, as indicated by the dottedline. The FFT pattern shown as an inset at the top-right cornerof Fig. 3(b) was obtained from the area marked by a box labeledwith letter A, which covers the two parts of Pt and PtBi2. In thisFFT (diffraction) pattern, it can be clearly observed that thediffraction spots from Pt and PtBi2 are split along the [111] di-rection of Pt (or the [110] direction of PtBi2), as marked by acircle in the inset. This is due to the different values of interpla-nar distances for the Pt (111) plane and the PtBi2 (220) plane (inthis case, the interplanar distance for the Pt (111) plane is 0.2265nm, and that for the PtBi2 (220) plane is 0.2365 nm). The ob-served diffraction spot splitting from Pt and PtBi2 indicates anepitaxial growth relationship between them in the [111] directionof Pt (or the [110] direction of PtBi2), which can be described as[111]Pt//[110]PtBi2. It can also be noticed that in the Fouriertransformed image (shown as an inset marked by letter A), anedge dislocation was observed at the place marked by a rectan-gular box, where the different interplanar numbers indicated attwo sides of the box are guides to eyes for finding the edge dis-location. In comparison with the FFT pattern shown at the top-right corner in Fig. 3(b), the one shown at the bottom-left cornerin Fig. 3(b) is obtained from the area only covering the PtBi2(without Pt part). Obviously, this FFT pattern can be well in-dexed according to the cubic PtBi2 structure, which is only con-tributed from PtBi2, and no splitting of diffraction spots is

observed. The corresponding Fourier filtered image also re-vealed undistorted lattice fringes along the [100] and [010]directions. To the authors’ knowledge, the above detailedexperimental TEM results have never been reported previouslyin the SBT capacitors postannealed at 7501C for different times.

In summary, from the above cross-sectional TEM andHRTEM images, it can be seen that very smooth SBT/Pt-bottom and SBT/Pt-top electrode interfaces were obtained inthe Pt/SBT/Pt/capacitors postannealed at 7501C for 20 min inan oxygen atmosphere, and the interfacial qualities between theSBT film and Pt (top and bottom) electrodes were considerablyimproved. This is beneficial to enhance the adhesion between theSBT film and the Pt (top and bottom) electrodes, avoiding theshort fail of the Pt/SBT/Pt/capacitors during the electrical prop-erty measurements. However, after a longer postannealing time(e.g., 60 min), the interfacial qualities between the SBT film andthe Pt (top and bottom) electrodes in the sample C deteriorateddue to the appearance of interfacial phases, which consisted ofmany oval-shaped nanocrystallites (seen in Figs. 1(c) and (d)).The Pt electrode peeling phenomenon, similar to that observedin the Pt/SBT/Pt/capacitors annealed in the forming gas atmo-sphere,12 is also frequently observed in sample C during thefabrication of cross-sectional TEM samples. The HRTEM im-ages of the interfaces between the nanocrystallites and the SBTfilm viewed from both the Pt-bottom and the Pt-top electrodesides are shown in Figs. 4(a) and (b). The two HRTEM imagesdemonstrate that the PtBi2 crystallites contact with the SBT film.An HRTEM image of a small nanocrystallite with a size ofabout 12 nm at the interface between the SBT film and the Pt-bottom electrode is shown in Fig. 4(c), and the inset shows anoverview TEM image of the small crystallite located at the SBT/Pt-bottom electrode interface. 2D lattice fringes could be clearlyobserved in the HRTEM of this small nanocrystallite, indicatingits good crystallinity. The distance values between the latticefringes observed in the HRTEM image were measured to be 0.32nm, in good agreement with the {200} lattice spacing (0.33 nm)of the cubic PtBi2. The Fourier filtered image and the corre-sponding FFT pattern (see inset) of the small nanocrystallite areshown in Fig. 4(d), which clearly reveals the lattice fringes alongthe [100] and [010] directions, and again, the FFT pattern can beindexed according to the cubic structure of PtBi2, indicating thenanocrystallite oriented along the [001] direction. The 2D latticefringes shown in Fig. 4(c) and the corresponding FFT patternclearly confirmed that such a small crystallite was of a PtBi2phase with a cubic structure, rather than the Bi4Ti3O12 or the

Fig. 3. High-resolution transmission electronmicroscopic images of two kinds of the SBT/Pt-bottom electrode interfaces in the sample B. (a) The PtBi2/SBT interface and (b) the interface between the SBT film and Pt-bottom electrode, and PtBi2 part. Insets in (a) are the Fourier filtered image and thecorresponding fast Fourier transform (FFT) pattern of the local area marked by a box in (a). Insets at the top-right corner of (b) are the Fourier filteredimage and the corresponding FFT pattern obtained from the local area covering the Pt and PtBi2 two parts, whereas insets at the bottom-left corner of(b) are the FFT pattern and the Fourier filtered image obtained from the local area covering only the PtBi2 part.

March 2008 Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin Film Capacitors 983

Page 6: Transmission Electron Microscopy Observations on the Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin-Film Capacitors Prepared by Metallo-Organic Decomposition

Bi2Ti4O12 interfacial phase, as reported by some authors.8,10,11

Bi4Ti3O12 has a pseudo-tetragonal structure with lattice para-meters of a5 0.5448 nm, b5 0.5411 nm, and c5 3.283 nm(JCPDS card no. 72-1019), and so the (200) and (020) interpla-nar distances in the 2D lattice image in the (001) plane should be0.27 nm. This is not in agreement with the values measured fromthe HRTEM image of a small crystallite shown above. Thepresent TEM and HRTEM results demonstrate that the inter-facial phase developed in the Pt/SBT/Pt capacitors postannealedat 7501C for different times is mainly PtBi2. This is in agreementwith the results reported by Calzada et al.11 Their results showedthat the interfacial phase between the SBT film (B150 nm inthickness) and the Pt (50 nm)/TiO2 (50 nm)/SiO2/Si(100) sub-strate was a PtBi2 with a thickness of about 10 nm. SmoothSBT/Pt-bottom and SBT/Pt-top electrode interfaces could beobtained in the Pt/SBT/Pt capacitors postannealed at 7501C for20 min, which are beneficial for achieving good leakage propertyand preventing the short fail of Pt/SBT/Pt capacitors. The maininterfacial phase of Bi4Ti3O12 reported by Li et al.10 was notobserved in this work, the reason for which remains unclear. Theabsence of an adhesion layer of TiO2 in the present substratesmay be one factor. The formation of a Bi4Ti3O12 phase at theSBT/Pt-bottom interface may require the source of the titaniumelement, which requires the diffusion of the titanium elementthrough the Pt-bottom electrode layer (B50 nm in thickness).Therefore, the interfacial phase of Bi4Ti3O12 is not easy to beformed at the SBT/Pt-bottom electrode interface in the stackedstructure of SBT/Pt/TiO2/SiO2/Si(100), as demonstrated by Ca-lzada et al.11 However, in the case of Ti (10nm)/Pt (50nm)/Ti(50nm)/SiO2/Si(100) substrates, the formation of an interfacialBi4Ti3O12 phase between the SBT film and the Pt-bottom elec-trode could be easily understood because the top Ti layer on thePt-bottom electrode contacts directly with the SBT films andprovides the titanium source (without the need for Ti diffusion

across the Pt-bottom electrode layer), to form a Bi4Ti3O12

phase.11

The interface structures formed between the SBT film and thePt-bottom electrode during thermal treatment of crystallizationhave been investigated mainly based on the XRD data and/orthe RBS ones. The diffraction peak appearing at 2y�301 isdifferently assigned to the (107) plane of the SBT film,17 a Pt–Bicompound (PtBi2),

18,19 a bismuth tantalite (BiTaO4),20 or

Bi4Ti3O12.8,10 It is reported that the BiTaO4 has been found in

SBT films with large Sr deficiencies (over 30 mol%), whereas theBi4Ti3O12 appears in the films deposited on silicon substrateswith Ti layers such as Pt/Ti/SiO2/Si(100) or Ti/Pt/Ti/SiO2/Si(100).8,21 Therefore, the formation of Bi4Ti3O12 is not possi-ble in the case of Pt/TiO2/SiO2/Si(100) used for the deposition ofSBT films. However, contradictory results were reported by Liet al.; their results showed that in the XRD pattern of the SBTfilms deposited on Pt/TiO2/SiO2/Si(100) substrates with 30–50mol% excess Bi, besides the layered SBT phase, strong diffrac-tion peaks from the secondary phases such as PtBi2 or Bi2O3

were also observed.10,22 This implied that the interface diffusionbetween the film and the Pt-bottom electrode increased with theamount of excess Bi, which began to appear as bismuth oxide.When excess Bi content was increased up to 70 mol%, aBi4Ti3O12 phase along with Bi2O3 appeared instead of PtBi2.However, so far, no definitive conclusions have been made onthis subject due to the lack of detailed TEM and HRTEMworks, which could clearly determine the phase structure of suchan interface by selected area diffraction pattern and HRTEMimages of the interface at atomic scale. In this work, SBT filmswere prepared by precursor solutions with a 10 mol% excessBi composition on Pt/SiO2/Si(100) substrates, and so the for-mation of BiTaO4 and Bi4Ti3O12 at the interface between theSBT film and Pt-bottom electrode is not possible due to anSBT film with Sr deficiencies much smaller than 30 mol% and asubstrate without the presence of titanium. The interface shouldbe a Pt–Bi-based compound formed by the reaction of Bi (mi-grated from the SBT film) and the Pt-bottom electrode. Shim-akawa and Kubo23,24 reported that the Bi–Pt alloy could rapidlytake up oxygen in an oxidizing atmosphere. The Bi–Pt oxidewith a composition of PtBi2O4.3 can be formed at a low tem-perature of B5501C, whereas at temperatures over 7001C, theBi–Pt oxide releases oxygen and changes to Pt metal. In thiscase, the excess Bi in the SrBi2.2Ta2O9 films can migrate towardthe Pt-bottom electrode, and react with Pt to form the Pi–Bi-based interface. Kim et al.25 also reported that the Pt–Bi alloy(PtBi2) phase began to be formed at 6001C at the interface be-tween the SBT film and the Pt-top electrode, and became dom-inant at 7001C due to the interaction of Bi and Pt atoms duringpostannealing of the Pt/SBT/Pt/CeO2/Si structure at 600–8001Cfor 30 min in an oxygen atmosphere. The formation of the maininterfacial phase of the Bi4Ti3O12 reported by Li and col-leagues10,22 (in the case of the SBT films deposited on Pt/TiO2/SiO2/Si(100) substrates, and in contrast to that reportedby Seong et al.8 and Gonzalez21) remains to be further con-firmed by TEM and HRTEM examinations. This is a subject forthe near future.

IV. Conclusions

Interfacial structures of the Pt/SBT/Pt capacitors prepared bymetallo-organic decomposition are characterized by electronmicroscopy as a function of the postannealing time at 7501C.The results show that the postannealing time has a significanteffect on the morphologies of the SBT/Pt-bottom and the SBT/Pt-top electrode interfaces in the Pt/SBT/Pt capacitors. Afterpostannealing at 7501C for a short time (e.g., 300 s), a thinamorphous layer with a bright TEM contrast was observed atboth the SBT/Pt-bottom and the SBT/Pt-top electrode interfac-es. However, in the Pt/SBT/Pt capacitors postannealed at 7501Cfor 20 min, the SBT/Pt-bottom and the SBT/Pt-top electrodeinterfaces became quite smooth and uniform, and almost free of

Fig. 4. High-resolution transmission electron microscopy (HRTEM)images of the interfaces between the SBT film and the precipitatednanocrystallites viewed from (a) the Pt-bottom side and (b) the Pt-topelectrode side, respectively. (c) An HRTEM image of a small nanocrys-tallite with size ofB12 nm at the interface between the SBT film and thePt-bottom electrode, two-dimensional lattice fringes are clearly ob-served. Inset in (c) is an overview TEM image of the small nanocrystal-lite located at the SBT/Pt-bottom electrode interface. (d) The Fourierfiltered image and the corresponding FFT pattern (see inset) of theHRTEM image from the small nanocrystallite shown in (c). The fastFourier transform pattern can be well indexed according to the cubicstructure of PtBi2, indicating the nanocrystallite oriented along the [001]direction.

984 Journal of the American Ceramic Society—Zhu et al. Vol. 91, No. 3

Page 7: Transmission Electron Microscopy Observations on the Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin-Film Capacitors Prepared by Metallo-Organic Decomposition

the interfacial phase. This helps in avoiding the short fail of thePt/SBT/Pt/capacitors during the electrical property measure-ments. Longer time postannealing of the Pt/SBT/Pt capacitors(e.g., at 7501C for 60 min) could lead to the formation of aninterfacial phase consisting of oval-shaped small nanocrystallitesat the SBT/Pt-bottom and the SBT/Pt-top electrode interfaces,resulting in weakly bonded interfaces between the SBT thin filmsand Pt electrodes. From the 2D lattice fringes of the HRTEM ofthe small nanocrystallites and the corresponding FFT patterns,it can be determined that the oval-shaped nanocrystallites pre-cipitated at both the SBT/Pt-bottom and the SBT/Pt-top elec-trode interfaces are of a PtBi2 phase with a cubic structure,rather than the Bi4Ti3O12 or the Bi2Ti4O12 interfacial phase. Theformation of the Pt–Bi-based interface can be ascribed to thereaction of Bi (migrated from the SBT films) with Pt electrodes.The elliptical morphology of such interfacial PtBi2 nanocrystal-lites was due to their different growth rates in the directionsparallel and vertical to the interface.

References

1J. F. Scott and C. A. Paz de Araujo, ‘‘Ferroelectric Memories,’’ Science, 246,1400–5 (1989).

2H. M. Duiker, P. D. Beale, J. F. Scott, C. A. Araujo, B. M. Melnick, J. D.Cuchiaro, and L. D. McMillan, ‘‘Fatigue and Switching in Ferroelectric Memo-ries: Theory and Experiment,’’ J. Appl. Phys., 68, 5783–91 (1990).

3N. Setter, D. Damjanovic, L. Eng, G. Fox, S. Gevorgian, S. Hong, A. Kington,H. Kohlstedt, N. Y. Park, G. B. Stenenson, I. Stolitchnov, A. K. Taganstev, D. V.Taylor, T. Yamada, and S. Streiffer, ‘‘Ferroelectric Thin Films: Review of Mate-rials, Properties, and Applications,’’ J. Appl. Phys., 100, 051606 (2006).

4H. N. Al-Shareef, D. Dimos, T. J. Boyle, W. L. Warren, and B. A. Tuttle,‘‘Qualitative Model for the Fatigue-Free Behavior of SrBi2Ta2O9,’’ Appl. Phys.Lett., 68 [5] 690–2 (1996).

5C. A. Paz de Araujo, J. D. Cuchairo, L. D. McMillan, M. C. Scott, and J. F.Scott, ‘‘Fatigue-Free Ferroelectric Capacitors with Platinum Electrodes,’’ Nature,374, 627–9 (1995).

6P. Y. Chu, R. E. Jones, P. Zurcher, D. J. Taylor, B. Jiang, S. J. Gillespie, Y. T.Li, M. Kottke, P. Fejes, and W. Chen, ‘‘Characteristics of Spin-On FerroelectricSrBi2Ta2O9 Thin Film Capacitors for Ferroelectric Random Access Memory Ap-plications,’’ J. Mater. Res., 11 [5] 1065–8 (1996).

7J. F. Scott, Ferroelectric Memories. Springer, Berlin, 2000.8N. J. Seong, C. H. Yang, W. C. Shin, and S. G. Yoon, ‘‘Oxide Interfacial

Phases and the Electrical Properties of SrBi2Ta2O9 Thin Films Prepared by Plas-ma-Enhanced Metalorganic Chemical Vapor Deposition,’’ Appl. Phys. Lett., 72[11] 1374–6 (1998).

9R. R. Das, P. Bhattacharya, and R. S. Katiyar, ‘‘Leakage Current Behavior ofSrBi2Ta2O9 Ferroelectric Thin Films on Different Bottom Electrodes,’’ J. Appl.Phys., 92 [10] 6160–4 (2002).

10A. D. Li, D. Wu, H. Q. Ling, T. Yu, Z. G. Liu, and N. B. Ming, ‘‘Role ofInterfacial Diffusion in SrBi2Ta2O9 Thin Film Capacitors,’’ Microelectron. Eng.,66, 654–61 (2003).

11M. L. Calzada, R. Jimenez, A. Gonzalez, J. Garcia-Lopez, D. Leinen, and E.Rodriguez-Castellon, ‘‘Interfacial Phases and Electrical Characteristics of Ferreo-electric Strontium Bismuth Tantalate Films on Pt/TiO2 and Ti/Pt/Ti Heterostruc-ture Electrodes,’’ Chem. Mater., 17, 1441–9 (2005).

12S. Zafar, V. Kaushik, P. Laberge, P. Chu, R. E. Jones, R. L. Hance, P. Zurc-her, B. E. White, D. Taylor, B. Melnick, and S. Gillespie, ‘‘Investigation of Hy-drogen Induced Changes in SrBi2Ta2O9 Ferroelectric Films,’’ J. Appl. Phys., 82 [9]4469–74 (1997).

13N. Poonawala, V. P. Dravid, O. Auciello, J. Im, and A. R. Krauss, ‘‘Trans-mission Electron Microscopy Study of Hydrogen-Induced Degradation in Stron-tium Bismuth Tantalate Thin Films,’’ J. Appl. Phys., 87 [5] 2227–31 (2000).

14S. Aggarwal, S. R. Perusse, C. W. Tipton, R. Ramesh, H. D. Drew, T. Ven-katesan, D. B. Romero, V. B. Podobedov, and A. Weber, ‘‘Effect of Hydrogen onPb(Zr,Ti)O3-Based Ferroelectric Capacitors,’’ Appl. Phys. Lett., 73 [14] 1973–5(1998).

15L. A. Bursill, I. M. Reaney, D. P. Vijay, and S. B. Desu, ‘‘Comparison of LeadZirconate Titanate Thin Films on Ruthenium Oxide and Platinum Electrodes,’’ J.Appl. Phys., 75 [3] 1521–5 (1994).

16K. Niwa, Y. Kotaka, M. Tomotani, H. Ashida, Y. Goto, and S. Otani, ‘‘In-terface Between Electrode and PZT Memory Device,’’ Acta. Mater., 48, 4755–62(2000).

17T. K. Song, J. Lee, and H. J. Jung, ‘‘Structural and Ferroelectric Properties ofthe c-Axis Oriented SrBi2Ta2O9 Thin Films Deposited by the Radio-FrequencyMagnetron Sputtering,’’ Appl. Phys. Lett., 69, 3839–41 (1996).

18T. Atsuki, N. Soyama, T. Yonezawa, T. Yonezawa, and K. Ogi, ‘‘Preparationof Bi-Based Ferroelectric Thin Films by Sol–Gel Method,’’ Jpn. J. Appl. Phys., 34,5096–9 (1995).

19R. Bartz, F. Amrhein, Y. W. Shin, and S. K. Dey, ‘‘Processing and Effects ofAnnealing in Sol–Gel Derived SrBi2Ta2O9 Thin Films,’’ Integr. Ferroelectr., 22 [1 –4] 65–74 (1998).

20T. Noguchi, T. Hase, and Y. Miyasaka, ‘‘Analysis of the Dependence ofFerroelectric Properties of Strontium Bismuth Tantalate (SBT) Thin Films on theCompos and Process Temperature,’’ Jpn. J. Appl. Phys., 35, 4900–4 (1996).

21A. Gonzalez, ‘‘Laminas delgadas y ultradelgadas de tantalato de estroncio ybismuto obtenidas a partir de derivados de glicolato de tantalo para su uso enmemorias ferroelectricas no volatiles,’’ Ph.D. Thesis, University Autonoma deMadrid, Spain, November 2002.

22A. D. Li, D.Wu, H. Q. Ling, T. Yu, M.Wang, X. B. Yin, Z. G. Liu, and N. B.Ming, ‘‘Effect of Excess Bismuth on the Microstructures and Electrical Propertiesof Strontium Bismuth Tantalate (SBT) Thin Films,’’ Thin Solid Films, 375, 215–9(2000).

23Y. Shimakawa and Y. Kubo, ‘‘Crystal Structure, Ferroelectric Properties, andChemical Reactions of SrBi2Ta2O9: Sold State Chemistry of SBT Materials forFeRAMs,’’ Mater. Res. Soc. Symp. Proc., 596, 131–42 (2000).

24Y. Shimakawa and Y. Kubo, ‘‘Degradation of Ferroelectric SrBi2Ta2O9 Ma-terials Under Reducing Conditions and Their Reaction with Pt Electrodes,’’ Appl.Phys. Lett., 75 [1 8 ] 2839–41 (1999).

25Y. T. Kim, D. S. Shin, Y. K. Park, and I. H. Choi, ‘‘Effects of Bi–Pt Alloy onElectrical Characteristics of Pt/SrBi2Ta2O9/CeO2/Si Ferroelectric Gate Structure,’’J. Appl. Phys., 86 [6] 3387–90 (1999). &

March 2008 Interfacial Structures of the Pt/SrBi2Ta2O9/Pt Thin Film Capacitors 985