trends in wafer cleaning - infohouseinfohouse.p2ric.org/ref/27/26190.pdf · trends in wafer...

4
Trends in Wafer Cleaning - Enectiue and well accepted, the RCA wet clean still dominates - but for how long? ~ - Peter H. Singer, Senior Editor To evaluate the surprisingly large num- ber of options available today in wafer cleaning, there are four immediate questions to consider: 0 What types of contaminants are pre- sent on the wafer surface? How effective are available cleaning processes in removing each type of con- taminant? 0 Does the cleaning step need to be in- tegrated with a cluster tool? 0 What will be the cost-of-ownership of the equipment? The answer to the first question is simple: common types of contaminants include particles, organics and metal- lics. And, while not quite a contami- nant, native oxide films are usually pre- sent on the silicon wafer surface and play a key role during the cleaning proc- ess: they often act as a contaminant trap, and can immediately regrow upon exposure to air. The remaining questions are more difficult, since there are hundreds of dif- ferent cleaning technologies and tools available today, all with different clean- ing efficiencies and costs-of-ownership. Those based on wet chemistries - most notably, the RCA clean - are still by far the most prevalent. They work quite well in removing common contaminants and, having been used for more than 25 years, are fairly well understood and well accepted. The main concerns with wet proc- esses are that they cannot be easily in- tegrated with a cluster tool, and their cost-of-ownership is often high due to,

Upload: nguyennga

Post on 20-Jul-2018

223 views

Category:

Documents


1 download

TRANSCRIPT

k&F- SEMICONDUCTOR INTERNATIONAL * -

ZL/ Bo -3 PDF

Trends in Wafer Cleaning -

Enectiue and well accepted, the RCA wet clean still dominates - but for how long?

~ - Peter H. Singer, Senior Editor

To evaluate the surprisingly large num- ber of options available today in wafer cleaning, there are four immediate questions to consider: 0 What types of contaminants are pre- sent on the wafer surface?

How effective are available cleaning processes in removing each type of con- taminant? 0 Does the cleaning step need to be in- tegrated with a cluster tool? 0 What will be the cost-of-ownership of the equipment?

The answer to the first question is simple: common types of contaminants include particles, organics and metal- lics. And, while not quite a contami- nant, native oxide films are usually pre- sent on the silicon wafer surface and play a key role during the cleaning proc- ess: they often act as a contaminant trap, and can immediately regrow upon exposure to air.

The remaining questions are more difficult, since there are hundreds of dif- ferent cleaning technologies and tools

available today, all with different clean- ing efficiencies and costs-of-ownership. Those based on wet chemistries - most notably, the RCA clean - are still by far the most prevalent. They work quite well in removing common contaminants and, having been used for more than 25 years, are fairly well understood and well accepted.

The main concerns with wet proc- esses are that they cannot be easily in- tegrated with a cluster tool, and their cost-of-ownership is often high due to,

among other things, the escalating costs of used chemical disposal. Although largely unproven, there are also con- cerns that it will be difficult to get liq- uids in and, more importantly, out of increasingly finer geometries.

For these reasons, there is a strong interest in new vapor phase and even newer completely dry cleaning tech- niques. Such approaches use signifi- cantly less (or no) chemicals, can be in- tegrated with a cluster tool and offer single wafer processing capabilities. However, while such processes excel at removing native oxides and organics, they offer almost no hope of particle removal, at least not without a wet rinse. And, while research continues, their ability to remove metallics as ef- fectively as wet chemistries remains in question.

“The industry is really taking a atrong look at wet wafer cleaning tech- nology and doing what it can to improve it,” says Allen Bowling of Texas Instru- ments. “But there are some real incen- tives to come up with a dry process that raduce~ the amount of chemical us- age. Also, as we go to 200 mm wafers, there will be a higher priority on single wafer processing and clusterability.”

Add all of this together and most in- dustry observers agree that you’ll be seeing wet chemical cleaning used well into the next century. It’s less cleai- how quickly the industry will move to more widely adopt vapor phase or dry clean- ing technologies: that will hinge on the ability of these (or other, as yet unde- veloped) technologies to compete with wet cleaning on performance and cost- of-ownership issues. Most likely, the ac- ceptance of new technologies will be on an application-by-application basis. Don Deal of FSI International (Chaska, Minn.) says that people are still not sat- isfied with wet contact, gate, emitter and epi precleans, or with polymer re- moval. “Vapor and dry cleaning are go- ing to make inroads there,” he said.

Already, vapor phase systems have found production uses for pre-silicide and pre-emitter cleans, where it’s criti- cal to control native oxide regrowth. Commercial vapor phase cleaning sys- tems are available from FSI Interna- tional and from Genus (Sunnyvale, Calif.), the latter having purchased the assets of Advantage Production in May

Completely dry technology is also progressing. Balzers (Hudson, N.H.) is

of this year.

promoting what it calls a plasma chemi- cal cleaning module, which uses a hy- drogen or argon downstream discharge to clean the wafer, aGd SubMicron Sys- tems (Allentown, Pa.) recently intro- duced the MESC-compatible SP200, which employs a UV-excited gas tech- nique. Such systems should not be con- fused with the “plasma clean” modules often found as an integral part of today’s cluster tools. These might be better re- ferred to as plasma “preconditioning” modules, in that they do little more than provide a quick surface etch to remove native oxides.

In the future, no matter what tech- nique is used - wet, vapor phase or dry - it’s probable that effective parti- cle removal will continue to be a major challenge. “0 address this issue, re- searchers are now looking at a variety

tion reactions. Also, by undercutting particles as shown in Fig. 2, SC1 does a good job of particle removal.

The second treatment step (SC2) ex- poses the rinsed wafer to a hot mixture of water-diluted hydrogen peroxide and hydrochloric acid. This procedure is de- signed to remove Al, Fe and Mg, as well as metallic contaminants that were not entirely removed by the first treat- ment, such as gold.

Naturally, much of the research into ways to enhance wet cleaning has fo- cused on ways to improve this basic RCA clean. While the most obvious goal of such research is to raise the contami- nation removal efficiencies of SC1 and SC2, the condition of the wafer surface at the end of the clean - specifically, surface microroughness and the type of chemical termination - have recently

of new techniques, including the use of lasers to blast particles off the surface, and a kind of “snow” made of frozen COz or argon gas particles to push parti- cles off the wafer. While these look promising, more work needs to be done before they can be commercialized.

Improvements in wet cleaning Continued improvements in the per- formance and cost-effectiveness of wet chemical cleaning will be enabled by new chemistries and new equipment de- signs. The most common type of wet cleaning is the RCA clean, a two step process typically performed in auto- mated wet benches, where cassettes of wafers are dunked in hydrogen perox- ide-based baths and rinses (Fig. 1).

In the first step (called standard clean-1 or SCl), wafers are exposed to a hot mixture of water-diluted hydrogen peroxide and ammonium hydroxide. This procedure is designed to remove organic surface films, and to expose the silicon or oxide surface to decontamina-

emerged as additional, equally impor- tant goals.

Surface microroughness is a problem that has come to light, in part, due to the development of atomic force micros- copy which enables 3-D, subnanometer roughness measurements. Due to con- cerns over thin gate oxide uniformity, a total roughness of less than 6 k is now being specified as a requirement before key process steps.

Surface termination is mainly a mat- ter of what atoms become bonded to the exposed silicon surface. Common surface terminations are oxygen - from either chemically grown or native oxides - or hydrogen from an “HF- last” step. A potential advantage of vac- uum-based dry cleaning processes is that you can precisely select a desired surface termination, such as pure hydrogen.

According to Werner Kern, who de- veloped the RCA cleaning process some 25 years ago while working at RCA, researchers have found two ways to re-

DECEMBER 1992 SEMICONDUCTOR INTERNATIONAIJ37

1. RCA set immersion cleans. implemented in automated wet benches such as this one, still dominate the rvafer cleaning world.

duce surface microroughness. “First, rather than using a ratio of 1:1:5 (1 part NH40H, 1 part H202, 5 parts water), reduce the concentration of the ammo- nium hydroxide to about 0.25,” he says. “There is a penalty in that particle re- moval efficiency is reduced, so you should not go too far. Second, it also helps to lower the bath temperature to 70”C, from the 75-80°C temperatures normally used.”

Kern, now a consultant in East Wind- sor, N.J., has put together a book, writ- ten by 19 authors in 13 chapters, titled: “Handbook of Semiconductor Wafer Cleaning Technology,” to be published early in 1993 by Noyce Publications. Kern and four of the authors are also holding short courses on wafer cleaning, the next being Feb. 23-24 in Austin, Texas. For more info., call or FAX Kern at (609)448-1272.

One common modification to the stan- dard RCA clean is a preliminary clean- up treatment with a hot H2S04 mixture (4:l volume) for grossly contaminated wafers (e.g., with visible photoresist residue). Another modification is to use

dilute HF between SC1 and SC2, but Kern says this should be done with cau- tion. “The disadvantage of the SC2 is that it doesn’t remove particles. If you remove particles in the first step with the SC1, and go in with HF in between, you have the tendency of recontami- nating the surface with particles. Now that high purity HF is available, how- ever, this is less of a problem.”

A dilute HF mixture is increasingly being used as a final step as well, often referred t o as “HF-last” processing. “That gives you a hydrogen passivated surface and it removes any metals that are still present,’’ Kern said.

Critics of the RCA clean - and there are many - say its drawbacks are evi- dent: the SC1 solution leaves the sur- face particle free, but there may be a considerable deposition of iron, zinc, and aluminum on the wafer. On the other hand, the SC2 solution reduces those metals to relatively low levels, but there is a significant increase in the particle counts.’ It is for this reason that alternative chemistries are being investigated. One of the most promising

ones is fairly straightforward: simply replace the SC2 step with a dilute HF mixture at room temperature. Table 1 illustrates such a procem2

Another interesting alternative t o the RCA clean, proposed by Belgium’s IMEC research group at the First In- ternational Symposium on Ultra Clean Processing of Silicon Surfaces (UCPSS ’92), is as follows: 0 H2S04/H202 to remove organics and oxidize the surface; 0 0.1%HF/0.02% IPA at 40°C to re- move the oxide. The IPA reduces sus- ceptibility to particle addition; 0 vapor dry.

Wet equipment trends Recent equipment advances include point-of-use chemical generation (POUCG), bulk-head mounting of wet benches contained in their own mini- environment, cassetteless operation, ozone injection to aid in organics re- moval and high energy megasonics to boost the removal of smaller particle. Over the last few years, there have also been advances in ancillary equipment,

38/SEMICONDUCTOR INTERNATIONAL DECEMBER 1992

. ._ -,. , ..“I“.._. .

- ~

SEMICONDUCTOR INTERNATIONAL

including the development of sulfuric and HF acid reprocessors and IPA va- por dryers.

Of these, probably the biggest ad- vancement in wet cleaning equipment since we last reported on this topic (SI Nov. 1991) is the implementation of point-of-use chemical generation (POUCG). This enables several of the most heavily used chemicals, such as ammonium hydroxide, HCI and HF to be fabricated at the wet station using clean DI water and the appropriate gas. “You can hook up an ammonia or HC1 gas line at the wet station, and generate ammonium hydroxide or HC1 as you need it,” explains Jim Molinaro of Sub- Micron Systems (Allentown, Pa.).

There are two advantages to POUCG. First, the chemicals produced are cleaner than what can generally be purchased, especially if the chemicals have to be shipped long distances or piped from a remote chemical dispense station. Second, there are some signs- cant cost savings. Megabit grade HF, for example, costs about $34/gal to buy, yet only about $14/gal to manufacture with POUCG. Molinaro says, on aver- age, POUCG saves automated wet bench users about $120,000 per year in

chemicals alone. It’s important t o note here that wet

benches aren’t the only way t o deliver such wet chemicals to the wafer surface. Other proven techniques include: 0 Spray processors, which don’t re- quire as high a volume of chemicals and are faster than immersion techniques; 0 Fully enclosed systems, which elimi- nate the particle problems incurred when wafers are drawn out of a liquid/ air interface.

Also, there are a variety of single wa- fer cleaning systems that employ brushes and/or jets of clean DI water to remove particles from the wafer sur- face. Such systems are particularly well suited for applications such as post- dicing or post-chemical mechanical pol- ishing cleans, where a large amount of debris must be removed.

Conclusion In the new future, it is likely that wet chemical cleans in automated wet benches will continue to be the dominat- ing wafer cleaning methodology. Al- though the renowned RCA wet clean remains the standard, some new chem- istries do appear to have some advan- tages. In the long run, it is expected

2. Scientists believe they now understand how various acidic and alkaline wet chemistries act to remove particles, organics and metallics.

that wet cleaning will begin to lose OL to vapor and dry cleaning methods fc cost-of-ownership and performance re: sons. [

Ref ere nee8 1. O.J. Anttila and M.V. Tilli, “Metal Coi tamination Removal on Silicon Wafers Usin Dilute Acidic Solutions,” J. Electrochen SOC, Vol. 139, No. 6, June 1992, p. 1751. 2. M. Itano, M. Mivashita and T. Ohm “Particle Deposition and Removal on Waft Surface in RCA Cleaning Process,” 1991 M crocontamination Cod. Proceed., p. 521.

For more information directly from the manufacturers of wafer cleaning sys- tems, circle the appropriate number on the reader service card at the back of this issue.

Circle no. Company

Accord Technology Accu-Fab Systems Amerimade Technology Bakers Bjorne Enterprises Blomme Automation Bold Technologies CFM Technologies Confluent Technologies Dainippon Screen Mfg. Dexon FSI International Gasonics/lPC Genus Lufran Modutek OnTrak Systems Poly-Flow Engineering Pure-Aire Corp. S&K Products Samco International Santa Clara Plastics SAP1 Equipments Semitool Solid State Equipment Corp. Solitec Stangl GmbH SubMicron Systems Tempress Trebor Universal Plastics Verteq Wafab Xerrus Enterprises

360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 390 391 392 393

Reader Interest Review Please help our editors evaluate your in- terest in this article. Circle number indi- cated on the Reader Service card. High 306 Medium 307 Low 308

I

DECEMBER 1992 SEMICONDUCTOR INTERNATIONAL49