vhdl project : design of a robot

13
VHDL Project : Design of a ROBOT -Anuja Kumar

Upload: vilina

Post on 06-Jan-2016

37 views

Category:

Documents


0 download

DESCRIPTION

VHDL Project : Design of a ROBOT. -Anuja Kumar. Specifications and Assumptions:. 1) 5 degrees of movement – Base movement (A) Shoulder movement (B) Arm movement (C) Wrist Movement (D) Claw movement (E) - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: VHDL Project : Design of a ROBOT

VHDL Project : Design of a ROBOT

-Anuja Kumar

Page 2: VHDL Project : Design of a ROBOT

Specifications and Assumptions:

1) 5 degrees of movement –• Base movement (A)• Shoulder movement (B)• Arm movement (C)• Wrist Movement (D)• Claw movement (E)

2) Five stations- L, S1, S2, S3 and S4 that can load and unload the robot arm and have priorities from highest to lowest respectively.

3) Load and Unload at same station is not done.

4) Loading operation at any station consequential of an unloading operation requested by a lower priority station can be interrupted by an unloading operation request by a higher priority station.

5) Unloading operations cannot be interrupted.

2

Page 3: VHDL Project : Design of a ROBOT

Inputs :

3

SENSORS UNLOAD STATIONand DEST

00001 L

00010 S1

00100 S2

01000 S3

10000 S4

SOURCE LOAD STATION

000 L

001 S1

010 S2

011 S3

100 S4

Sensors- decides unloading station-requesting station

Source-decides loading station

Page 4: VHDL Project : Design of a ROBOT

Flowchart

4

Page 5: VHDL Project : Design of a ROBOT

SIMPLISTIC STATE MACHINE

5

Page 6: VHDL Project : Design of a ROBOT

Test Bench

• Load at S4 and unload requests from S3,S2,S1,L• Load at S3 and unload requests from S4,S2,S1,L• Load at S2 and unload requests from S4,S3,S1,L• Load at S1 and unload requests from S4,S3,S2,L• Load at L and unload requests from S4,S3,S2,S1

• Reset between each of the above scenarios• Clock : toggling every 50 ns

6

Page 7: VHDL Project : Design of a ROBOT

Simulation Results:Load S4-Unload S3

Page 8: VHDL Project : Design of a ROBOT

Simulation results: Load S3-unload S4

Page 9: VHDL Project : Design of a ROBOT

Simulation results: Load S2-Unload S1

Page 10: VHDL Project : Design of a ROBOT

Simulation results: Load S1-Unload S2

Page 11: VHDL Project : Design of a ROBOT

Simulation results: Load L-Unload S3

Page 12: VHDL Project : Design of a ROBOT

Simulation results: Interrupt

Page 13: VHDL Project : Design of a ROBOT

Thank you..