advanced uvm - leading edge · 2019. 6. 14. · for building powerful and efficient uvm...

2
Advanced UVM This three-day workshop is designed for UVM users who want to take their skills to the next level. Pung together real world testbenches require more than just knowing the components of the UVM library. Real world testbenches have issues that require knowing how to apply the UVM library to solve them. Issues such as mulple interfaces to the DUT, layering smulus, concurrent process synchronizaon, dealing with behaviours such as interrupts and mulple response types, and building scalable, reusable testbenches are addressed. In this Advanced UVM class you will gain experience in dealing with these and other testbench challenges. The class works through various testbench issues and challenges providing soluons. You will be able to apply these soluons to your testbench. You will also take away from this class detailed real world example testbenches that provide a great reference in doing your testbench. Topics Covered Introducon DUT-TB Interface Container Classes Process synchronizaon Advanced Phasing Virtual sequences Response handling Interrupt handling Reset Layered smulus UVM Register Model integraon UVM Register Memory Allocaon Manager Template Method Paern and UVM Callbacks Command Line processing Emulaon consideraons Coverage driven tesng The course starts with the basic UVM testbench structure then builds on this to show techniques for building powerful and efficient UVM testbenches. The course is a consistent mix of lecture and lab-exercises. Targeted quizzes and labs are designed to reinforce the course material. Who Should Aend Experienced verificaon engineers wishing to extend their knowledge to encompass advanced UVM testbench creaon techniques

Upload: others

Post on 31-Aug-2021

6 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Advanced UVM - Leading Edge · 2019. 6. 14. · for building powerful and efficient UVM testbenches. The course is a consistent mix of lecture and lab-exercises. Targeted quizzes

Advanced UVM

This three-day workshop is designed for UVM users who want to take their skills to the next level.

Putting together real world testbenches require more than just knowing the components of the

UVM library. Real world testbenches have issues that require knowing how to apply the UVM

library to solve them. Issues such as multiple interfaces to the DUT, layering stimulus, concurrent

process synchronization, dealing with behaviours such as interrupts and multiple response types,

and building scalable, reusable testbenches are addressed.

In this Advanced UVM class you will gain experience in dealing with these and other testbench

challenges. The class works through various testbench issues and challenges providing solutions.

You will be able to apply these solutions to your testbench. You will also take away from this class

detailed real world example testbenches that provide a great reference in doing your testbench.

Topics Covered

• Introduction• DUT-TB Interface• Container Classes• Process synchronization• Advanced Phasing• Virtual sequences• Response handling• Interrupt handling• Reset• Layered stimulus• UVM Register Model integration• UVM Register Memory Allocation Manager• Template Method Pattern and UVM Callbacks• Command Line processing• Emulation considerations• Coverage driven testing

The course starts with the basic UVM testbench structure then builds on this to show techniques

for building powerful and efficient UVM testbenches.

The course is a consistent mix of lecture and lab-exercises. Targeted quizzes and labs are designed

to reinforce the course material.

Who Should Attend

Experienced verification engineers wishing to extend their knowledge to encompass advanced

UVM testbench creation techniques

Page 2: Advanced UVM - Leading Edge · 2019. 6. 14. · for building powerful and efficient UVM testbenches. The course is a consistent mix of lecture and lab-exercises. Targeted quizzes

Prerequisites

Practical experience of creating UVM testbenches is an essential prerequisite.