architecture wizard and pace

26
© 2003 Xilinx, Inc. All Rights Reserved Architecture Wizard and PACE FPGA Design Flow Workshop Xilinx: new module

Upload: kay-jensen

Post on 02-Jan-2016

63 views

Category:

Documents


0 download

DESCRIPTION

Xilinx: new module. Architecture Wizard and PACE. FPGA Design Flow Workshop. Objectives. After completing this module, you will be able to: List two uses for the Architecture Wizard Identify two features in PACE. Outline. Architecture Wizard PACE Summary. Architecture Wizard. - PowerPoint PPT Presentation

TRANSCRIPT

Page 1: Architecture Wizard and PACE

© 2003 Xilinx, Inc. All Rights Reserved

Architecture Wizard and PACE

FPGA Design Flow Workshop

Xilinx:

new module

Xilinx:

new module

Page 2: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 2 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Objectives

After completing this module, you will be able to:• List two uses for the Architecture Wizard• Identify two features in PACE

Page 3: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 3 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Outline

• Architecture Wizard• PACE• Summary

Page 4: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 4 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Architecture Wizard

• Architecture Wizard contains two wizards:

– Clocking Wizard – RocketIO Wizard

• Double-click Create New Source

– Select IP (CoreGen & Architecture Wizard), then click Next

• Expand Clocking and select desired function

• Expand I/O Interfaces and select RocketIO*

Page 5: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 5 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Clocking Wizard

• Main window– Select pins– Specify…

• Reference source• Clock frequency• Phase shift

– Advanced button• Adding buffers

– Connect clock buffers (BUFG, BUFGMUX) to selected output pins of the DCM

Page 6: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 6 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Clocking Wizard

• Frequency synthesizer– Select M / D value

OR– Specify frequency

• “Calculate” button for jitter– Period jitter is evaluated for

CLKFX output

Note: This dialog appears only if the CLKFX output was selected

Page 7: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 7 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

RocketIO Wizard

• SelectIO™ standard• Transmitter

– Pre-emphasis, voltage swing, CRC• Receiver

– Comma detection 10B/8B selection– Clock correction, elastic buffer, bonding

• Byte width specification• Termination impedance

Pictured on the right is one of several dialogs

Page 8: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 8 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Attributes

• By default, attributes are written into the HDL files– Example: Verilog with Synplicity

• Simulation attributes are passed through ‘defparam– defparam DCM_INST.CLKDV_DIVIDE=2;

• Synthesis attributes are passed within metacomments– /*synthesis xc_props=“CLK_FEEDBACK=1x, CLKDV_DIVIDE=2, …..*/;”

• Alternatively, the UCF flow can be used to customize the components• The Wizard can also generate a file suffixed “_arwz.ucf”

– Just cut and paste from “_arwz.ucf” file to the main UCF file– Remove attributes from the generated HDL file

Page 9: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 9 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Outline

• Architecture Wizard• PACE• Summary

Page 10: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 10 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

What is PACE?

• Pinout and Area Constraints Editor• Pin Assignment

– Assign I/O locations, specify I/O banks and I/O standards, prohibit I/O locations

– Verify pin type to logic assignment– Perform DRC check to prevent illegal

placements• Area Constraints

– Create area constraints for logic and display I/Os on the periphery to show connectivity

– Begin floorplanning early in the design flow – Verify area constraints

Page 11: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 11 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Using PACE

• Assign pins and create area constraints for an existing design– After creating HDL code, launch PACE– Assign pin locations and area constraints– Select File Save to save UCF file

• Plan your pinout and create a skeleton top-level HDL file for a new design

– In PACE, select File New and choose Create New UCF and Design– Enter file names for new UCF and new top-level HDL file (VHDL or Verilog)– Enter pin names and locations in PACE GUI– Select File Save to save UCF and HDL files

Page 12: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 12 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Design Flow with PACE (Post-Synthesis)

• Reads synthesized netlist• Creates or modifies UCF file

Design Source

Synthesized Netlist

CompleteImplementation

PACE

design.ucf design.lfpTranslate

Page 13: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 13 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Design Flow with PACE (Post-Translate)

• Reads NGD file from Translate• Creates or modifies UCF file

Design Source

Synthesized Netlist

Translate

design.ngd

CompleteImplementation

design.ucf

design.ncf

PACE

design.ucf design.lfpTranslate

Page 14: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 14 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Design Flow with PACE (New Design)

• Creates top-level HDL file– Contains port information

only– Use this file as a starting

point for writing remaining HDL code

• Creates UCF file for implementation

PACE

design.ucf

Write HDL code & Synthesize

CompleteImplementation

design.v/.vhd

NGDBuild

design.lfp

Page 15: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 15 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

PACE GUI

• Design Hierarchy window– Displays different types

of design elements• Design Object List window

– Lists design elements based on the selected folder in the Design Hierarchy window

– Use this window to create groups of I/O signals

– Assign I/O signals or groups to specific pins, banks, or die edges

– Enter I/O standards

Page 16: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 16 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

PACE GUI

• Device Architecture window– Displays banks and

differential pairs– Define and display area

constraints here• Package Pin window

– Shows I/O banks– Displays differential pairs

• Easy to assign pin locations – Table entry or drag-and-drop

Page 17: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 17 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Locking Pins

• In the Design Hierarchy window, select I/O Pins

• Make pin assignments in the Design Object List window

– Type pin locations in the Location column

– Select an I/O bank instead of assigning specific pins

– Drag-and-drop pins into the Device Architecture or Package Pin windows

Page 18: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 18 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

General guidelines:

I/O Layout

• I/O for control signals on the top or bottom

– Signals are routed vertically

• I/O for data buses on the left or right

– FPGA architecture favors horizontal data flow

Control Signals

Control Signals

Da

ta B

us

es

Da

ta B

us

es

Data Flow

Page 19: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 19 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

LSB

MSB

Data Bus Layout

• Arithmetic functions with more than five bits typically utilize carry logic

• Carry chains require specific vertical orientation

Page 20: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 20 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Other PACE Features

• Tools menu– Run Design Rule Check (DRC): Checks that all pins assigned to each bank

use compatible I/O standards– SSO Analysis: Flags potential ground bounce problems caused by pin

assignments– Clock Analysis: Displays clock distribution to each quadrant of the device

• Package migration: When a design has the possibility of moving to a different device or package, PACE can display incompatible pins to help with pinout planning

– IOB Make Pin Compatible With

Page 21: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 21 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Pin Compatibility

Original Package View of 2V250-FG256 Modified Package View after Pin compatibility is applied with 2V40-FG256

Page 22: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 22 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Outline

• Architecture Wizard• PACE• Summary

Page 23: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 24 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Review Questions

• What are the advantages of using the Architecture Wizard in your design?

• What are at least two features available in PACE?

Page 24: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 25 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Answers

• What are the advantages of using the Architecture Wizard in your design?– You are not required to create the instantiation on your own– Automatically creates usable source code– Synthesis and simulation attributes are already written– Easy-to-use dialog boxes

• What are at least two features available in PACE?– Drag-and-drop I/Os and area locations onto device package and layout

windows– DRC checking– Ability to enter I/O standards– Prohibit and Allow sites– Easy package migration

Page 25: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 26 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Summary

• The Architecture Wizard consists of two parts:– Clocking Wizard– RocketIO Wizard

• PACE allows you to assign I/O locations easily and create area constraints for logic

Page 26: Architecture Wizard and PACE

Architecture Wizard and PACE 4- 27 © 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only

Where Can I Learn More?

• Architecture Wizard– “More Info” buttons in dialog boxes

• PACE Online Help