cleanroom establishment and processing ......abstract the complete specification, design, and...

146
CLEANROOM ESTABLISHMENT AND PROCESSING IMPLEMENTATION FOR ELECTRON DRAG DISSERTATION Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy in the Graduate School of The Ohio State University By Anthony J. Ragucci, B.S., M.S. ***** The Ohio State University 2004 Dissertation Committee: Thomas J. Gramila, Adviser John W. Wilkins Steven A. Ringel Charles Pennington Approved by Adviser Department of Physics

Upload: others

Post on 24-Jun-2020

3 views

Category:

Documents


1 download

TRANSCRIPT

Page 1: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

CLEANROOM ESTABLISHMENT AND PROCESSING

IMPLEMENTATION FOR ELECTRON DRAG

DISSERTATION

Presented in Partial Fulfillment of the Requirements for

the Degree Doctor of Philosophy in the

Graduate School of The Ohio State University

By

Anthony J. Ragucci, B.S., M.S.

* * * * *

The Ohio State University

2004

Dissertation Committee:

Thomas J. Gramila, Adviser

John W. Wilkins

Steven A. Ringel

Charles Pennington

Approved by

Adviser

Department of Physics

Page 2: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

c© Copyright by

Anthony J. Ragucci

2004

Page 3: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

ABSTRACT

The complete specification, design, and implementation of a class 100 cleanroom

is described in addition to the sample processing used therein to generate electron

drag samples. The 250 ft2 cleanroom is designed to utilize the available infrastructure

in a fifty-year-old building that was not originally intended to support a cleanroom.

Nontraditional approaches are used to reduce construction costs to 1/4 the amount

required using a standard modular solution. The room is equally divided into class

1,000 and class 100 areas. A total of 12 linear feet of vented hood space are in-

cluded and the environment is both temperature and humidity controlled to within

±1 F and ±5% RH. Processing of electron drag samples is described starting from

an epitaxially-grown double-quantum-well wafer through wiring. New methods are

discussed to increase the reliability of the process and to reduce reliance on user

skill. Low resistance, repeatable contacts are established. Also, the parallelism of a

back-side sample etch is improved by a factor of 20 over previously used methods.

ii

Page 4: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Dedicated to my family

for their love and support.

iii

Page 5: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

ACKNOWLEDGMENTS

This work would not have been possible without contributions from many indi-

viduals. Tom Gramila, as well as Sanghun An, Gokul Gopalakrishnan, and Yuko

Shiroyanagi all played a substantial role in this undertaking. Thank you for your

insight and support.

A sincere thanks also goes out to the many people who have helped along the way:

Dave Sarge, Mark Reed, John Whitcomb, Tom Kelch, John Spaulding, Rita Rokhlin,

Kent Ludwig, Tim Randles, Bryan Dunlap, Brian Keller, John (J.D.) Wear, Dan

Herman, Shane Smith, John Wilkins, Charles Pennington, Steven Ringel, and Tom

Humanic. Also, thank you to all the members of the construction crew, electricians,

plumbers, and metal workers who made the cleanroom project a reality.

That I have reached the point of producing this document while retaining some

modicum of sanity and health attests to the support of my friends and family. Thank

you, especially, to Alex Novak, Joe Brunette, Geoff Guevara-Geer, Eric Wertz, Rick

Mohr, Dallas Trinkle, Robin Stearns, Barb Jennings, Jerry White, Emily and Joe

Chatlien, Tony Ragucci, Ray Marquette, Therese Chatelaine, and Sylvie Ragucci.

iv

Page 6: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

VITA

February 10, 1974 . . . . . . . . . . . . . . . . . . . . . . . . . . Born — Anderson, Indiana, USA

1992–1996 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Rose-Hulman Institute of Technology;Presidential Scholar; B.S. Physics andMathematics, Philosophy minor

1996–1999 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pennsylvania State University; RobertsFellow, Duncan Fellow; M.S. Physics

1999–2004 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ohio State University; Graduate Re-search Associate, Presidential Fellow

PUBLICATIONS

Research Publications

X. G. Feng, S. Zelakiewicz, H. Noh, T. J. Ragucci, and T. J. Gramila, “NegativeElectron Drag and Holelike Behavior in the Quantum Hall Regime,” Phys. Rev.Lett. 81, 3219 (1998).

FIELDS OF STUDY

Major Field: Physics

v

Page 7: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

TABLE OF CONTENTS

Page

Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ii

Dedication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . iii

Acknowledgments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . iv

Vita . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . v

List of Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . viii

List of Figures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ix

Chapters:

1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

1.1 Electron Drag . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.2 Infrastructure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

2. Cleanroom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

2.1 Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92.1.1 Air . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102.1.2 Water . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162.1.3 Services . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

2.2 Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212.2.1 Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232.2.2 Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292.2.3 Air Handling System . . . . . . . . . . . . . . . . . . . . . . 372.2.4 Type E-1 Water . . . . . . . . . . . . . . . . . . . . . . . . 552.2.5 Services . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

vi

Page 8: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

2.3 Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 632.3.1 Construction Scheduling . . . . . . . . . . . . . . . . . . . . 632.3.2 Protocols . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

2.4 Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

3. Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74

3.1 Drag sample . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 743.1.1 Molecular Beam Epitaxy . . . . . . . . . . . . . . . . . . . . 753.1.2 Independent Contact . . . . . . . . . . . . . . . . . . . . . . 78

3.2 Sample Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . 843.2.1 Mesa Definition . . . . . . . . . . . . . . . . . . . . . . . . . 863.2.2 Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . 873.2.3 Front Gates . . . . . . . . . . . . . . . . . . . . . . . . . . . 923.2.4 Back Side Overview . . . . . . . . . . . . . . . . . . . . . . 923.2.5 Thinning . . . . . . . . . . . . . . . . . . . . . . . . . . . . 933.2.6 Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 953.2.7 Back Gates . . . . . . . . . . . . . . . . . . . . . . . . . . . 983.2.8 Wiring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99

3.3 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

Appendices:

A. Cleanroom Construction Document . . . . . . . . . . . . . . . . . . . . . 103

B. Cleanroom Plans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111

C. Cryostat Support Structure . . . . . . . . . . . . . . . . . . . . . . . . . 123

Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

vii

Page 9: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

LIST OF TABLES

Table Page

2.1 Federal standard 209E airborne particulate cleanliness classes. . . . . 11

2.2 Requirements for water used in the electronics and semiconductor in-dustry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

2.3 Air velocities in cleanrooms . . . . . . . . . . . . . . . . . . . . . . . 27

2.4 Summary of cleanroom particle count results . . . . . . . . . . . . . . 71

3.1 Optimized summary timetable for sample processing . . . . . . . . . 102

viii

Page 10: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

LIST OF FIGURES

Figure Page

1.1 Drag Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

2.1 Completed, operational cleanroom . . . . . . . . . . . . . . . . . . . . 8

2.2 Environmental influence on the as-spun thickness of a diazo-based pos-itive photoresist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

2.3 Airflow in cleanroom cross-section . . . . . . . . . . . . . . . . . . . . 25

2.4 Cleanroom shell before interior panel and ceiling installation . . . . . 34

2.5 HVAC air processing, monitoring, and feedback control flowchart . . . 50

2.6 Averaging pitot tube installed in duct . . . . . . . . . . . . . . . . . . 52

2.7 Linear actuator used to regulate incoming airflow . . . . . . . . . . . 53

3.1 Conduction band diagram for the 2-DEG bilayer system . . . . . . . 76

3.2 Independent electrical contact to two closely spaced 2DEGs: gating . 79

3.3 Implementation of drag configuration through selective gating . . . . 81

3.4 Opaque overlay of lithography patterns used in sample processing . . 83

3.5 SEM images of toluene-processed photoresist . . . . . . . . . . . . . . 90

3.6 Influence of etchant solution agitation on etched window profile . . . 97

3.7 Soldering iron attachment for nitrogen flow . . . . . . . . . . . . . . . 100

ix

Page 11: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

B.1 Cleanroom - General layout . . . . . . . . . . . . . . . . . . . . . . . 112

B.2 Cleanroom - Base modifications . . . . . . . . . . . . . . . . . . . . . 113

B.3 Cleanroom - Unistrut supports . . . . . . . . . . . . . . . . . . . . . . 114

B.4 Cleanroom - Stud placement . . . . . . . . . . . . . . . . . . . . . . . 115

B.5 Cleanroom - Wall paneling . . . . . . . . . . . . . . . . . . . . . . . . 116

B.6 Cleanroom - HVAC ductwork . . . . . . . . . . . . . . . . . . . . . . 117

B.7 Cleanroom - Piping termini . . . . . . . . . . . . . . . . . . . . . . . 118

B.8 Cleanroom - Wall installation details . . . . . . . . . . . . . . . . . . 119

B.9 Cleanroom - Electrical requirements . . . . . . . . . . . . . . . . . . . 120

B.10 Cleanroom - Ceiling grid layout . . . . . . . . . . . . . . . . . . . . . 121

B.11 Cleanroom - Final equipment installation . . . . . . . . . . . . . . . . 122

C.1 Cryostat Support structure . . . . . . . . . . . . . . . . . . . . . . . . 125

x

Page 12: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

CHAPTER 1

INTRODUCTION

The ability to accurately pattern, manipulate, or measure at a microscopic level

or smaller is requisite for many areas of experimental condensed matter physics. As

the dimensions of the system under study shrink, the need for a clean environment

to avoid the influence of particulate and chemical contamination during processing

increases. Equally important is the development and implementation of a detailed

and explicit method for processing samples under study.

This dissertation will discuss the complete specification, design, and construction

of a cleanroom environment and the associated processing for sample development.

These samples are needed for electron drag, a technique which is unique in providing

direct measurement of electron-electron scattering.

This novel technique provides a new and powerful means for addressing funda-

mental questions in electron physics. However, it is quite challenging technically,

involving ultra-low noise measurement, cryogenic environments, and state-of-the-art

sample growth and preparation. In particular, the difficulty and complexity of the

sample preparation techniques require dedicated instrumentation. Meeting that need

required the construction of a semiconductor processing cleanroom.

1

Page 13: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Although much of the processing required for electron drag measurements is spe-

cific to that technique, many of the requirements needed for the cleanroom, as well

as a number of new processing developments, are more broadly applicable.

Unfortunately, the availability of specific information regarding the development

of a highly functional cleanroom for an academic environment is limited. The con-

straints for a cleanroom in this setting, both financially and in terms of the limita-

tions of existing facilities, require a unique design approach. It is with these goals

and restrictions in mind that the cleanroom and process under consideration here are

presented.

The following sections will introduce the experimental technique of electron drag

and its implementation. Electron drag measurements will not be shown in this work

but an understanding of the target experiment aids in the explanation of cleanroom

and processing design goals. Although the concepts presented in this dissertation

are not difficult to understand, they are important for the realization of many ex-

periments. This author hopes that the information presented is of practical value to

future researchers establishing a new laboratory.

1.1 Electron Drag

The experimental technique of electron drag explores the behavior of interacting

two-dimensional electron gasses (2DEGs) through a direct probe of electronic scatter-

ing rates. Theoretical investigations by Pogrebinskii [1] in 1977 and Price [2] in 1983

indicated that carrier momentum could be transfered between two closely spaced con-

ducting films through interlayer electron interactions. Following work demonstrating

drag between two-dimensional (2D) and three-dimensional (3D) systems by Solomon

2

Page 14: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 1.1: Drag schematic

et al. in 1989 [3], electron drag between two 2D systems was clearly observed by

Gramila et al. in 1991, using epitaxially-grown GaAs/AlxGa1−xAs heterostructures

to define a pair of 2DEG layers [4]. Since that time, electron drag has proved to be

a useful and illuminating tool for exploring interacting electron systems [5].

The fundamental bilayer drag measurement is schematically represented in fig-

ure 1.1, which has been described previously [4]. Two closely spaced but electrically

independent 2DEG layers are shown, labeled as “Drive Layer” and “Drag Layer.”

A small current, typically 100 - 200 nA, is driven through the drive layer. Because

of interlayer electron scattering, momentum is transfered from electrons in the drive

layer to electrons in the drag layer. The drag layer electrons are pushed towards

one end of the sample but are prevented from leaving the layer. Due to the charge

imbalance along the length of the sample, a potential difference is established which

creates a force on the drag layer electrons. This electrical force opposes the drag force

3

Page 15: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

created by momentum transfer from the drive layer electrons. At equilibrium, these

two forces balance and the voltage difference across the drag layer is used as a direct

indication of the electron-electron scattering rate between the two layers.

The basic relation between the drag voltage measured and the actual scattering

rate between electrons in the two layers can be obtained using the Drude model of

resistivity. If the average interlayer scattering time is τd for electrons with effective

mass m∗ and drift velocity vd, then the drag force is the rate of momentum transfer

between the two layers,

Fd =m∗vd

τd

.

Opposing this is the electrical force due to the charge imbalance across the length L

of the sample,

Fe = −eE = −eVd

L,

where Vd is the measured drag voltage. In equilibrium, these two forces balance so

that

m∗vd

τd

= −eVd

L.

The current driven in the drive layer can be described as

I = nevdW,

so that the measured drag resistivity, defined as the ratio of drag voltage to drive

current scaled by the sample aspect ratio, is

ρd = −Vd

I

(W

L

)=

m∗

ne2τd

.

This relation is quite similar to the equation for Drude resistivity but note that the

scattering rate τ−1d is the electron-electron scattering rate between the two layers

4

Page 16: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

and not electron-impurity scattering, as in the Drude model. Therefore, from this

relation, the measured drag voltage, Vd, is directly proportional to the interlayer

electronic scattering rate.

1.2 Infrastructure

There are three distinct and equally important aspects of making an electron drag

measurement: epitaxial growth, sample processing, and low-noise cryogenic measure-

ment. The drag bilayer heterostructure for this group is currently grown through

molecular beam epitaxy (MBE) by Loren Pfeiffer and Ken West at Bell Labs / Lu-

cent Technologies. There are excellent texts written on the topic of cryogenic mea-

surement, including vacuum technology [6], cryogenic techniques [7], and low-noise

measurement [8]. However, little is written on the topics of small scale but highly

functional cleanrooms for academic environments or on processing for electron drag

samples. The aim of this dissertation is to address these two topics.

Chapter 2 discusses a fundamental prerequisite to the processing of samples; the

specification, design, and construction of a cleanroom. Specification of the cleanroom

requirements are determined based on the needs of an electron drag process, however

almost all of the requirements could be generally applied to a wide range of semi-

conductor processes. From these specifications, the room design process is explained

including the room structure, air handling, and services. Last, the cleanroom con-

struction process is described, since the methods which must be used differ in some

respects from the methods used in a more typical construction project.

Chapter 3 examines the bilayer system, itself, and describes the process of making

an electron drag sample from wafer containing epitaxially-grown GaAs/AlxGa1−xAs

5

Page 17: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

heterostructures. The structure of the bilayer sample is described and the scheme al-

lowing independent contact to the two layers is explained. Then, the sample process is

followed, from an original MBE-grown wafer through wiring of the completed sample.

A brief scheduling summary of the entire process is presented at the conclusion.

6

Page 18: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

CHAPTER 2

CLEANROOM

This chapter presents a method for specifying, designing, and constructing a low-

cost, highly functional cleanroom facility in a space that was not designed to provide

the necessary supporting services. The cleanroom is an essential tool for process-

ing electron drag samples or almost any modern semiconductor device. The cost

of constructing a cleanroom with the full capabilities necessary can be prohibitively

expensive, however, as well as a daunting undertaking for an academic researcher

without the benefit of training in the design and construction of a microelectronics

processing cleanroom. Designing a cleanroom to function in a space ill suited for the

purpose only compounds these difficulties.

The cleanroom shown in figure 2.1 was built in Smith Laboratory, a 50 year old

building on the Ohio State University campus, to meet or exceed Class 100 cleanroom

conditions for a cost of $125,000, excluding the furniture and processing equipment

shown inside. This was accomplished using a combination of standard tools and

techniques used in cleanroom construction with more unusual methods and materials.

This approach lead to significantly reduced costs. Had the project been completed

by an independent cleanroom contractor, the total expense would have increased by

a factor of 4, based on one contractor’s proposal.

7

Page 19: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

2.1:

Com

ple

ted,op

erat

ional

clea

nro

om.

The

yellow

hue

isdue

tofilt

ers

over

the

ligh

tsan

dw

indow

sw

hic

hpro

tect

the

phot

osen

siti

vere

sist

suse

din

pro

cess

ing

from

unin

tenti

onal

expos

ure

.T

his

phot

ogra

ph

was

take

non

May

2,20

03.

8

Page 20: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The goal of this project was ultimately achieved but several pitfalls were encoun-

tered along the way which impeded progress. With the benefit of hindsight, this

chapter presents an outlined description of a slightly modified approach that avoids

these difficulties. Where instructive, some of the original courses of action are de-

scribed.

The following four sections of the chapter describe the realization of a cleanroom,

from the determination of necessary features to testing of the completed room. Section

2.1 outlines the design goals. This includes a determination of the conditions and

support services necessary for the sample processing tasks to be completed. The

cleanroom is then designed from these specifications in section 2.2. Section 2.3 then

describes the procedures used for clean construction, which differ from those used in

more traditional construction. Finally, section 2.4 presents a measurement indicating

the cleanliness of the completed room. In addition, a set of drawings describing

various aspects of the project can be found in appendix B, which are referenced

throughout the chapter as an aid to the reader.

2.1 Objectives

Before pursuing an actual design for the cleanroom, the requirements for the par-

ticular process to be used must be defined and quantified. The most basic determina-

tion to be made is how much space is required for processing equipment and personnel.

Ideally, space for some future expansion would also be included. This assessment will

likely change somewhat as the design evolves but establishing a minimum floor area

facilitates later design calculations. For the cleanroom under consideration, here, the

area is limited by the dimensions of room 4029 Smith Laboratory (4029) that houses

9

Page 21: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the cleanroom and a large air duct in the room that cannot be relocated. This limits

the dimensions of the main room to roughly 10’× 25’. Also, the ceiling height must

be 8’, at a minimum, to enable an evaporator bell jar to raise fully.

2.1.1 Air

Of all the aspects of the cleanroom, the air properties are by far the most impor-

tant. Air cleanliness is defined by classes, which establish the maximum permissible

volumetric density of particles at a range of sizes. This limitation on particle density

is what establishes a room as “clean.” To quantify the degree of cleanroom cleanli-

ness, there are literally hundreds of standards used around the world. The one most

commonly recognized, however, is the Federal Standard 209.

This standard was developed by a research team at Sandia Corporation, now

known as Sandia National Laboratories, who were studying contamination problems

in clean environments for weapons development in 1959. Willis Whitfield and others

determined that current, state of the art clean spaces were limited due to turbulent

flow in the room. Room contamination was diluted by incoming clean air but it was

not being actively pushed out. Their solution to the problem was the laminar flow

cleanroom. Instead of piping air into the room through diffusers, high efficiency par-

ticulate air (HEPA) filters were used to pass air uniformly over the work surface and

down through vents in the room floor. Using this scheme, particulate density levels

dropped by several orders of magnitude. In 1963, the Sandia team, in conjunction

with other industrial and governmental agencies, formed a group which produced the

first Federal Standard 209. The latest version, Federal Standard 209E (FS209E) [9],

was produced in 1992 and contains the classification scheme shown in table 2.1.

10

Page 22: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Cla

ssLim

its

Cla

ssN

ame

0.1µm

0.2

µm

0.3µm

0.5µm

5µm

Vol

ume

unit

sV

olum

eun

its

Vol

ume

unit

sV

olum

eun

its

Vol

ume

unit

sSI

Eng

lish

m3

ft3

m3

ft3

m3

ft3

m3

ft3

m3

ft3

M1

350

9.91

75.7

2.14

30.9

0.87

510

.00.

283

––

M1.

51

1,24

035

.026

57.

5010

63.

0035

.31.

00–

–M

23,

500

99.1

757

21.4

309

8.75

100

2.83

––

M2.

510

12,4

0035

02,

650

75.0

1,06

030

.035

310

.0–

–M

335

,000

991

7,57

021

43,

090

87.5

1,00

028

.3–

–M

3.5

100

––

26,5

0075

010

,600

300

3,53

010

0–

–M

4–

–75

,700

2,14

030

,900

875

10,0

0028

3–

–M

4.5

1,00

0–

––

––

–35

,300

1,00

024

77.

00M

5–

––

––

–10

0,00

02,

830

618

17.5

M5.

510

,000

––

––

––

353,

000

10,0

002,

470

70.0

M6

––

––

––

1,00

0,00

028

,300

6,18

017

5M

6.5

100,

000

––

––

––

3,53

0,00

010

0,00

024

,700

700

M7

––

––

––

10,0

00,0

0028

3,00

061

,800

1,75

0

Tab

le2.

1:Fed

eral

stan

dar

d20

9Eai

rbor

ne

par

ticu

late

clea

nlines

scl

asse

s.T

his

table

,re

pro

duce

ddir

ectl

yfr

omFS20

9E[9

],es

tablish

esth

elim

its

for

par

ticl

eco

unts

asla

rge

orla

rger

than

the

stat

eddia

met

ers.

For

exam

ple

,in

aC

lass

100

orC

lass

M3.

5cl

eanro

om,

the

max

imum

den

sity

ofpar

ticl

esas

larg

eor

larg

erth

an0.

3µm

is30

0/f

t3or

10,6

00/m

3.

Alt

hou

ghth

isst

andar

dhas

bee

nsu

per

seded

by

the

new

ISO

1464

4-1

clas

sifica

tion

stan

dar

d[1

0],FS20

9Eis

stillth

em

ost

com

mon

lyuse

dm

ethod

for

des

crib

ing

clea

nro

omcl

eanlines

s[1

1].

11

Page 23: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The size distribution of particles shown in the table does not correspond to any

particular situation but, within the range shown, smaller particles are generally more

numerous than larger ones, which are more easily filtered and drop out of the air

more readily. The concentration limits are approximately defined according to the

equation CM = 10M(0.5/d)2.2. For a metric class M environment, CM is the limiting

concentration of particles/m3 with a diameter greater than or equal to d microns.

This classification scheme was superseded by a new classification developed by the

International Standards Organization, ISO 14644-1, in 2001 [10]. The ISO 14644-1

classification can be described by an exponential relationship similar to the one defined

in FS209E; CN = 10N(0.1/D)2.08. Here, for an ISO class N environment, CN is

the limiting concentration of particles/m3 with diameter greater than or equal to D

microns. Although ISO 14644-1 has officially replaced FS209E, the latter is still the

most commonly used standard worldwide and its nomenclature will be used in this

chapter.

The class requirement necessary depends on the process to be run. The typi-

cal guideline used in wafer fabrication cleanrooms is that the maximum size particle

that can be tolerated in a process is one tenth the width of the smallest critical fea-

ture [12]. Contamination sources are examined from air, gases, water, and chemicals

used in processing, considering the integrated time of exposure to each of these at

various stages of processing. For a large scale process, a balance can be determined

between the cost of building and maintaining a clean facility of a given class ver-

sus total process yield. As lithographic critical dimensions continue to shrink, now

nearing the 100 nm level in production, the requirements for cleanliness have scaled

correspondingly. For a pure research facility, however, the requirements are somewhat

12

Page 24: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

different and less directly quantifiable. Typically, the cleanest environment that can

be produced is specified, to minimize the effect of impurities on measured results. For

the electron drag samples, for instance, the smallest critical dimension is only 40 µm.

However, defects in the active region of the sample can drastically effect transport

measurements. Also, since one man-hour week is required to produce a single sample,

the cost of a contamination defect can be substantial.

The target level of cleanliness chosen for the 4029 cleanroom is class 100. To

obtain an environment cleaner than this would require the use of a raised, perforated,

platform floor to establish a complete, downward unidirectional flow pattern in the

room. However, the vertical space in room 4029 is insufficient to implement such a

design, and the associated cost of construction, if the vertical space was available,

would scale by roughly a factor of 1.3 - 2.5. Class 100 clean space is only required for

the most critical aspects of processing, namely lithography and wet processing, and

the specification in the rest of the room can be relaxed to class 1,000. In addition, an

anteroom is required to transition from the outside world into the clean space without

introducing a burst of particles into the cleanroom. Maintaining this transition space

one class below the cleanroom space that it opens into is sufficient to filter particles

brought into the ’airlock’ in a reasonable period of time. Therefore, the anteroom is

held at class 10,000 and opens into the class 1,000 space in the cleanroom.

In addition to the cleanliness of the air, the temperature and humidity have a

significant affect on the processes to be run in the cleanroom. Consider the graph

shown in figure 2.2, which indicates the as-spun thickness of a photoresist over a

range of temperature and relative humidity as measured by Mark Wirzbicki of Rohm

and Haas [13]. The resist used for this test, Rohm and Haas Megaposit SPR510-A

13

Page 25: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

%R

H

4041424344454647484950

64 65 66 67 68 69 70 71 72

Temperature °F

Thickness (Å) <= 10675.0 <= 10700.0

<= 10725.0 <= 10750.0

<= 10775.0 <= 10800.0

<= 10825.0 <= 10850.0

<= 10875.0 <= 10900.0

> 10900.0

Figure 2.2: Environmental influence on the as-spun thickness of a diazo-based posi-tive photoresist∗ [13]. The resist tested here is Rohm and Haas Megaposit SPR510-A,which is functionally and compositionally similar to Rohm and Haas MicropositS1811, used in sample processing. In the target parameter space of 68 ± 1 F and45 ± 5% relative humidity, the resist thickness varies by only 2%. This degree ofcontrol is especially necessary for back-side sample processing, as discussed in section3.2.7. As can be seen from the contour plot, the resist thickness is much more sensi-tive to moisture content in the air than it is to the room temperature over the rangeof environmental conditions maintained in the cleanroom. However, precise roomtemperature control is also important. The anisotropic etchants used in processinghave an etch rate ∝ e−1/T and require a stable, known temperature to produce re-peatable, timed etches. Therefore, both temperature and humidity must be carefullycontrolled.

∗Copyright c©1996 Rohm and Haas Electronic Materials, LLC. All rights reserved. Any unautho-rized copying, alteration, distribution, transmission, performance, display, or other unauthorized useof this material is prohibited.

14

Page 26: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

(SPR510-A), is a positive photoresist based on a cresol novolac resin combined with

a diazo photoactive compound. The composition concentration range matches the

specifications for Rohm and Haas Microposit S1811 (S1811) resist, which is one of

the S1800 series of resists used in processing the electron drag samples. Although

the exact composition of both resists are trade secrets, it is known that SPR510-A

consists mostly of the solvent ethyl lactate, whereas the S1800 series uses the solvent

propylene glycol monomethyl ether acetate (PGMEA). These two chemicals thin the

resist to achieve the desired post-spin thickness. Based on the thickness of the spun

resist, SPR510-A behaves much like S1811. Because of these similarities, it is inferred

that the resist used in electron drag sample processing is also affected by temperature

and humidity in a manner comparable to that shown in figure 2.2.

Based on these measurements, the temperature and humidity in the room should

be controlled in order to minimize variation and improve repeatability in lithography.

In addition to the influence seen in figure 2.2, lower humidity values improve resist

adhesion to a substrate and developed resist profile definition. However, low humidity

values also increase the likelihood of electrostatic discharge, which can quite easily

damage samples. If the humidity in the room is too high, exposed metal is more

readily oxidized and bacterial growth increases. A compromise used by many clean-

rooms is a set point of 45% relative humidity (RH). Maintaining the air water content

through seasonal changes can be quite challenging, as will be discussed in more detail

in section 2.2.3, but the range from 40% to 50% RH is attainable.

The temperature set point target is chosen for user comfort, keeping in mind

that full cleanroom clothing will be worn. A temperature of 68 F is appropriate.

Many chemical action rates in the cleanroom are sensitive to temperature. One in

15

Page 27: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

particular, used for a window etching procedure, varies by roughly 3%/F about the

temperature of 68 F. To regulate such variables in sample processing, a tolerance

of ±1 F is specified for the room. Therefore, the total specification for the room

temperature and humidity is 68 F ± 1 F and 45% RH ± 5% RH.

In addition to making the air inside the room clean and environmentally con-

trolled, air must also be vented from the room to an exhaust port. This requirement

complicates the room design because air must be constantly processed and drawn in

from the outside to make up the air being exhausted. In the current cleanroom, this

issue is substantially more complicated because of the amount of vented space needed.

Two hoods with a combined total of 12 linear feet of vented work area are included in

the room. This is necessary to provide enough space for a spinner, hotplates, general

wet processing of samples, and bromine lapping and polishing of samples. For safety,

a minimum air velocity of 80 feet/min must be maintained at the front of both hoods

to ensure that all chemical vapors are exhausted through the back of the hood and not

expelled into the main cleanroom environment [14]. Chemical vapors not exhausted

through the hood can rapidly permeate the room through the recirculation system,

presenting a danger to users. Therefore, the quantity of new air introduced to the

cleanroom from the outside is primarily determined by the amount of air that must

be exhausted from the hoods.

2.1.2 Water

Since the electron drag samples are produced through a series of wet processing

techniques, the importance of clean water is arguably second only to clean air. The

same issues regarding particle size that were used to describe air quality, such as

16

Page 28: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the maximum acceptable particle diameter for a given critical distance, also apply to

water. In addition, specifically water-borne contaminants that can damage a sample

must be regulated.

There are several methods used to quantify water quality. The simplest mea-

sure is the water’s resistivity; high resistivity indicates a low concentration of ionic

contaminants. Pure water is limited to a maximum resistivity of 18.18 MΩ-cm at a

temperature of 25.0C, due to the equilibrium dissociation of water molecules into

H+ and OH− ions. Although a useful tool, the measurement provides no indication

of non-ionic contaminants such as pyrogens or endotoxins, which are by-products of

bacteria. For these contaminants, a measurement of the total organic carbon (TOC)

content of the water provides another indication of purity. Other measures are also

used, depending on the specific target contaminant, but resistivity and TOC measure-

ments are the most widely used and the broadest indicators of general contamination.

As with air quality, there are several standards used to quantify water cleanliness.

The standards most commonly used by the wafer fabrication industry are published

by the International Organization for Standardization (ISO) and the American So-

ciety of Testing and Materials (ASTM). Figure 2.2 shows the ASTM standard for

classification of ultrapure water [15].

Type E-1 water is specified for the cleanroom, to avoid contamination of the

sample surface. The rationale is similar to the one used for the cleanroom class

specification. The bulk of the on-line particulate content in E-1 water is well be-

low the critical dimension of the electron drag sample and a cleaner type of water

would require a much more expensive and elaborate system, as will be discussed in

section 2.2.4. Based on an analysis of the process, approximately 50L of E-1 water

17

Page 29: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Parameter Type E-1 Type E-1.1 Type E-1.2 Type E-2 Type E-3 Type E-4

Linewidth (microns) 1.0 - 0.5 0.5 - 0.25 0.25 - 0.18 5.0 - 1.0 > 5.0 –

Resistivity, 25 C 18.2 18.2 18.2 17.5 12 0.5Endotoxin unit (EU/ml) 0.03 0.03 0.03 0.25 – –TOC (µg/L) 5 2 1 50 300 1,000Dissolved oxygen (µg/L) 1 1 1 – – –Residue after evaporation (µg/L) 1 0.5 0.1 – – –SEM particles/L (micron range)

0.1 - 0.2 1,000 1,000 200 – – –0.2 - 0.5 500 500 100 3,000 – –0.5 - 1 50 50 1 – 10,000 –10 – – – – – 100,000

On-line particles/L (micron range)0.05 - 0.1 500 500 100 – – –0.1 - 0.2 300 300 50 – – –0.2 - 0.3 50 50 20 – – –0.3 - 0.5 20 20 10 – – –> 0.5 4 4 1 – – –

Bacteria/100 mL100 mL Sample 1 1 1 – – –1 L Sample 1 1 0.1 10 10,000 100,000

Silica - total (µg/L) 3 0.5 0.5 10 50 1,000Silica - dissolved (µg/L) 1 0.1 0.05 – – –Ions and metals (µg/L)

Ammonium 0.1 0.10 0.05 – – –Bromide 0.1 0.05 0.02 – – –Chloride 0.1 0.05 0.02 1 10 1,000Fluoride 0.1 0.05 0.03 – – –Nitrate 0.1 0.05 0.02 1 5 500Nitrite 0.1 0.05 0.02 – – –Phosphate 0.1 0.05 0.02 1 5 500Sulfate 0.1 0.05 0.02 1 5 500Aluminum 0.05 0.02 0.005 – – –Barium 0.05 0.02 0.001 – – –Boron 0.05 0.02 0.005 – – –Calcium 0.05 0.02 0.002 – – –Chromium 0.05 0.02 0.002 – – –Copper 0.05 0.02 0.002 1 2 500Iron 0.05 0.02 0.002 – – –Lead 0.05 0.02 0.005 – – –Lithium 0.05 0.02 0.003 – – –Magnesium 0.05 0.02 0.002 – – –Manganese 0.05 0.02 0.002 – – –Nickel 0.05 0.02 0.002 1 2 500Potassium 0.05 0.02 0.005 2 5 500Sodium 0.05 0.02 0.005 1 5 1,000Strontium 0.05 0.02 0.001 – – –Zinc 0.05 0.02 0.002 1 5 500

Table 2.2: Requirements for water used in the electronics and semiconductorindustry∗ [15]. The water types shown, except E-4, are used directly for processingsemiconductor components. Type E-4 water is used in the production of electronicgrade chemicals and indicates the purity degradation of cleaner grades after contin-uous exposure to the atmosphere in storage. The limit of pure water resistivity at25C is 18.18 MΩ-cm. Note that the units µg/L and ppb are equivalent.

∗Reprinted, with permission, from the Annual Book of ASTM Standards, copyright ASTM Inter-national, 100 Barr Harbor Drive, West Conshohocken, PA 19428.

18

Page 30: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

would be required in a day of processing. Ideally, clean water would be available

in both hoods but implementation of this would require the installation of a recir-

culating water system running throughout the room which, in turn, presents many

design difficulties. Because of the design complications involved with this decision,

the choice of where to install clean water dispensing ports is deferred to the design

discussion in section 2.2.

2.1.3 Services

The last elements that must be specified relate to the other support services for

the equipment to be installed in the room. This includes dry nitrogen, used for the

nitrogen blow guns in the two hoods and for the mask aligner and rapid thermal

annealer (RTA), as well as forming gas for the RTA, and compressed air to actuate

mechanical systems throughout the cleanroom. Additionally, low level vacuum is used

for mechanical purposes on the mask aligner, spinner, and RTA and cooling water is

required for the RTA, thermal evaporator, and cryopump compressor for the thermal

evaporator. Lastly, electrical power must be distributed as needed both inside and

outside of the cleanroom. A comprehensive list outlining all required services for all

equipment in the cleanroom is helpful when deciding the layout of piping and conduit

in the room.

For the gaseous services, cleanliness concerns must be addressed and specifications

made accordingly. The dry nitrogen used should meet all of the specifications for clean

air in the room as well as additional constraints relating to gaseous composition. As

the name implies, the gas should be “dry,” meaning that the contained water content

is low, and it should also be free of hydrocarbons and oxygen. Water and oxygen

19

Page 31: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

content present similar problems when nitrogen is used for the RTA. Oxidation at

the surface of the GaAs is known to degrade contact performance significantly and

elevated temperatures exacerbate this effect [16]. Carbon contamination, introduced

through hydrocarbons, also dopes GaAs and should be eliminated as much as possible.

As to the levels acceptable for processing GaAs, estimates vary. However, levels of a

few ppm of oxygen during annealing should be acceptable [17]. Similar, if not more

restrictive specifications exist for forming gas. Compressed air is not brought into

intentional contact with the sample, but some leakage from mechanical actuators and

stable tables will enter the cleanroom. Therefore, the air should be filtered of oil and

particulate content, so that its introduction into the cleanroom does not adversely

affect the process.

The total power needed for this 250 ft2 cleanroom exceeds the requirements of

a typical research and development laboratory space of similar size by a factor of

three [18]. The original electrical capability of the room is insufficient to support

the required air handling equipment and new power cabling must be installed to

provide the necessary current. The exact requirements are difficult to determine at

the specification stage without a design for the necessary air handling equipment.

Therefore, the total power requirement is decided recursively through the design

process. However, the power needed for processing equipment can be determined.

An effective way to sum the necessary power requirements is to break the process-

ing equipment into two categories: constant and intermittent loads. The constant

category establishes a base requirement for the absolute minimum power necessary

to operate the room. On final analysis, the average intermittent power requirements

for the 4029 cleanroom are small in comparison but, at this stage of estimation, quite

20

Page 32: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the opposite is true. When summing power requirements from equipment, “name

plate amps” will generally not provide a good estimate of the actual power required

on a continuous basis. Likewise, specifying that 10 outlets in the room have 20 A

service is not the same as specifying that 200A of current carrying capability must

be dedicated to duplex outlets in the room. Also, some equipment must be treated

separately from calculated averages. A good example for the 4029 cleanroom is the

RTA. On average, the power requirements for this unit are completely negligible for

ongoing load calculations. However, for approximately 3 seconds as the unit ramps

power to the arc lamp, the power demand for the RTA is greater than all the other

power demands for the cleanroom combined.

Summing power for the mask aligner, spinner, dry roughing pump, cryopump

compressor, and various smaller items such as diaphragm pumps and hotplates gives

an estimate of 4.0 kW of continuous load for the room equipment. Note that this

estimate does not consider the ’overhead’ load necessary to support the cleanroom

and this equipment, which will require many times this quantity of power. The two

predominant sources of intermittent load are the RTA, which draws almost 42 kW

of power at peak usage, and the thermal evaporator power supply which can require

as much as 17 kW of power. These estimates will provide a basis for a true power

requirement estimation later in the design process.

2.2 Design

Once the requirements are specified, the cleanroom design can begin. There are

published sources available to aid in cleanroom design but, for the most part, they are

geared towards the construction of large-scale, industrial, stand-alone enclosures and

21

Page 33: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

not small, academic, built-in rooms relying on obsolete building infrastructure [19,

20, 21, 11]. Often, the solutions which apply to a 5,000 ft2 room are impractical or

economically unreasonable to implement in a 250 ft2 built-in space.

Typical small area clean solutions consist of either a laminar flow hood or a

portable, soft wall unit in which a set of filter units are held up by a scaffold and

the area under the filters is isolated with a surrounding, vinyl curtain. Slightly larger

versions replace the curtain with a hard, acrylic wall, although the operating principle

is identical to the one used by the soft wall unit. These “single-pass” solutions are

adequate for a multitude of applications but they do not address concerns of tem-

perature and humidity control and, most importantly, no vented space is provided.

Additionally, the practically attainable cleanliness with these solutions is limited to

around class 100, provided that the final air filters are replaced annually for a typical

ongoing airborne particulate load.

Another solution is to hire a cleanroom contractor to design a room to the given

specifications. However, typical cleanroom designs are expensive, and the provided

solution will likely reflect this fact.

The last alternative is to design a room to specification independently. One of the

greatest difficulties with this approach is the lack of publicly accessible, published,

specific information that is applicable to such an endeavor. The methods, tools, and

techniques used by companies are usually considered proprietary and not publicly

disclosed. The best sources of information, aside from discussion with professionals,

are often found on the Internet. Unfortunately, due to the dynamic nature of that

medium, many sites accessed during the design process of the 4029 cleanroom are

now nonexistent.

22

Page 34: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The goal of this section is to follow the design process used for the 4029 cleanroom.

This course was taken through trial and error, combined with an investigation of how

existing techniques function, i.e. what about a given solution makes it applicable for

a clean environment. Then, the process was either duplicated, where necessary, or

simpler, less expensive, or more readily available alternatives were used, instead.

David Sarge, the Facilities Manager for the Pennsylvania State University’s Elec-

tronic Materials and Processing Research Laboratory, was hired as a consultant to

establish a base design for the room. A basic layout was proposed, in which fan filter

units (FFUs) would be installed in a suspended ceiling and the room walls would

be used as air return plenums. Additionally, estimates of the required cooling power

and airflow were determined. This provided a base design from which the final 4029

cleanroom eventually materialized.

2.2.1 Layout

There are three main types of flow patterns in cleanrooms. Non-unidirectional

flow or turbulent flow, as it is sometimes called, cleans a room through dilution of

airborne contaminants. Clean air is introduced from discrete sources, mixes with air

in the room, and reduces the average contamination level as the combined air is vented

from the clean space. In a pure unidirectional flow cleanroom, clean air is introduced

uniformly from one entire room boundary surface, usually the ceiling, and vented

from the opposite room surface. Contamination is pushed out of the room actively

as incoming air collects particles and drives them directly towards the room vent like

a piston. The flow is considered to be unidirectional as long as an airstream from

the originating boundary maintains a velocity within ± 20% of the average velocity

23

Page 35: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

at the originating boundary. This type used to be called laminar flow but that name

is falling out of favor since flow velocity has become recognized as a better indicator

of cleanroom performance than the Reynolds number. The third type is a mixed

flow, in which aspects of both unidirectional and non-unidirectional flow are present.

The definition of where the boundary between mixed flow and non-unidirectional flow

exists is subject to some debate. However, this issue will not be addressed, here.

In order to meet the requirements of a class 100 environment, the room cross-

section shown in figure 2.3 was chosen. Two cross sections are shown, illustrating

the intended flow pattern in two different sections of the room. In the ceiling, FFUs

draw air in from plenum space above the ceiling and force it through high efficiency

particulate air (HEPA) filters in the plane of the ceiling. These HEPA filters remove

99.97% of the particles in the air that are 0.3 µm or larger. The clean air is expelled

uniformly from the face of the FFU and flows down through the room. At the floor

level, the air is directed through vents to the wall space, where the air returns to the

ceiling plenum, mixes with new, processed air from the supply ductwork, and is drawn

through the FFUs, again. In the left half of the figure, where no hood is present, the

airflow is unidirectional over much of the vertical distance from the ceiling to the

floor and then is redirected near the floor. This unidirectional airflow is an important

aspect of maintaining the level of cleanliness in the room. On the right, in the vicinity

of a hood, the majority of air is drawn into the hood and exhausted at the roof. Some

of the air, however, does flow down the face of the hood and is redirected up the wall

as before.

This design was chosen because a narrow room provides unidirectional flow over

much of the vertical space from the ceiling towards the floor. A floor-ventilated

24

Page 36: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 2.3: Airflow in cleanroom cross-section. The two diagram halves shown indi-cate the flow in different sections of the room. On the left, where no hood is present,clean air delivered by the HEPA fan filter unit (FFU) flows downward uniformly,pushing dirty air down and over to the wall vents near the floor like a piston of air.The unidirectional flow pattern shown is necessary to maintain class 100 or bettercleanroom conditions. The contaminated air is then pushed up the wall space intothe ceiling, where it mixes with new supply air and is drawn through the FFU, again.The same principle applies to the schematic on the right, except that the majorityof the locally-produced air is drawn into the hood and vented to the roof. Note thatthe flow patterns shown are for illustration, only.

25

Page 37: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

cleanroom would be ideal for this purpose, but such a design could not be constructed

in the available vertical space in room 4029. In a large, “ballroom” type area, the

design shown would not be as effective, since air released in the center of the room

would have to travel a significant distance horizontally, carrying contamination, before

venting to the wall space. For this same reason, an alternate unidirectional flow

scheme in which clean air is introduced at one end of the long cleanroom and drawn

out at the other has significant drawbacks. The greatest of these is that contamination

introduced upstream in the room travels the length of the room before exhausting to

the plenum. For these reasons, the design shown was chosen as the best solution that

was workable in the available space.

Cleanrooms, in general, are often described in terms of either air changes per hour

(ACH) or downward airflow velocity in the room as an indication of room cleanliness.

The more appropriate descriptor depends on the airflow pattern in the room. If the

flow is truly unidirectional, then air velocity is the better indicator. A very tall uni-

directional flow room, for instance, may not have a high ACH value even though the

flow velocity, which drives the contaminants from the room, could be high. Higher

velocity is not necessarily better, since the flow pattern will eventually become tur-

bulent at high enough flow, in which case local contamination could move in the

opposite direction of the average flow velocity. For the values considered here, how-

ever, higher flow velocity generally indicates more efficient removal of contamination

from the room. In a non-unidirectional flow room, however, airflow velocity is a poor

descriptor due to the lack of a well defined flow pattern in the room. In this case, the

older, ACH designation is more appropriate. In a mixed flow room, both measures

can be used.

26

Page 38: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Class Airflow Average Velocity (ft/min) Air Changes per hour

100,000 N/M 1 - 8 5 - 4810,000 N/M 10 - 15 60 - 901,000 N/M 25 - 40 150 - 240

100 U/N/M 40 - 80 240 - 48010 U 50 - 90 300 - 5401 U 60 - 90 360 - 540

< 1 U 60 - 100 360 - 600

Air Changes per hour =average airflow velocity (over the whole supply ceiling)

× room area× 60 min/hrroom volume

N = non-unidirectional flow; M = mixed flow; U = unidirectional flow

Table 2.3: Air velocities in cleanrooms∗ [22]. The values shown here are a recom-mendation provided by the IEST that is used as a guideline in the semiconductorindustry. Note the wide range of velocities and ACH values for each class. Moreclean air will be required to meet a class specification as the rate of contaminationgeneration in the room increases due to personnel and equipment.

∗Copyright 1998 IEST. IEST-RP-CC021.1 Considerations in Cleanroom Design. Used by permis-sion, www.iest.org.

27

Page 39: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Table 2.3 is a reference published by the Institute of Environmental Sciences &

Technology (IEST) that is used by the semiconductor industry for determining an

approximate level of airflow to meet class specifications [22]. Complete FFU coverage

of the 4029 cleanroom is unnecessary to meet class 100 conditions and not all of the

room needs to be class 100. Since FFUs will be used in the ceiling, the average cubic

feet per minute (CFM) of air produced per FFU determines the average flow velocity

and ACH in the room. Using the Envirco Mac10 r© FFU as an example, a nominally

2’× 4’ unit will produce an average of 650 CFM. Using this unit, 51% to 100% of the

ceiling area must be covered with FFUs to obtain a class 100 environment according

to the average velocity criteria, or 41% to 81% using the ACH guideline. Because

the available area is limited and not perfectly divisible into blocks of FFUs, the FFU

coverage is limited to around 80%. In the class 1,000 area, 32% to 51% coverage is

recommended, based on the average velocity criteria, or 25% to 41% from the ACH

criteria. There is more freedom, here, to increase the percentage coverage of FFUs

but a target of 40% is adequate to meet the specification.

An anteroom is necessary to stage the progression from external space, which

contains roughly 1,000,000 particles per cubic foot of size 0.5 µm or larger, to the

cleanroom interior. There should be enough room for storage of the gloves, booties,

bouffant caps and suits that will be required in the cleanroom as well as room to

don them. The minimum size determined to be necessary for these tasks is roughly

4’ wide by 8’ long, with a 7’ high ceiling. Spaces smaller than this either lacked the

necessary storage space or did not allow freedom of movement to put on the gear.

The same guidelines for airflow that were used for the main cleanroom can be

applied to the anteroom as well. However, with a room this size, a single 2’× 4’ FFU

28

Page 40: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

provides an average airflow velocity of 22 ft/min or, more appropriately, 186 ACH,

which is more than adequate to meet class 10,000 specifications.

An illustration of the 4029 cleanroom layout as discussed here can be seen in figure

B.1. The division into two classes is shown, in addition to other general specifications

about the room. Although the separation of the room into two halves is somewhat

artificial, since there is no hard boundary between the sections, the flow pattern shown

in figure 2.3 is designed to effectively isolate one end of the room from the other. The

corresponding layout of FFUs in the space is shown in figure B.10 and a complete

layout of equipment placement can be seen in figure B.11.

2.2.2 Structure

There are two predominant methods of cleanroom construction used today. Modu-

lar cleanrooms, which are also known as prefabricated, consist of panels and structural

framework used as building blocks to assemble a complete cleanroom structure. This

construction approach is typically expensive to build, initially, but the modularity of

the design allows for easier future modification of the clean space, if necessary. Be-

cause the room elements are built at the manufacturer’s plant and assembled on-site,

construction proceeds quickly. However, the building block aspect of the modular

parts limits the design flexibility, somewhat.

The other method is site built construction, in which the entire cleanroom is

built in place from scratch. This method is sometimes also known as “stick built” in

reference to the wall studs (sticks) that are typically used. The initial construction

cost can be considerably lower than the cost of a modular cleanroom but both the

design and construction times will be longer. One of the greatest advantages of the

29

Page 41: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

site built approach is that the cleanroom designer has much more freedom to create

a design that functions within the constraints of the site environment. For reasons of

cost and design flexibility, the site built method was chosen for the 4029 cleanroom.

Framing

For the framing elements, galvanized steel C-studs are used instead of wooden

studs. There are many reasons for this choice. Bare, galvanized C-stud is suitable

for use in a return air plenum environment, whereas wooden studs would need to be

coated with epoxy paint or urethane to prevent the shedding of particles from the

surface of the wood. When the C-stud is cut for the purposes of panel mounting

or service penetrations, the resulting chips are large and quickly fall to the ground,

whereas sawdust from wood can remain airborne for some time. Additionally, the

smooth C-stud surface generates less turbulence in the return air plenum than a

rougher, wooden surface would.

To meet the requirements for the cleanroom, the C-studs should be sufficient to

withstand the pressure differential between the inside and outside of the room, usually

around 0.05” water column (wc), as well as provide the necessary structural support

for the ceiling grid [23, 24, 25, 26]. The stud should also be wide enough to allow

passage of the return air without excessive noise or vibration. A reasonable condition

for low noise flow is that pressure loss in the plenum be no more than 0.4Pa/m. These

conditions were all met by 6” wide, 18 gauge (0.043”), 33 kilo-pounds per square inch

(ksi) galvanized C-stud. One other parameter which should be considered is the

thickness of the zinc coating on the steel, since the expected working life of the stud

is proportional to the zinc thickness. Typical values range from 0.004” to 0.007”

thick. Although the C-studs may be covered with a light coating of machine oil when

30

Page 42: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

delivered, it can be removed by washing the studs in a warm solution of trisodium

phosphate (TSP) in water, followed by a clean water rinse.

Walls

The exterior walls of the cleanroom maintain the raised pressure of the room

interior and, as such, must be clean, rigid, and airtight. Extruded aluminum panels

with an interior, honeycomb structure are often used for this purpose, especially in

modular cleanrooms. The panels are painted with epoxy to protect the aluminum

surface. However, these panels are often quite expensive. An alternative used for

the 4029 cleanroom is 1/2” thick birch plywood panels sealed with spar urethane.

The spar urethane creates a hard, watertight, non-shedding, abrasion-resistant finish

on the wood. The finish can be applied to the panels before they are installed, as

long as both sides of a panel are coated simultaneously, to avoid warpage. Lightly

sanding the panels with 400 grit sandpaper and removing the dust with a damp cloth

before applying the spar urethane reduces the surface roughness. At installation, the

plywood panels can be sealed to the C-studs with silicone caulk.

Because of the position of the cleanroom, two of the exterior cleanroom walls

and the top of the ceiling plenum are defined by the walls and ceiling of room 4029,

itself. To seal the block and concrete in this area, an epoxy paint is used, Carboline

Multi-gard r© 954 HB. The existing walls are cleaned with a TSP and water solution

and rinsed thoroughly with clean water. Then, the epoxy is applied with rollers. The

result is a smooth, non-shedding, pressure-sealing boundary for two exterior walls

and the room ceiling.

Extruded aluminum walls are typically used for the interior cleanroom panels as

well but, as before, cost can be a significant issue. One alternative is to use regular

31

Page 43: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

gypsum wallboard (drywall), made of paperboard bonded to a core of gypsum plaster,

CaSO4 · 2H2O. The paper and plaster are buried under a protective coating of epoxy

and, when penetrations through the wall must be made, the exposed drywall is sealed

off with aluminum tape. Although this is certainly an inexpensive solution, extreme

precautions must be taken whenever the drywall is cut, as the dust size produced from

broken gypsum plaster ranges from macroscopic to around 1 µm. A HEPA filtered

vacuum cleaner must be used to collect dust as the panel is cut. Also, any unnoticed

cracks or defects in the drywall could provide a source of particles indefinitely.

Instead, architectural panels were used for the 4029 cleanroom. These panels,

Citadel Architectural Product’s Panel 20 r©, consists of 0.024” aluminum sheet bonded

to either side of a 0.075” thick thermoset phenolic resin core. The exposed aluminum

is coated with a form of polyvinylidene fluoride (PVDF), a strong and highly chemi-

cally resistant fluoropolymer, and the opposite face is coated with a smooth primer.

Although originally designed to be exterior cladding for office buildings, this paneling

has several features that make it an attractive choice for interior cleanroom walls.

The chips of phenolic and aluminum that result from cutting the panel are large and

rapidly fall to the ground instead of creating a fine dust. The PVDF coating will resist

even bromine, a highly caustic chemical used in sample processing. Also, the panels

are installed with a molding system that allows individual panels to be removed, if

necessary, without disturbing adjacent panels.

Flooring

Flooring the 4029 cleanroom proved to be especially troublesome because the orig-

inal tile in the room is bonded to the floor with a black mastic containing asbestos.

32

Page 44: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Removal of the tiles would be a time-consuming and expensive process. Two alter-

native solutions were to either cover the floor with epoxy, embedding the tiles in the

process, or to cover the tiles with continuous vinyl sheet flooring. The epoxy solution

was chosen but this decision lead to considerable problems later. The epoxy did not

adhere to the tile well and, over the next several months, it split, chipped, and sep-

arated from the tile. Eventually, the clean room had to be cleared of all equipment

so that the flooring could be replaced. The continuous sheet vinyl flooring used to

recover the floor is Armstrong Medintech Tandem r© which performs very well in the

cleanroom environment. The seams of the flooring are welded together, creating a

continuous sheet over the entire floor.

Ceiling

An array of carefully positioned Unistrut r© channels is attached to the 4029 ceiling

with masonry fasteners. This provides a mounting point for rod and turnbuckle

supports for the ceiling grid as well as an anchor for piping and electrical conduit

to run along the ceiling. This mounting scheme removes the need to drill into the

concrete ceiling to mount services later in construction or minor expansion, reducing

the production of concrete dust.

Since each FFU weighs 63 lbs, the ceiling grid must be much stronger than typical

grid used in drop ceilings to support the weight. The grid should also utilize an

internal gasket system, so that the weight of the FFUs and lights will compress the

gasket and prevent leakage of contamination from the ceiling plenum space down

into the clean space. In the class 100 area of the cleanroom, the required density of

FFUs leaves no room for standard-size, gasket sealed 2’× 4’ lighting fixtures to be

installed. Therefore, there must be some way to mount low-profile lighting fixtures

33

Page 45: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 2.4: Cleanroom shell before interior panel and ceiling installation. Severalof the structural design aspects of the cleanroom are shown here. C-stud framingsupports the plywood exterior panels shown on the left, exterior wall. The whitewalls and ceiling have been painted with epoxy to seal the block and concrete. Theduct distribution system is partially visible at the ceiling, and the two exhaust ductsare ready to be connected to the hoods after the room interior is installed. All pipingand electrical conduit run flush to either the Unistrut bars attached to the ceiling,or to the C-stud above the height of the interior ceiling. The epoxy floor shown herewas later replaced with vinyl sheet flooring. This photograph was taken in June of2000 by Tom Gramila.

34

Page 46: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

on the grid, itself. There are grid systems designed to incorporate lights directly in

the grid members but such systems could not be easily used due to space restrictions

in the room. The grid type chosen is the Gordon DS-20 r© system, which consists of

inverted tee extrusions. The vertical part of the extrusion is split into two halves to

allow an electrical wire to pass through the center of a tee and power a “teardrop”

light mounted on the cleanroom side of the grid. This teardrop fixture presents a low

profile lighting solution by aligning a single fluorescent bulb along a ceiling grid tee,

essentially having no more impact on airflow than the grid, itself.

All lights in the room must be masked with filters to limit the spectrum of light

emitted. The photoresist used in the lithography process is sensitive to wavelengths

shorter than 480 nm, so light filters such as Imtec Resistgard r© Gold Shields are used

to cover all fluorescent bulbs in the room. These shields limit the spectral output of

the bulbs to wavelengths longer than 530 nm, allowing enough yellow light through to

work effectively, while still protecting the photoresist used in processing. Film with a

500 nm spectral limit, YG10 Gold from EncapSulite r©, is also used to cover windows.

The amount of light required is usually somewhat higher in laboratory space as

compared to an office environment. An average lighting level of 70 - 80 footcandles is

generally sufficient in most areas and, in areas where close inspection is made under

ambient lighting, 100 footcandles or more may be appropriate. In the 4029 cleanroom,

the lighting distribution used provides more light in the class 1,000 section than in the

class 100 section by a factor of almost 2. In the lithography section, most inspection

is made under microscopes, which provide the necessary lighting.

Ceiling grid openings that are not occupied by either a light fixture or FFU, at

the perimeter of the room, must be covered with a ceiling tile. Although cleanroom

35

Page 47: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

ceiling tiles are available, cut sheets of wall paneling provide a more durable surface

and are more easily installed and removed when repairs or modifications in the ceiling

plenum are necessary.

Other Aspects

Standard, 3’ wide, heavy-gauge steel doors can be used for the entrances to the

anteroom and cleanroom but they must be epoxy painted to provide chemical pro-

tection and prevent rusting of the door. At no time should both doors be opened

simultaneously, as the room would immediately lose pressurization, allowing contam-

ination to flow directly into the room. Using doors with glass panes to allow a line

of sight between the anteroom doors provides a simple way to avoid such an event.

Before opening either door, an individual can observe whether the other door is open

or not. Spring-loaded hinges ensure that doors remain normally closed and are left

open no longer than is required for entrance and egress. Lock down gaskets at the

bottom of the doors, combined with a gasket around the perimeter of the door frame,

are necessary to keep the room pressurized, as well. Some equipment, such as the

RTA and the hoods, will not fit through the doorframe. Therefore, a second access

panel, made of 1/2” thick clear acrylic, is installed in a 4’ wide frame at the end of the

cleanroom. This panel is not designed for regular access and its rare use requires that

extra precautions be taken, since there is no room pressurization as long as the panel

is removed. When normally in place, the panel also provides a measure of safety for

cleanroom users, who can be observed from the outside.

The hoods used form an integral part of the structure because of their size relative

to the overall room dimensions. Occupying 73”× 32.75” of floor space each in the class

100 section of the room, they could present a serious obstacle towards establishing

36

Page 48: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

unidirectional flow in the cleanroom. To address this issue, the height of the hoods

extends into the ceiling so that the ceiling grid can be constructed around the hood

perimeter, as shown in figure 2.3. This way, HEPA FFUs can be installed on all sides

of the hoods, as shown in figure B.10, allowing airflow to follow the vertical sides of

the hoods, instead of creating turbulence at a top edge. The hoods are constructed

out of polypropylene, which is resistant to all the acids and solvents used in processing

except bromine, which will mildly attack polypropylene. For this reason, PVDF liners

are installed over the hood deck to prevent corrosion of the surface. The hoods are

also designed to allow air to flow under the deck to return air grilles in the wall.

This allows some air to still flow downward, carrying away contaminants from a user

seated at the front of the hood.

2.2.3 Air Handling System

Providing, monitoring, and controlling air to the cleanroom is the most complex

aspect of the room design. At a minimum, the heating, ventilation, and air condition-

ing system (HVAC) must maintain the safety of the cleanroom occupants by keeping

the hood face velocity above 80 ft/min, meet the specified cleanliness requirements,

hold the temperature at 68 F to within ± 1 F, and keep the water content in the

air between 40% and 50% relative humidity. The system must respond to changes

in both the heat load within the cleanroom and the humidity of makeup air drawn

from the building supply, both of which can change rapidly. The air handling system

is designed to meet these challenges, but there are limitations to what it can control.

This subsection discusses the specification, operation, and limitations of the system

beginning with its core, the central cooling unit.

37

Page 49: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Central Cooling Unit

The room is maintained at the relatively cool temperature of 68 F so that users,

who will be wearing spun, high density polyethylene (Tyvek r©) “bunny suits” over

their normal clothes, will be reasonably comfortable. The 45% relative humidity

level is chosen as an optimal compromise between an extremely dry environment, in

which electrostatic discharge can damage samples and equipment, and a high humid-

ity environment, which is more corrosive to metals, promotes bacterial growth, and

degrades photoresist performance. To stay within these design parameters, the total

heat transfer to the cleanroom air from both the cleanroom and the incoming makeup

air must be considered.

First, the average sensible heat load applied to the system from the room must be

determined. Applied to the context of HVAC systems, the sensible heat is the heat

energy added to the air which raises its temperature, as opposed to latent heat, which

changes the energy in the air through water content, without altering temperature.

The total sensible heat from the room is the sum of continuous loads and the time-

average of intermittent loads. Continuous loads are considered first, because they

dominate the sensible heat load on the cooling system. Since the English system of

units is used in the United States HVAC industry, British Thermal Units pre hour

(BTUh), are used to describe power, where 3,414 BTUh = 1 kW.

FFUs: 14,900 BTUh The 14 FFUs used in the cleanroom account for almost half

of the total sensible heat load.

38

Page 50: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Lighting: 7,000 BTUh A common formula used to estimate lighting heat load is

to sum the wattage of all the bulbs used and then to add 25% of this value for

ballast heat.

Air handler motor: 5,100 BTUh Use the horsepower of the motor to determine

the heat generation. If the motor horsepower is unknown, a reasonable estimate

is that 1 horsepower will be required for every 1,000 CFM of air run through a

fan [21].

3 people: 1,000 BTUh 3 people approaches the upper limit on the expected room

occupancy, but the heat load estimation assumes moderate to low activity.

Equipment: 8,000 BTUh This estimate for both the continuous and intermittent

equipment loads is the most difficult to make. One estimation method is to

follow the processing procedure in terms of heat generation. Consider all the

equipment that will be used and determine an average heat load based on that

process.

Total: 36,000 BTUh Power for cooling units is typically described in tons, where

12,000 BTUh = 1 ton of cooling power. Therefore, approximately 3.0 tons of

sensible heat load are generated in the cleanroom on average.

The makeup air directed into the room must maintain the minimum face velocity

required for the hoods as well as keep the room pressurized above ambient air outside

the cleanroom. The minimum safe velocity at the face of the hoods, to ensure that

chemical vapors do not flow back into the cleanroom space, is 80 ft/min [14]. So,

enough new air must be introduced into the room to maintain this value. There

39

Page 51: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

are two hoods in the room, each with a length of 6’, and the minimum sash height

required for working purposes is 15”. From these dimensions, a volume flow rate of

6’× 1.25’× 80 ft/min = 600CFM of makeup air is required for each hood.

Room pressurization is necessary so that clean air leaks out of the cleanroom space

and contaminated air is not drawn in. Typically, 0.02 - 0.05 inches of water column

(wc) of room pressurization is sufficient. In order to keep the room pressurized,

supplementary air must be delivered to the room. This pressure can be obtained by

introducing an additional flow of makeup air at a rate of 2 air changes per hour [21].

This quantity of makeup air will be adequate as long as there are no significant leaks

in the pressure barrier of the room. Seal all penetrations into the space with silicone

caulk and install gaskets around all four edges of doors, including lock-down gaskets at

the bottom edge. The room volume considered for the calculation of supplementary

air should be the total volume of the pressurized space and not just the volume

of the room interior. From the main room exterior dimensions of 24’× 11’× 11’ =

2,900 ft2 in addition to the anteroom exterior volume of 4’× 9’× 9’ = 300 ft2, the total

enclosed volume is 3,200 ft2. Therefore, at 2 air changes per hour, 100 CFM of air

must be added to pressurize the room. In total, then, at least 600CFM + 600CFM

+ 100CFM = 1,300CFM of makeup air must be drawn into the room.

Because the cleanroom is housed in a 50 year old building that was not designed

to support such a facility, processing the required air for the cleanroom is particularly

challenging. Because there is no direct source of outside air available in room 4029 and

no simple way to install ductwork to the outside air, the building HVAC processed

air must be used. The greatest advantage of this approach is that, because the

air is already cooled to a temperature of 52 F, less cooling power is required for

40

Page 52: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the cleanroom than would otherwise be necessary. However, there are substantial

disadvantages associated with this scheme.

The building HVAC system is designed to make the building environment comfort-

able and to provide some air for small hood exhaust systems. From the 10” diameter

circular duct supplying room 4029, it was designed to flow around 400CFM of air,

which creates a pressure loss of approximately 0.1”wc per 100’ of straight duct. For

the 1,300CFM needed for the cleanroom, however, this pressure loss increases by

a factor of almost 8. By drawing this much air out of the supply, the pressure in

the ductwork leading up to the cleanroom fan drops below the pressure of ambient

air. At this negative pressure, leakage points in the duct allow additional contam-

ination from the surrounding air to be drawn in which must be filtered out before

allowing the air into the cleanroom. This requires more filtration, more fan power,

and, therefore, more cooling capacity than would otherwise be necessary with positive

pressure airflow. To compound this issue, the cleanroom is completely reliant on the

function of the building HVAC system. If the building system fails in some respect,

the cleanroom could lose control of temperature, humidity, or even room pressuriza-

tion and hood face velocity. For these reasons, extreme caution should be exercised

when designing a cleanroom to rely on pre-conditioned air. At best, the cleanroom

functionality will be as reliable as the the air handling equipment which supplies it.

The parameters of temperature and humidity are intimately related and should

be considered concurrently in cleanroom design. All of the air entering the cleanroom

enclosure issues directly from a blower which mixes two air streams. One stream is

the makeup air which, in the summer, enters the room at 52 F and 100% relative

humidity and the other stream is the air which is drawn from the cleanroom in a

41

Page 53: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

recirculation duct and passed through the cooling unit. A schematic showing the

ductwork layout can be seen in figure B.6. The combined airstream must have the

capacity to absorb the sensible heat load and have a dewpoint in the acceptable

range for the cleanroom while still providing enough air for the hood exhaust and

room pressurization.

The dewpoint specification for the air entering the cleanroom can be determined

directly from the dewpoint specification inside the cleanroom. In the summer, a

large fraction of cooling power must be devoted to removing water introduced from

the makeup air duct. Water produced inside the cleanroom through wet processing

and user respiration is negligible in comparison and is safely ignored, here. At the

limit of acceptable water content of 68 F, 50% relative humidity, assuming that the

temperature is held on target, the cleanroom air has a dewpoint of 48.7 F. All of

the active water removal occurs at the cooling coil in the recirculation duct from the

cleanroom. Air reaching this coil cools below its dewpoint to the coil exit temperature,

condensing excess water onto the cooling coil. The air then exits the coil saturated

at the new, lower temperature. Because both the makeup air and the coil exiting air

will be saturated with water and at different temperatures, some fog will be produced

when they combine at the mixing fan, as can be determined from a psychrometric

chart. To account for the corresponding slight change in temperature and humidity,

the mixed flow entering the cleanroom has a maximum dewpoint specification of

48 F.

However, cooling the room with saturated air at 48 F will not meet both the

temperature and humidity specifications. The room heat load must be balanced by

42

Page 54: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the heat capacity of this mixed, incoming air, according to

qroom = mCp(troom − tmixed) =f

vs

Cp(troom − tmixed),

where q is the sensible heat load, m the mass, Cp the specific heat at constant pressure,

f the volumetric flow and vs the specific volume of the mixed air, defined as the inverse

density. The required flow of mixed air in cubic feet per minute (CFM) can then be

determined from

36, 000 BTUh =

(f 60 min

hr

12.9 ft3

lb

) (0.245

BTU

lb F

)(68 F− 48 F) ,

where BTUh are British thermal units per hour. Solving for the flow, f = 1,580 CFM,

which means that flow across the cooling coil is only 1,580 CFM - 1,300CFM =

280CFM. In order to sustain this small of a flow and meet the necessary cooling

requirements, the exit temperature from the cooling coil would have to be 29 F,

in which case ice would form on the cooling coil, blocking the flow and potentially

damaging the cooling unit. The operational specifications must be defined to prevent

the coil from freezing. Therefore, a heater must be added after the cooling coil, so

that the flow across the coil can be increased.

To meet both the cooling and dehumidifying requirements, a 3 ton Liebert Mini-

Mate II cooling system was chosen with an integral heater after the cooling coil. This

is a common system used for computer room cooling applications in which the load

is almost entirely sensible heat. The maximum sustainable flow through the unit

is approximately 1,250CFM of air, as determined by the maximum face velocity of

the cooling coil. Although the unit nominally provides 3 tons of cooling power, the

actual cooling power provided depends upon the volume flow rate through the unit

and the temperature and humidity of the incoming air before it crosses the cooling

43

Page 55: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

coil in the unit. For example, at a flow rate of 1,250 CFM of air at 50% RH, the total

cooling power increases with temperature at approximately 1.5%/F, reaching 3 tons

of cooling power with an incoming air temperature of 78 F.

The sensible heat ratio (SHR) also changes with the incoming air. Defined as

SHR = Qs/QT , where Qs is the sensible heat cooling capability and QT is the total

cooling capacity of the unit, the SHR increases with flow across the cooling coil. As

a result, even though the total cooling capacity is higher at higher airflow, the latent

cooling capacity drops. To maximize the amount of water removed from the air, the

flow must be drastically reduced below the cooling unit’s normal operating airflow

range.

Reduction of the flow velocity across the cooling coil means that the air exiting

the coil is colder and drier than it would be at higher flow rates. However, the mass

flow of air is, of course, reduced as well. The optimal flow for maximum water removal

depends on the specific relationship between latent heat removal and airflow across the

coil. This relationship is defined by the coil contact factor β = (hin−hout)/(hin−hc),

where h represents the enthalpy of the air. Air enters the coil with enthalpy hin

and leaves with hout. The coil, itself, is at the temperature of saturated air with

enthalpy hc. Perfect coil efficiency at removing water would be indicated with a

contact factor of 1, in the limit of infinite coil area and zero flow rate, in which case

the air temperature leaving the coil matches the temperature of the coil itself.

For the cooling unit used for the 4029 cleanroom, the highest water removal rate

occurs when the airflow is at the lowest sustainable level which does not freeze the

cooling coil. As the flow rate decreases, less heat is removed from the coil, as described

earlier, and the equilibrium temperature of the coil decreases as well. In practice, the

44

Page 56: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

lowest stable exit temperature from the Liebert unit is approximately 38 F. Below

this temperature, fluctuations cause the coil temperature to drop below the water

freezing point of 32 F at which point ice forms on the coil. This, in turn, reduces the

flow further and lowers the coil temperature. The positive feedback continues until

the coil is completely blocked with ice and the system fails.

The required airflow through the coil can be determined if a specific exit temper-

ature is assumed. This calculation assumes that 1,300CFM of incoming makeup air

is introduced saturated at 52 F and combines with air exiting the coil saturated at

38 F to produce cleanroom air at 68 F and 50% RH. This condition corresponds to

a set of measured parameters for the room, although the degree of saturation of the

air exiting the cooling coil may be less than 100%, due to intentional reheating of the

air. This point will be addressed later in the discussion. It is worth noting that if the

exit air temperature were higher, e.g. 40 F versus 38 F, the required airflow would

be correspondingly larger, as would be the required cooling power.

The specific humidity of the cleanroom air, i.e. the ratio g of water mass to dry

air mass in a volume of air, can be described by the average of the specific humidities

of the makeup air and the exiting coil air, weighted by their respective volumetric

flows, f .

gr =fmgm + fcgc

fm + fc

where the subscripts r, m, and c refer to the cleanroom return air, makeup air, and

coil exit air, respectively. Therefore,

fc = fmgm − gr

gr − gc

45

Page 57: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

which can be solved by using the g values for the stated conditions as determined

from a psychrometric chart.

fc = 1, 300 CFM0.00823− 0.00726

0.00726− 0.00480= 510 CFM

At this low of a flow across the cooling coil, the total capacity of the cooling unit is

drastically reduced. The total cooling power can be determined from the change in

the enthalpy of this air crossing the coil.

qremoved = mc(hr − hc) =fc

vs

(hr − hc)

qremoved =510 CFM 60 min

hr

12.6 ft3

lb

(24.2BTU

lb− 14.3

BTU

lb) = 24, 000 BTUh = 2.0 tons

So, the nominally 3 tons of cooling for the coil is reduced to only 2 tons under these

operating conditions. Importantly, no reheating power was taken into account in

this calculation, which may be required to meet the temperature constraint in the

room, rather than the humidity requirement. This is accomplished by reheating the

air leaving the coil which does not affect its moisture content but does yield a lower

relative humidity. However, reheating can exacerbate the difficulty introduced by the

reduced cooling capacity, thereby also influencing the choice of an appropriate cooling

unit.

If the flow exiting the coil and heater has a lower water content, then a lower flow

is required to maintain the measured humidity content in the room. As discussed

earlier, as the flow through the coil decreases, the total cooling capacity drops as

well. This illustration demonstrates the crux of the difficulty in maintaining the

cleanroom environment within specification during the summer months. Maintaining

temperature and humidity within tight tolerances with high exhaust flows requires

46

Page 58: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

a tremendous amount of energy which must be applied appropriately to achieve the

desired results.

Three solutions can be used to combat this problem. The first and most direct

approach is to include a desiccant wheel in the incoming air duct, a technique widely

used in the semiconductor industry. A honeycombed wheel is slowly rotated through

the incoming air where it absorbs water into a silica gel desiccant material. As the

wheel rotates out of the air stream, hot exhaust air is passed through the wheel,

removing the water and regenerating the desiccant to pass through the incoming air,

again. The total enthalpy of the air stays fairly constant, but latent heat energy is

exchanged for sensible heat energy and the air emerges from the wheel hotter but with

less water content. This method is required for most cleanrooms that must maintain

year-round humidity levels below 30%. For smaller academic cleanrooms, however,

this solution is usually impractical. A large quantity of hot, dry air must be available

which can be exhausted out of the room. Additionally, the financial and space costs

of implementing this solution can be substantial.

A second approach is to use a dedicated dehumidification coil in the incoming air

duct. This solution can be nearly as effective as a desiccant wheel without the same

degree of overhead associated with installation and maintenance. However, a large

volume of space is still necessary, since the area of the coil face must be large enough

to obtain a high contact factor without increasing the impedance of the airflow to too

great an extent. The cost of this solution is almost invariably lower than the total

cost of installing a desiccant wheel. However, a complete cooling system must still be

installed in parallel with the main cooling system for the room.

47

Page 59: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The third approach is to simply use a larger evaporation coil in the main cooling

unit. With a larger coil face area, more air can be passed at a higher temperature

and dewpoint to maintain the environment in the cleanroom. This approach permits

greater control latitude with more efficient operation without major modification of

the existing design. This approach is, perhaps, the lowest cost, most compact, lowest

impact solution of the three suggested here if it is implemented at the design and

construction phase of a cleanroom project.

The difficulties discussed here are due primarily to the fact that the cooling unit

used was not designed to serve a cleanroom. Problems are likely to be encountered

when using a computer room cooling unit for a tightly controlled environment in which

a large quantity of air is being exhausted from the room. These units are typically not

designed for such applications and their adaptability to the task is limited, even with

considerable modification. In large, industrial cleanrooms, each individual element

of the HVAC system may be specified individually so that each part has a limited,

specific task to accomplish. Small, academic cleanroom environments may benefit

from a similar approach, even if the initial costs are higher. At a minimum, however,

the manufacturer of a cooling unit should be consulted to determine if a specific unit

will meet the requirements for the intended application. Both sensible and latent heat

removal capability at the designed flows should be specified. This important step will

likely prevent costly and frustrating modifications to the cooling and dehumidification

system after the cleanroom is constructed.

Feedback Control

The tight tolerances for temperature and humidity in the cleanroom, as well as the

necessity of maintaining room pressure and hood face velocity, require that several

48

Page 60: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

active feedback controls be implemented to regulate these parameters. The details of

each feedback control loop will not be discussed here. However, it should be noted

that extra precautions are necessary to avoid instability due to the short and, often,

closely matched timescales involved with air circulation.

The feedback and control systems for airflow, temperature, and humidity are

shown on the flowchart in figure 2.5. In general, airflow follows the central line down

the flowchart, passing from the building air supply at the top to the roof exhaust

fan at the bottom. There are three main control loops which affect the airflow in

the cleanroom. The first loop controls the amount of makeup air introduced into the

room by sensing airflow with a pitot tube and controlling a baffle at the inlet duct.

Next, water is added to the incoming air as needed according to a humidity sensor in

the cleanroom. Last, the temperature is controlled through a feedback loop between

a temperature sensor in the cleanroom and a heater array mounted after the cooling

coil in the central cooling unit. Each of these loops will be discussed below.

The airflow from the building air supply is monitored using a simple averaging

pitot tube, made from two stainless steel tubes, as shown in figure 2.6. A set of

holes on one tube faces upstream and a matching set of holes in the other tube faces

downstream. The concept behind pitot tube operation is that the air velocity passing

the pitot can be determined from the pressure differential between two measurement

points. A short derivation from the Bernoulli equation illustrates this behavior. Along

any streamline in an incompressible gas flow, the fluid pressure and velocity are related

by

1

2ρV 2 + ρgh + p = C

49

Page 61: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 2.5: HVAC air processing, monitoring, and feedback control flowchart. Ingeneral, the process flow is from the building supply air at the top of the chart to theroof exhaust at the bottom of the chart. Because the system draws more air thanthe building supply duct was designed to provide, the section of ductwork from thebuilding supply air to the blower and mixing box is at a negative pressure relative tothe ambient air outside of the ductwork. The HEPA bank which follows the blowerand mixing box is designed to filter out contamination drawn into the ductwork fromambient air in this negative pressure section of ductwork, rather than overtaxing thefiltering capabilities of the HEPA FFUs. Note that the original Liebert feedbackcontrol system has been replaced by independent feedback loops for temperature,humidity, and airflow control.

50

Page 62: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

where the fluid of density ρ has velocity V at pressure p and height h. The acceleration

of gravity is g and C is constant along the streamline. Consider a streamline that

lies exactly on the boundary that separates the flows which pass on either side of

the pitot tube. This streamline reaches the pitot tube at a “stagnation point” where

the flow velocity stops. Comparing this point (s) to a point far upstream (u) on the

streamline,

1

2ρv2

u + ρghu + pu =1

2ρv2

s + ρghs + ps.

If the heights of these two points are the same and the velocity at the stagnation

point is zero, then

1

2ρv2

u + pu = ps

and

vu =

√2(ps − pu)

ρ.

Although the downstream port on the pitot tube is not at a point far upstream, it

does measure the static pressure, or slightly below it, in the airstream. Therefore, a

measurement of the pressure difference between the two tubes is proportional to the

square of the air velocity.

A long section of ductwork is usually needed for installation of a pitot tube. A

rough guideline is that at least 5 duct diameters upstream and 3 duct diameters

downstream of the pitot should be straight and unimpeded to allow the flow to sta-

bilize. Unfortunately, this space is not always available, but by using the averaging

pitot tube geometry shown in figure 2.6, a more stable average of the total flow is

obtained. The absolute value of the measured pressure differential is not important

for this application because the flow will be held at a constant value. The pitot tube

is calibrated by measuring the pressure differential at the required flow of 1,300CFM,

51

Page 63: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 2.6: Averaging pitot tube installed in duct. This pitot tube is used to monitorthe incoming airflow to the cleanroom to provide feedback to the linear actuator shownin figure 2.7. Pairs of holes are drilled into the independent tubes directly opposingone another. One hole faces upstream and the other faces downstream. Hole positionsfrom one duct wall are shown in inches. The pressure difference between the two tubesis proportional to the average of the square of the airflow velocity at the position ofeach pair of holes. This averaging pitot tube provides a more stable indication of theaverage airflow than a single point pitot tube measurement. The averaging geometryis also less susceptible to changes in the incoming flow pattern.

52

Page 64: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 2.7: Linear actuator used to regulate incoming airflow. The pressure differ-ential measured by the averaging pitot tube is monitored by a control circuit whichprovides time-proportional feedback to the linear actuator shown. The lighter imagesindicate full-open and full-closed positions of the control baffle

as determined independently using a hot wire anemometer, and the flow is controlled

to maintain that measured pressure.

Incoming airflow can then be regulated by using a linear actuator controlled baffle,

as shown in figure 2.7. The pressure from the pitot is measured by a low differential

pressure transducer which relays the measurement to a proportional, integral, differ-

ential (PID) feedback process controller. the controller actuates two solid state relays

(SSRs) through time-proportional control which drive the linear actuator shown to

extend or retract, adjusting the flow baffle. This system provides a stable, controlled

airflow into the cleanroom that automatically adjusts for pressure changes in the

supply duct.

The temperature inside the cleanroom can be most accurately controlled by main-

taining a constant level of cooling power and then applying heat to the air to raise

53

Page 65: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

its temperature to the required level. The cooling power is provided by the cooling

coil and makeup air, as described earlier, and heat is applied through a heater array

in the main cooling unit. This heater is controlled by a feedback process controller

which takes the temperature as measured by a sensor in the cleanroom as input.

The controller then actuates relays which energize the heater in a time-proportional

manner. The 8 kW heater coil supplied with the Liebert Mini-Mate II is more than

sufficient to provide the needed heat.

Although large quantities of water must be removed from the air during the sum-

mer to maintain the humidity specification, water must be added during the winter

to prevent the cleanroom humidity from dropping below the specified range. In the

winter, the incoming air temperature is still 52 F, but instead of being saturated, the

water content can drop to a quarter or less of the amount supplied in the summer,

depending on the local weather. Typically, for the purposes of calculating water to

be added in the winter, the makeup air is assumed to be completely dry. Under this

assumption, the required water to be added can be calculated as

W =fm

vs

gr

where W is the rate that water needs to be added, fm is the flow rate and vs the

specific volume of the makeup air and gr the specific humidity of the cleanroom air.

Therefore,

W =1, 300 CFM

12.9 ft3

lb

(60

min

hr

)0.00653 = 40

lbs

hr

of water must be added to the incoming air. To meet this demand, a steam-generating

humidifier with a capacity of 44 lbs/hr is used. The water which feeds the humidifier

must be purified so as not to introduce contamination into the incoming air through

54

Page 66: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the steam delivery system. A humidity sensor in the cleanroom provides a signal

to the humidifier which, in turn, heats the water through time proportional control

of SSRs. the steam generated then flows through insulated tubes which terminate

in delivery wands which extend into the supply air duct. The work of installing this

system for the 4029 cleanroom was largely completed by Gokul Gopalakrishnan, later

aided by Yuko Shiroyanagi.

2.2.4 Type E-1 Water

The production of type E-1 clean water occurs in three main stages [15]. The first

stage removes most of the incoming contamination and prepares the water for further

purification. Rough sediment is removed and the water is filtered and deionized to

a water quality level of E-4. The second stage continues purification by removing

organic contaminants and further reducing ionic contamination. The resulting water

purity from this section meets E-3 purity standards and can still be stored in plastic

vessels, as long as appropriate measures are taken to inhibit the growth of biological

contamination. The third and final stage “polishes” the water to the level required

for use in the cleanroom, E-1. Traces of ionized, organic, biological and, finally,

particulate contamination are removed just before use.

Distribution of the clean water through the room requires careful choice of piping

materials and flow patterns to avoid degradation of water quality before it reaches the

point of use. There must be no sections of piping in which the water is not constantly

circulating, and the water must be continually polished due to the inevitable, rapid

degradation of water quality. The piping used must be made of a high purity plastic

such as PVDF, with welded seams. Virgin polyethylene or polypropylene can also be

55

Page 67: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

used successfully but more care is required with piping made from these latter mate-

rials because they lack the structural rigidity of PVDF and require more structural

support. An important point to note, here, is that these issues exist because the

water must be distributed to more than one point of use, i.e. both of the two hoods.

However, if clean water can be distributed from a single point of use, the problem of

piping processing water is largely eliminated. After careful consideration, it became

apparent that a recirculating water system would be difficult and expensive to install

and, ultimately, unnecessary for the purposes of the cleanroom.

A relatively simple, effective solution is to purchase a water purification system

designed for a single point of use (POU) and have water available in only one hood.

For the 4029 cleanroom, a Millipore system was chosen consisting of only three com-

ponents. An Elix r© unit accepts tap water that has been passed through a sediment

filter and produces 10 - 15MΩ-cm water with a TOC of < 30 µg/L, thus combining

the first two stages of water purification mentioned earlier. The water is softened and

filtered, purified through reverse osmosis, and finally cleaned through electrodeion-

ization. This product water is then stored in a 60L polyethylene tank, the second

component of the system. Growth of bacteria while in storage is inhibited by peri-

odically exposing the water to 254 nm ultraviolet light. At the moment clean water

is required in the cleanroom, water is drawn from the storage tank into a Milli-Q r©

polishing unit. The primary advantage of this approach is that a small recirculation

line is built directly into the unit, eliminating the need for an external recirculation

loop. Additionally, resistivity and TOC measurement devices are directly incorpo-

rated, eliminating the need for external monitoring devices, as well. The entire system

is mounted to the exterior of the cleanroom wall behind the hood to be supplied with

56

Page 68: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

clean water. a remote POU dispenser is installed in the hood, with the water lines

passing directly through the cleanroom wall underneath the hood deck to the polish-

ing unit. A final filter of 0.22 µm removes the smallest particles immediately before

being dispensed onto the sample.

Complete monitoring of all the specified contaminants listed in the ASTM D5127

specification would be extremely costly. However, the specifications for water resis-

tivity combined with a TOC measurement and a final filter pore size provide enough

information to establish confidence in the water quality for electron drag sample pro-

cessing. The water resistivity is consistently measured at 18.2MΩ-cm, to within the

resolution of the device, and the TOC ranges between 2 - 5 ppb.

2.2.5 Services

The remaining services needed are electrical power for the cleanroom and sup-

porting equipment, as well as the piping for dry nitrogen, compressed air, and clean,

lower grade water for instrumentation cooling. The piping and conduit for distribut-

ing these services is installed on the same Unistrut channel that supports the ceiling

grid and on the wall studs in the ceiling plenum area. Therefore, no concrete drilling

is necessary during the installation of services. As a note of caution, care should be

taken to ensure that sufficient clearance exists above the FFUs to allow their instal-

lation and removal. This clearance is ensured by explicitly defining a volume which

is available to contractors for service runs.

Fluid Piping

The demand for low-level vacuum required for mechanical purposes is relatively

small and most easily met through the use of a diaphragm pump in the cleanroom.

57

Page 69: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

A single pump with the capacity for the needed services evacuates a large manifold

with individually valved ports to distribute this vacuum to the equipment in the

room. The volume in the manifold reduces the cyclic pressure variations that the

served equipment experiences, due to the operation of the pump, and provides a

reservoir in the event that a demand spike occurs. The exhaust from the pump is

then piped to the exhaust plenum at the rear of a hood to remove chemical vapors,

such as photoresist solvent from the spinner, from the room.

Compressed air is commonly used for mechanical actuation of components but

its use in a cleanroom environment presents some difficulty. The most detrimental

contaminant in common building compressed air is oil. The air can be used but the oil

must be filtered out beforehand with a passive, 1 µm filter designed for this purpose.

Whenever possible, the exhaust should be vented outside the cleanroom, as well.

Dry nitrogen must be piped to several areas of the room to be used as a clean,

inert gas for displacing air and as a means of blowing water from rinsed samples with

a nitrogen gun. Because the nitrogen is brought directly into contact with the sample,

both at significant velocities during nitrogen gun drying, and at high temperatures in

the RTA, the gas must have as little or less particulate content than the cleanroom air

and also be chemically pure. One source, which is used in some industrial cleanrooms,

is boiloff from liquefied nitrogen. This gas source inherently reduces contamination

contained in the liquid product due to the low vapor pressure of most contaminants

at the liquid nitrogen temperature of 77K. Oxygen concentration reduces by a factor

of 5 and methane, the lightest hydrocarbon, reduces by a factor of almost 70. Water

content in the vapor is reduced to undetectable levels [27]. Therefore, the dominant

contaminant in a liquid nitrogen source is oxygen, since other contaminants, such as

58

Page 70: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

carbon monoxide, have a low atmospheric concentration to begin with. In most bulk

nitrogen delivery systems, the oxygen content is reduced to levels of roughly 3 ppm,

but the specific purity should be ascertained from the liquid nitrogen supplier.

In an industrial setting, a direct pipeline from the nitrogen storage tank is usually

used as a gas source. The 4029 cleanroom does not have such direct access, however,

and individual tanks of liquid nitrogen must be transported from a remote filling point

to the cleanroom. There are two dangers associated with this delivery method. First,

contamination can be introduced when a nitrogen tank is replaced. The introduction

of contamination can be avoided by purging the manifold connecting the nitrogen

tank to the cleanroom distribution line with nitrogen before opening the line from

the manifold to the cleanroom. Secondly, the nitrogen tank must not be allowed to

empty while it is connected to the cleanroom. If the temperature in the tank rises,

contaminants which had been thermodynamically suppressed will increase in gaseous

concentration. This can be avoided, however, by simply monitoring the level of liquid

nitrogen in the tank on a regular basis.

Forming gas is used specifically for the rapid thermal processor to scavenge oxygen

from the chamber during a high temperature anneal. The gas consists mainly of

nitrogen, combined with a small percentage of hydrogen. Although the mixture can

not be delivered from a liquid source, high purity mixtures are readily available in

gas cylinder form. As with the nitrogen source, however, care must be taken not to

introduce contamination into the line when changing tanks.

Copper piping can be used for the distribution of both nitrogen and forming gas,

but the plumbing system must be prepared for clean usage, beginning with the piping

installation. The pipes must be joined using a water soluble flux and all accessible

59

Page 71: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

flux should be removed as pipe assembly progresses. The piping layout should also be

designed so that all lines, both used and unused, end in a valve so that the line can be

purged after assembly. “Dead end” lines provide a reservoir for contamination and are

nearly impossible to clean thoroughly. After assembly is complete, the lines should

be flushed with warm, clean water. Water with resistivity above 3 MΩ-cm should not

be used, however, as this will attack the copper. After flushing with water, dry the

pipe with a continuous flow of dry nitrogen for a period of 24 hours. Finally, pump

the line with a dry pump to remove any remaining traces of moisture in the line. The

pipe can then be purged with the gas to be delivered in preparation for final use.

The last fluid lines that must be installed are for chilled water. This water is

used specifically for the rapid thermal processor lamp, reflector, and process cham-

ber as well as the cryopump compressor and electrical feedthroughs for the thermal

evaporator. Because the same water is being used to cool many instruments at once,

the specifications for water quality must be chosen carefully to meet the minimum

resistivity requirements within the limitations of all the equipment serviced. The

argon dc arc lamp in the RTA is designed so that cooling water spirals around the

inside of the quartz lamp, in the same area as the arc, cooling the tube and collecting

sputtered material from the electrodes. Since several kilovolts are required to operate

the lamp, the cooling water must be at least 1MΩ-cm to prevent the lamp electrodes

from shorting through the water. Meanwhile, the same cooling water flows through

copper and brass sections of equipment that use the water for direct contact heat

exchange. If the water resistivity exceeds approximately 3 MΩ-cm, it becomes chem-

ically aggressive to the copper and brass, fouling the water and etching the metal.

Therefore, the cooling water is maintained at 2MΩ-cm.

60

Page 72: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The water is maintained at this resistivity by bleeding off part of the recirculation

line and passing it through a deionization resin. The amount of flow is adjusted

manually, based on the measured resistivity of the water. The piping used is polyvinyl

chloride (PVC), which is inexpensive, easy installed, and does not appreciably degrade

the resistivity of 2 MΩ-cm water after a brief period of operation. In addition to the

resistivity, the water flow rate and temperature should be monitored to ensure that

the necessary cooling power is delivered. The water temperature must not be allowed

to drop below the dewpoint of the air in the cleanroom, 49 F, or condensation will

develop. The majority of the work involved with installing this water cooling system

in the 4029 cleanroom was completed by Sanghun An.

A summary of the major cleanroom piping requirements discussed here is shown

in figure B.7.

Power

Power demands for the cleanroom are categorized as either constant or inter-

mittent. The total power required will be the sum of the constant demands plus

an appropriate fraction of the intermittent load. Enough power should be available

to meet the required demand at the peaks of variation, but the design should not

be unduly specified. The solution determined for the 4029 cleanroom is shown in

figure B.9.

For the main cleanroom, 200A service is required. This does not support all of

the equipment run in the room, however, and some equipment, such as the RTA, is

supplied by a completely independent line. The current specification for almost every

outlet is 20A, but this is done to allow one larger current draw unit, such as a HEPA

filtered vacuum cleaner, to be plugged into any outlet in the room. However, the

61

Page 73: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

average current draw per outlet is considerably lower. As a general indication, an

Agilent 34401A digital multimeter, a commonly used instrument in the laboratory,

draws only 100 mA of current on average. The 200A breaker for the cleanroom is

installed in the anteroom, so that it can be accessed from either the cleanroom interior

or the outside with relative ease.

Equipment which draws more than approximately 2 kW of power will likely require

a dedicated circuit and conduit for power lines. These independent lines may require

some special instructions to avoid excessive power requirement specifications. The

best example in the 4029 cleanroom is the RTA, which can draw over 40 kW of power

for a period of 2 or 3 seconds but has a considerably lower average power demand. A

time-delay fuse used in this situation allows the high current to be delivered for a brief

period, without requiring that the circuit be capable of supplying the peak demand on

a continual basis. Additionally, all conduit runs that penetrate the exterior, pressure

boundary wall of the cleanroom should be sealed with silicone caulk to prevent air

from continuously leaking out of the cleanroom through the conduit.

This stage of the design process requires a considerable amount of interaction with

electricians and facilities management personnel to ensure that all electrical codes are

met and that the required power can be delivered to the cleanroom space. A profes-

sional electrician should be consulted soon after specifying the power requirements,

as shown in figure B.9. Especially since older laboratory buildings may not be de-

signed for such a concentrated power load, additional lines will likely need to be run

to supplement the power already designated for the room. Since the determination of

the necessary course of action may require some time to complete and, often, several

62

Page 74: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

agencies are involved in the approval of the final plan, it is best to begin a dialog with

all parties involved early in the design process.

2.3 Implementation

After all design work has been completed, construction can begin. This phase is

characterized by frequent interaction with the contractors needed for electrical, piping,

HVAC, and construction work, as well as representatives for the building, campus

physical facilities, and university administration. If “off-campus” contractors are

needed, a bidding process may be required and additional time should be allowed for

this. Project completion depends on the collaborative efforts of dozens of individuals

and efficient work requires significant planning and well defined objectives established

early in the construction process.

Because contractors who typically lack experience constructing cleanrooms are

employed, additional documentation and specific instructions relating to cleanroom

construction must be provided. As an example, the construction contractor’s guide is

provided in appendix A and summary drawings for all work are shown in appendix B.

In addition, some on-site training on clean protocols is required. Similar guides and

instruction should be provided to the electrical, piping, and HVAC contractors, as

well.

2.3.1 Construction Scheduling

The length of time required to complete the construction project will depend to a

large extent on how efficiently the work can be scheduled. By establishing an accepted

schedule, contractors avoid time conflicts, such as trying to install services when the

required base structure is incomplete, and an optimized plan can be determined in

63

Page 75: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

which some contractors can work simultaneously. Scheduling is best determined by

holding a planning meeting with all associated contractors at the beginning of the

project.

All demolition, relocation of existing services, and concrete grinding, cutting and

pouring should be completed first, since these actions produce fine dust that is difficult

to remove at later stages of construction. After the concrete work is complete, and the

room is cleaned, epoxy paint can be applied to the ceiling and walls of the enclosed

cleanroom area. This work should be completed early in the project, so that the epoxy

is allowed adequate time to fully cure before further construction begins. Contractors

can then begin work in the cleared and prepared space.

Erection of the main structure follows next, to provide the framework for the

subsequent installation of ducts, pipes, and electrical conduit. Unistrut is installed

on the ceiling first, followed by the studwork for the walls. Exterior panels can then be

anchored and sealed to the C-studs with bugle head screws and silicone caulk. With

the enclosed space defined, the HVAC ductwork should be installed next. Because the

ductwork is large and cannot easily be moved from its designed installation position,

it is much easier for piping and electrical services to be installed around the ductwork

than for the duct to be positioned around the other services. Installation of the cooling

unit and compressor can continue outside of the cleanroom, while other services are

being installed inside. After the main duct lines are installed in the room, both the

electrical conduit and piping lines can be installed on the Unistrut and wall studs

as long as there is explicit mutual agreement between contractors on where services

will be run. The last boundary surface of the room to be covered is the floor, and

the vinyl sheet flooring can be laid and sealed with welding rods after all the work

64

Page 76: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

discussed above is complete. Usually, the distributor that sells the flooring material

will also install it.

The final stage of construction involves work to be completed inside the cleanroom.

After cleaning the enclosed space thoroughly, the interior wall panels can be installed

on the C-stud. Because the backing trim used to hold the panels at their edges adds

a slight distance between the back of the panel and the plane of the C-stud edges,

spacers are installed on the single C-studs positioned at the center of each panel.

The panels are also sealed to the studs with silicone caulk to avoid vibration due

to air flowing up the inside of the wall. The return air grilles at the bottom of the

panels are screwed into place and vinyl base molding is applied around the bottom

of the wall. The ceiling grid is secured at the perimeter of the room and the center of

the room span is supported from the Unistrut on the ceiling with threaded rod and

turnbuckles. The two doors and acrylic access panel are installed in the framework,

and the room is fully sealed with gaskets and caulk. The last task for the contractors is

to install the lights and FFUs in the ceiling. When this is finished and the project has

reached “substantial completion,” then the room is ready for testing and equipment

installation.

2.3.2 Protocols

Dirt trapped inside the cleanroom structure can be a source of contamination long

after construction is complete. If the room has been built according to the necessary

cleanroom protocols, then the room should clean itself to the specified cleanliness level

within about 24 hours. If excessive contamination has been left from construction,

however, this timescale could extend to weeks or months. Bursts of particles may also

65

Page 77: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

appear in the cleanroom over time, preventing the room from ever stably reaching

the target cleanliness at all.

The transition from a demolition area to class 100 space occurs in several stages.

This section will address the progression of the project in terms of the clean protocols

to be used by workers. The appropriate gowning and cleaning techniques can be

demonstrated at a brief worker training session at the beginning of the construction

phase for each contractor. The full progression of clean protocols should be explained

at the beginning of the project, as well, so that workers know what to expect through

the course of the project.

Techniques and protocols must be developed which are unique to the specifics

of the project to be completed, but one conceptual tool which can be used as a

guideline in developing protocols is to establish a minimum particle size to be actively

eliminated from the clean space. This guideline is not intended to be either an absolute

limit for acceptable particle size or a panacea for all types of contamination of concern

but, rather, one benchmark for whether or not standard construction practices can be

used or if modifications are warranted. This size will be quite large at the beginning of

a project but, as the stages of construction progress, the particle size should decrease

logarithmically and monotonically until the room meets operating specifications at

the completion of the project.

The early stages of demolition and relocation or removal of existing piping and

conduit do not require clean procedures. Upon completion of concrete work, however,

the room is designated as a “clean area” to alert all personnel entering the area and

all surfaces of the room should be cleaned. A warm TSP solution can be used on the

walls and ceiling, followed by a clean water rinse; a wet mop cleaning and rinse of the

66

Page 78: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

floor is sufficient. Application of epoxy paint to the walls and ceiling seals the pores

of the concrete and cinderblock, creating a pressure and vapor barrier in these areas.

In preparation for contractor arrival, the C-stud and Unistrut should also be cleaned

with TSP and water to remove oil and grime.

More stringent clean protocols begin with the onset of contractor construction.

Correspondingly, a minimum particle size of 1,000 µm is established, which is roughly

the size of coarse beach sand. Over half of the contamination brought in by people is

carried on shoes, so polypropylene film booties are worn to reduce the greatest source

of contamination. Latex or nitrile gloves should be used to reduce finger grease,

as well. Work which actively generates debris, such as cutting C-stud and wooden

exterior panels, must be performed outside of the room.

After installation of the exterior panels, the cleanroom area should be treated

differently than the exterior space within the construction room, since the walls will

block much of the large-scale debris from entering the clean space. Therefore, the

minimum particle size is reduced to 100 µm, the width of a human hair. A simple

and effective way to reduce debris carried in through doorways is to place adhesive

floor mats at the threshold of each entrance. Again, shoes are the greatest source of

contamination in the clean space and adhesive mats remove much of the dirt that

would otherwise be carried in on the booty soles. Bouffant caps are necessary at this

stage as well, since they reduce contamination introduced from the scalp and hair. A

water rinse of the clean area interior prepares the space for the installation of HVAC,

piping, and electrical conduit. Tools brought into the clean area should be wiped

clean with a damp rag beforehand. All flux residue should be cleaned from copper

piping and the use of duct sealant should be avoided entirely inside the room. When

67

Page 79: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the service installation inside the room is complete, the floor should be cleaned with

water, again, and then the vinyl flooring can be installed.

The level of working cleanliness is increased again for installation of the cleanroom

interior. Now, the minimum particle size is reduced to 10 µm. This transition, from a

minimum particle size of 100 µm to 10µm, is an important one because the particles

now considered have a significant lifetime in the air. As a general indication, the

terminal velocity for a 100 µm particle in stagnant air is roughly 10 in/s, so particles of

this size fall out of the air relatively quickly and are unlikely to travel great horizontal

distances in the air. A 10 µm particle, however, has a stagnant air terminal velocity

of only 3mm/s and can travel relatively large distances before settling on a surface.

Particles of this size generated well outside of the clean area can easily drift in on

local air currents. Therefore, these particles cannot be actively removed with any

measure of effectiveness by gowning protocols, alone; they must be driven out with

air.

At this point in the construction process, the HVAC installation should be com-

plete. By now energizing the fan of this system, air is forced into the clean space

directly through the cleanroom distribution ductwork, driving room contamination

out through the open doorways. Although HEPA filters would still be loaded too

quickly to be of much practical use at this stage of construction, prefilters, such as

the Camfil Farr 30/30 r©, will capture over 98% of the 10 µm particles encountered in

an incoming airstream. Temporary plastic walk-through barriers installed over the

entrances will also aid in sectioning off the clean area. The interior panels, hoods,

ceiling grid, and lights are now fixed in place and powered. Vacuuming of the room

68

Page 80: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

should be performed periodically with a HEPA-filtered vacuum cleaner where nec-

essary. After the wall construction and electrical work is complete, the doors and

acrylic access panel are installed and the room is sealed. Then, the duct-mounted

HEPA filters are slid into place.

The minimum particle size drops to 1 µm with the installation of the duct HEPA

filters. At this level of cleanliness, a full clean suit is required in the cleanroom, and

the cooling coil of the cooling unit should be activated to lower the temperature in

the room. In the anteroom, booties are worn over the shoes and a bouffant cap is

worn directly over the head, then a full cleanroom suit is donned, so that only the

hands and facial area are exposed. Finally, gloves are worn with an extended forearm

section that covers the suit forearms. Inside the room, the exposed hood, wall, and

floor areas should be wiped down with pure water one last time, and then the HEPA

FFUs are installed and powered. Activate all the room conditioning equipment, and

allow the room to clean itself over a period of 24 hours. Construction is now complete.

The final stage of cleanroom preparation is the installation of equipment and

furniture. Whenever possible, equipment should be cleaned and then brought in

through the anteroom. As discussed earlier, the HEPA FFU in the anteroom changes

the air in the room 186 times every hour, or about 3.1 times per minute. Pausing in

the anteroom for 2 minutes after gowning reduces the residual contamination brought

into the anteroom to a level of 1/22×3.1 ∼ 1%, which significantly reduces the impact

of contamination on the main room when the interior door is opened. Some equipment

will be too large to bring in through the anteroom and the large, acrylic access panel

must be used, instead. For this operation, the hood exhaust fan should be turned off

and the acrylic panel removed, so that all makeup air is directed out of the cleanroom

69

Page 81: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

access opening. Equipment is brought in in stages, with one person fully gowned on

the inside of the room cleaning the equipment as it is being brought in while one

or more individuals provide assistance from the exterior side of the access panel

threshold. When all the necessary equipment has been brought in, the access panel is

replaced and resealed. The equipment is installed and finally, the room can be tested

to determine its compliance with the designed specifications.

2.4 Results

Although complete evaluation of a cleanroom is a lengthy and expensive process, a

strong indication of the cleanliness level can be obtained from a series of measurements

taken at strategic locations throughout the clean space. Using a technique such as

low angle laser light scattering (LALLS), the particle distribution at a point can

be determined quickly. Such measurements were taken in the 4029 cleanroom by

an independent company after the cleanroom construction was complete and all the

associated equipment and furniture were installed in the room. A summary of the

results is shown in figure 2.4.

The “at-rest” measurement conditions present at the time of the measurement

correspond to an operational facility with no personnel present. According to FS209E,

this is only one of the three states of the room that can be measured to establish

certification, the others being the “as-built” condition, in which the room construction

is complete but no equipment or personnel are inside, and the “operational” condition,

in which the room is fully functional and staffed by personnel working normally. To

fully establish certification, however, a complete set of measurements must be taken

on a grid spacing specified in FS209E. Therefore, these measurements cannot be taken

70

Page 82: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Average Cumulative Particle CountsMeasurement Position

0.3+µm 0.5+µm 1.0+µm 5.0+µm

1 – Entry from Anteroom 33.3 27.0 16.3 5.72 – Room, Lithography Section 0.0 0.0 0.0 0.03 – Room, Center 0.7 0.7 0.0 0.04 – Room, Deposition Section 9.7 8.7 6.3 0.35 – Above Ceiling 136.3 111.7 65.7 9.36 – Above Ceiling 141.0 126.3 90.7 34.07 – Anteroom 201.7 164.0 87.0 12.7

Table 2.4: Summary of cleanroom particle count results. These particle counts, shownas number per ft3, were measured by Laboratory Certification Services, Inc. using aMet One 2400 Series optical particle counter on August 2, 2002. Three measurementswere taken at each point at a height of 38” above the floor, except for the two mea-surement points in the ceiling. The averages of these measurements are shown. Themeasurement conditions are “at-rest,” meaning that, at the time of measurement, thefacility was functional but not occupied by personnel working normally. Differencesin cleanliness between at-rest and operational states vary between cleanrooms but,typically, the particle density increases by roughly a factor of ten in the operationalstate, versus the as-built state [11]. Although these measurements are insufficientto formally classify the room cleanliness according to FS209E, an indication of thecleanliness level can be inferred by comparing these measurements with the class lim-its shown in table 2.1. There are strong indications that the levels of cleanliness inall sections of the room have surpassed the originally stated design goals.

71

Page 83: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

as official validation that the room has met the designed cleanliness specifications.

However, if the measurements taken are an accurate indication of the average particle

distribution in the room, then the room has achieved a level of cleanliness well above

the design specification.

One probable reason for this is that all air coming into the cleanroom interior

space has passed through two HEPA filters in series, one in the ductwork outside

of the clean space and one in a ceiling-mounted FFU. This combination is more

than 99.99999% efficient at removing particles 0.3 µm and larger, which is better

than almost any single filter available. Ultra Low Penetration Air (ULPA) filters are

99.9995% efficient in removing particles 0.12 µm and larger, and tests have shown

that two HEPA filters in series remove more particles above 0.01 µm than a single

ULPA filter [21]. Therefore, the air being delivered into the cleanroom exterior is

extremely clean.

Continuous monitoring of the clean space ensures that the cleanroom conditions

stay within designed parameters. Cleanliness measurements are difficult to make on

a regular basis without having a particle counter on-site. However, an independent

company can perform spot check measurements on a regular basis to ensure that the

room continues to perform well. For the 4029 cleanroom, additional measurements are

made of the makeup air flow and temperature; room humidity, temperature, and pres-

sure; and temperature inside the cooling unit. The room temperature and humidity

measurements are continuously plotted on a recorder to reveal long-term trends and

to alert users to changes in the environment. Other important parameters which are

continuously monitored are the processing water resistivity and TOC content; cool-

ing water flow rate, resistivity, and temperature; and the temperature and humidity

72

Page 84: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

of room 4029 outside of the cleanroom. Continuous monitoring of these indicators

enables typically quick diagnosis when a problem arises and promotes confidence in

the room conditions under normal circumstances. Adherence to cleanroom protocols,

continuous monitoring and maintenance of the cleanroom environment, and replacing

the prefilters and HEPA filters as necessary should keep the system performing well

for many years.

73

Page 85: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

CHAPTER 3

PROCESSING

There are two main sections for this chapter. The first discusses the drag sample

in general, including an overview of the bilayer growth, selective contact to one of a

pair of closely spaced 2DEGs, and how these techniques can be used to enable a drag

measurement. The second section explores the steps taken to process a sample from

a bilayer grown wafer through wiring. Finally, a summary timetable of the processing

steps is presented at the end of the chapter.

3.1 Drag sample

The first sample which demonstrated the electron drag effect was developed by

Solomon et al. in 1989 [3]. A field effect transistor (FET) geometry was used in which

an induced 2DEG channel defined one system and a GaAs gate was used as the other,

3D system. The following year, a technique enabling drag measurement between a

pair of 2DEGs was developed by Eisenstein, Pfeiffer, and West [28]. Pfeiffer and West

produced a GaAs wafer in which two 2D conducting layers, each with extraordinarily

high mobilities, were spaced only hundreds of angstroms apart from one another.

Eisenstein then devised the essential method by which those two layers could be

contacted independently from one another. The combination of these two technical

74

Page 86: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

innovations formed the basis for producing bilayer electron drag samples. Other

techniques have since been developed for independent contact, notably in the area of

back-side gating integration [29, 30, 31, 32], although the functional operation remains

essentially the same as in Eisenstein’s original design. One notable exception is the

work of Sivan et al. [33], who developed a sample structure reminiscent of Solomon

et al.’s original 2D to 3D FET-like drag sample. In the experiment of Sivan et al.,

however, A 2D electron gas and 2D hole gas (2DHG) are induced on either side of a

barrier, enabling the measurement of 2D to 2D drag. The basic technique presented

here follows Eisenstein et al. [28] and later developments stemming from that work.

3.1.1 Molecular Beam Epitaxy

The bilayer wafer was produced through the technique of molecular beam epitaxy

(MBE). The epitaxial growth process, itself, is beyond the scope of this work but

a conceptual understanding of the epitaxially grown structure is necessary for later

explanations of the processing procedure. Figure 3.1 shows the conduction band

diagram for the bi-layer system. The growth direction is horizontal in the figure and

only the area of interest for this explanation is shown. Two 200 A wide quantum wells

of GaAs are spaced anywhere from 200 A to 5000 A apart from one another, depending

on the planned type of drag measurement to be made. In a drag measurement, One

of these quantum wells will be the drive layer, and the other will be the drag layer.

Because the electrons are constrained to a 200 A wide well, only the lowest subband

in each well is occupied. This ensures that all electronic motion in each well is in a

plane which runs vertically and perpendicular to the page. The electron gas in each

well is quantum mechanically two-dimensional.

75

Page 87: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 3.1: Conduction band diagram for the 2-DEG bilayer system. The MBEgrowth direction is horizontal in the above figure. Electrons in the two 200 A widequantum wells are in the lowest energy state in the confining dimension, ensuring twodimensionality. The electron mobilities in both wells are better than 3× 106 cm2/Vsand each has a density near 1.5× 1011 cm−2.

76

Page 88: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The wells are populated with electrons from remote Si sources per the established

technique of modulation delta doping [34, 35, 36]. By depositing the dopants far from

the quantum wells, the electronic scattering time is dramatically reduced since the

dopants, themselves, do not act as strong scattering sites. Today, the most common

application of this technique is the high electron mobility transistor or HEMT [37]. A

conventional HEMT, however, uses a single interface between GaAs and AlxGa1−xAs,

instead of a fully 2D-confining quantum well. The bilayer sample used for drag can

be visualized as two modified HEMT structures back to back, with a quantum well

substituted for the single GaAs/AlxGa1−xAs heterostructure. An inverted HEMT,

in which the Si dopants are deposited before the 2DEG, is followed by a barrier of

200 A to 5000 A and then a normal HEMT is grown on top of that, in which the

dopant deposition follows the 2DEG interface. Each quantum well is populated by

dopants on the side opposite the other quantum well, allowing the barrier thickness

to be limited only by the restriction that carriers from one well cannot tunnel into

the other well.

Although modulation doping had been applied to single layer systems for some

time, the mobility of inverted HEMT structures had always been lower than that of

normal HEMTs by a factor of 23 or more [38, 39]. Pfeiffer et al. determined that the

Si dopants deposited during inverted HEMT structure growth migrate towards the

2DEG during deposition, thereby presenting stronger scattering sites for the 2DEG

electrons than intended and lowering the mobility. By modifying the growth profile

to account for this effect, inverted HEMT structures could be grown with mobilities

as high as 2.4× 106 cm2/Vs at 4.2 K [40]. By extension, a drag structure was grown

in which the electron mobility in both layers exceeds 3× 106 cm2/Vs. In order to set

77

Page 89: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

a scale for this mobility, consider the following comparison. At 4 K, for an electron

density of 1.5× 1011 cm−2, the electron-electron scattering time τee ≈ hEF /(kBT )2 =

10−10 s, whereas the impurity scattering time is τimp = µm∗/e ' 10−6 s, 10,000 times

longer.

3.1.2 Independent Contact

Figure 3.2 illustrates the fundamental selective contact mechanism used in the

drag samples discussed here. This simplified schematic shows a single bar of MBE

growth that has been isolated from the GaAs it was grown on through an etch. The

2DEGs are shown in gray and the GaAs is transparent to illustrate the back gate.

In order to make electrical contact to the two 2DEGs, contact material is deposited

on top of both layers and the sample is heated in a controlled manner to diffuse the

contact dopant into the wafer. In order to make contact to only one of the two layers,

two Schottky gates are deposited on the sample as well, one above both 2DEG layers

and one below both layers. By applying a negative voltage to one of these gates,

the electrons in the region below the gate are locally depleted, breaking the electrical

continuity between the two contacts in that layer.

For a typical original drag sample, the GaAs chip on which the MBE growth was

deposited would be thinned through a chemo-mechanical lapping/polishing process

using a bromine-methanol solution. The original wafer thickness of roughly 500 µm

would be reduced to only 50 µm across the entire chip. Then, gates could be deposited

on the back, lapped side of the sample which would allow selective contact to the top

layer by locally depleting electrons from the bottom layer.

78

Page 90: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 3.2: Independent electrical contact to two closely spaced 2DEGs: gating. Fol-lowing Eisenstein et al. [28, 41], this schematic illustrates the selective contact methodused for drag. The 2DEGs, indicated by gray bands, are electrically connected to eachother and the outside world through the annealed contacts. Current, indicated byarrows, will flow through both layers simultaneously unless the conduction electronsare blocked by sufficiently energizing a gate. The top view shows the front gate overboth of the layers, and the back gate under both of the layers. Cross section A il-lustrates selective contact to the bottom layer by energizing the top gate and locallydepleting the top layer electrons. Cross section B illustrates the same effect with thebottom gate, allowing selective contact to the top 2DEG. The back gate is ∼ 50 µmfrom both 2DEGs, while the front gate is only ∼ 0.5 µm away, which accounts for thesignificant difference in required gating voltages.

79

Page 91: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

A typical top gate in this configuration would be about 0.5 µm from the top 2DEG

and could be actuated with a voltage of about −1.2V. The back gates, however, are

nearly 50 µm away from the bottom 2DEG and require a voltage of −120V or more

to deplete the bottom layer electrons. There is some danger in using a gating voltage

of this magnitude because of the potential for breakdown of the semi-insulating GaAs

wafer which acts as a dielectric between the gate and the 2DEG, itself. If breakdown

does occur, relatively strong current can flow directly from the gate to the 2DEG,

destroying the sample.

By extension of this core selective contact idea, a drag measurement equivalent

to that shown in figure 1.1 can be realized as shown in figure 3.3. In this figure,

the top and bottom 2DEGs are represented in green and gray, respectively, and the

contacts are shown in yellow. Back gates, in blue, control access to the bottom layer

and top gates, in red, control the top layer. In order to drive a current through the

bottom (gray) layer, contact is made through C1 and C2 and a voltage of −1.2V is

applied to top gates T1 and T2 while B1 and B2 remain grounded. To detect a drag

signal in the top (green) layer, contact the sample through C3 and C4 and apply a

voltage of −120V to B3 and B4 while T3 and T4 remain grounded. This is how a

drag measurement is configured. Typically, a fifth arm would be used to ground the

sample but this detail has been omitted for simplicity in the schematic shown.

The drag sample processing technique was later improved by adding a window

region to the back side of the GaAs chip, reducing the required gating voltage and

improving the overall structural integrity of the sample [42]. Instead of thinning

the sample to a thickness of 50 µm, the sample was thinned to 100 µm. Then, a

rectangular region on the back of the sample was etched to a depth of 80 µm, leaving

80

Page 92: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 3.3: Implementation of drag configuration through selective gating. Drive acurrent through the bottom (gray) layer: Contact through C1 and C2, energize T1and T2, ground B1 and B2. Detect drag in the top (green) layer: Contact throughC3 and C4, energize B3 and B4, ground T3 and T4. A fifth arm, which would beused to define sample ground, is not shown here for simplicity.

81

Page 93: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the sample only 20 µm thick in the central, windowed region. The thicker sample

dimension around the perimeter made the sample more mechanically robust, overall,

reducing the likelihood of strain-induced fracture or, more commonly, breakage from

stress during handling. More importantly, the gating voltage was significantly reduced

from −120V to −50V or less, depending on the actual thickness of the sample in the

window region.

Figure 3.4 shows an overlay of the current lithography patterns used in processing.

All of the elements discussed above are represented here with the exception of the

overall top and back gates. These gates covering the central, active region of the

sample, control the density of the bottom and top layers independently. A constant

voltage is applied which adjusts the Fermi level in the corresponding quantum well.

Density adjustments within a factor of 2 are typically possible. Note that the degree

of parallel of the etch floor to the front face of the sample is critical in determining

the homogeneity of the bottom layer density. If the etch floor has a wedge-shaped

cross section, the 2DEG region which is closer to the overall back gate would have a

lower density, for a negative gate voltage, than the opposite end of the active region.

Several drag measurements depend critically on a uniform density in both quantum

wells, which emphasizes the importance of keeping the overall back gate smooth, flat,

and parallel to the bottom 2DEG layer.

The following section will discuss how these samples are currently produced, start-

ing from an MBE grown bi-layer wafer. The bilayer wafer is still grown by Loren

Pfeiffer and Ken West. Processing done in this laboratory typically begins with an

as-received cleaved portion of the original MBE wafer.

82

Page 94: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 3.4: Opaque overlay of lithography patterns used in sample processing. Themesa etch mask (green) is used to define the mesa pattern where both of the 2DEGsexist. Both layers are removed outside of this region by etchant. Contacts (gold) aredeposited over the mesa and diffused in to contact both layers through an annealingprocesses. Front gates (red) are deposited over the mesa arms. After thinning, awindow is etched on the back of the sample in the region indicated, allowing backgates (blue) to be deposited within 20 µm of the 2DEG layers. The enlarged view atthe bottom indicates the 40 µm × 400 µm active region of the sample. The overallfront and back gates covering this region are used to control the density of each layerindependently.

83

Page 95: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

3.2 Sample Processing

The sample processing procedure requires a minimum of six consecutive days to

complete, assuming that no complications are encountered. An error made late in

the step progression can be costly in terms of both resources and, especially, time.

Therefore, a strong effort has been made to reduce dependence on user skill and

experience in favor of a more robust process. If errors do occur, they are more

likely to be correctable, rather than fatal to the sample. For instance, the sixth

arm on the lithography overlay shown in figure 3.4 is redundant. In the event that

one contact should fail, the sample retains full functionality and can be used for

measurement. Additionally, the incorporation of several new techniques and methods

enables the production of samples which exceed the specifications met by earlier

sample generations.

The importance of maintaining a high degree of cleanliness throughout the process

cannot be overemphasized [43]. As with most lithographic processes, particulate

contamination can be highly detrimental. Although the smallest dimension used

in lithography is only 40 µm, well above the critical dimension for the equipment

and materials used, the central bar must be precisely defined and free of defects.

Irregularities in the bar width or point defects can substantially alter the transport

characteristics of the sample. Likewise, the chip must be kept clean of undesirable

residue from solvent, wax, resist, and other chemicals. This point is particularly

important for making contacts, as will be discussed in more detail, below.

The resists used for processing are primarily Rohm and Haas Shipley Microposit

S1800 series photoresists. Although the composition specifics are proprietary, this

positive photoresist is based on a common resist chemistry [44]. A novolac, phenolic

84

Page 96: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

resin is formed by reacting formaldehyde with a mixture of cresol isomers in a ratio

greater than one. This novolac forms the base material of the photoresist. By itself,

novolac dissolves readily in alkaline aqueous solutions, such as sodium hydroxide-

based developers, at a rate of roughly 15 nm/s [45]. The photoactive compound

diazonaphthoquinone (DNQ), however, is insoluble in a basic solution. When the

DNQ and novolac components are combined, the resulting mixture retains much

of DNQ’s resistance to alkaline solutions, reducing the dissolution rate to less than

0.2 nm/s [46]. This mixture is then carried in a solvent, such as propylene glycol

monomethyl ether acetate (PGMEA), which controls the viscosity of the resist. The

more dilute the novolac resin is in solvent, the thinner the spun resist will be.

When the resist is exposed to ultraviolet light, the DNQ reacts with water to

form nitrogen gas and indene carboxylic acid (ICA), which dissolves very quickly in

alkaline solution. When the resist is immersed in a sodium hydroxide-based developer,

the exposed areas dissolve away at a rate of up to 100 – 200 nm/s [45], leaving the

unexposed resist in the desired pattern on the substrate.

Heating the resist at various points in the process is often necessary to vaporize

solvent, reduce interference effects, or to harden the resist for further processing.

However, care must be taken not to heat the resist beyond ∼ 125C. If the resist

is heated beyond this point, uncontrolled crosslinking occurs between the phenolic

resin polymer chains until a transition to a bakelite-like substance is reached. At this

point, the resist becomes hard and insoluble in most solvents and the substrate on

which it was used is typically lost.

Lithography on the resist is carried out using a SUSS Microtec MJB3 mask aligner.

The aligner uses a mercury short arc light source and optics optimized for the 350 nm

85

Page 97: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

– 450 nm range. The power spectrum for the light incident on the sample should be

dominated by the 436 nm (g-line), 405 nm (h-line), and 365 nm (i-line) wavelengths

from the Hg arc lamp. Although the S1800 series resist is optimized for g-line expo-

sure, the resist is sensitive to exposure in the 300 nm – 450 nm range and the broad

spectrum exposure used is more than adequate to precisely resolve the lithographic

patterns.

3.2.1 Mesa Definition

The first steps in processing a sample are to cleave a chip from the (100) MBE

growth wafer and clean it. During the MBE growth process, the wafer is heat-sunk

to a temperature controlled block with gallium to ensure adequate thermal contact

between the block and the wafer. Using gallium, instead of another heatsinking

material, also prevents contamination of the MBE chamber. To process a cleaved

chip of the wafer, the gallium must be etched off the back side with hydrochloric acid

(HCl) while the front side of the sample is protected from the HCl. The sample is

waxed face down to a microprobe slide to protect the MBE growth.

The crystallographic orientation of the GaAs must be chosen so that the long axis

of the active region runs parallel to the [011] direction. The window which will be

on the back side of the sample results from an anisotropic etch. two opposite walls

have an obtuse wall-angle etch cross section and the other pair of opposite walls have

an acute wall-angle etch cross section. In other words, along the [011] direction, the

unetched surface and the etch floor are connected by ramps so that the back gate

pattern can run continuously from the unetched surface down to the etch floor. In

the [011] direction, however, the etch undercuts the GaAs and a lithographic pattern

86

Page 98: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

cannot be made continuously over the edge. For this reason, the crystallographic

direction of the GaAs must be determined by a short anisotropic etch on the back

side of the wafer before lithography can begin.

After determining the [011] direction, the sample is removed from the microprobe

slide and remounted to a silicon heatsinking platform. Heatsinking is important in

order to keep the sample temperature low during the contact evaporation process, as

will be discussed below. Wax used for mounting the sample is pressed to a thickness

of 3 µm or less to minimize the thermal resistance between the sample and silicon

heatsink.

Using standard photolithographic techniques, the mesa pattern is imaged on the

GaAs chip and then etched. Care must be taken to avoid any defects visible on

the GaAs surface, as they may effect the transport properties of the sample. The

appropriate etch depth varies according to the barrier thickness used in the MBE

growth.

3.2.2 Contacts

Ohmic contact to the 2DEGs is made using a Au-Ge-Ni system: a highly reliable

and low resistance method originally introduced by Braslau et al. in 1967 [47]. Since

that time, Au-Ge-Ni contacts have been extensively studied [48, 49, 50, 51, 52, 16]

and used as a standard for ohmic contact to n-type GaAs [17]. A series of depositions

is made on the wafer surface, such as Ni-Ge-Au-Ni-Au, which diffuses dopants in the

deposition, Ge in this case, into the wafer. This diffusion path establishes electrical

contact between both 2DEGs buried beneath the surface and the top surface of the

contact deposition which is soldered to, later.

87

Page 99: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The primary difficulty with alloyed contacts in general, including the Au-Ge-

Ni system, is that a tremendous amount of process tuning is required in order to

repeatably produce low resistance contacts. Although alloyed contacts have been

investigated in great detail, the physical mechanisms that regulate the contacting

process are still not well understood [17]. To complicate matters further, the quantum

wells in our samples are much farther from the wafer surface than is typical for a

commercial HEMT device. In a typical HEMT structure, the 2DEG is about 1000 A

beneath the surface. In the drag sample, however, the top layer is 5000 A beneath

the surface and the bottom layer could be up to 5000 A below the top layer. Making

reliable contact to a 2DEG 1 µm below the surface is a difficult task. Almost 70

contacting attempts were made, at a cost of a day’s worth of processing apiece on

average, before reliable low-resistance contacts were established.

The lithographic process for contacts is, in itself, surprisingly non-trivial. After

resist is spun onto the chip and patterned, contact materials are deposited in a film

over the entire chip. Immersion in acetone then dissolves away the photoresist beneath

the deposited material that is outside of the contact region. This process is known

as “liftoff.” Because the contact deposition may be over 4000 A thick, the film has

considerable structural integrity. If the film is not discontinuous at the perimeter of

the contacts, then liftoff will fail.

The ideal resist cross section at a contact edge is a shelf structure. As long

as the bottom of the shelf is more than the distance of the contact film thickness

away from the wafer surface, a natural film discontinuity exists at the contact edges.

Several commercial products known as liftoff or multilayer resists are available for

this purpose. The simplest of the multilayer resists is the bilayer system. Two resists

88

Page 100: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

are spun on the sample, one after the other, which have different dissolution rates in

developer. The bottom layer, which dissolves faster than the top layer and is relatively

insensitive to exposure, leaves an undercut profile in the overall resist. However, these

resists and the chemicals used for processing them are more difficult to remove than

typical positive photoresists. As the resist is developed, dissolved organic matter can

redeposit onto the exposed area of the sample in a process known as “scumming.”

This residual organic contamination may then interfere with contact performance.

Another alternative is to harden the top of a single layer of resist with chloroben-

zene. This creates a region of resist that dissolves more slowly in developer than

untreated resist, also resulting in a shelf structure. Although the resist profile result-

ing from this process is sufficient for successful liftoff, chlorobenzene is fairly toxic

and can damage the liver, kidneys, and nervous system. However, there is another

alternative.

Toluene can be used for a similar surface-hardening process with lesser health

risks. This method was tested and yielded successful results, as shown in figure 3.5.

The desired shelf structure is achieved, enabling successful liftoff of the contact film.

Temperature plays a critical role in making successful Au-Ge-Ni contacts. If the

contact film is overheated before the annealing process, the contact resistances are

often high or, worse, contact may not be made at all. One theory for this is that

the contact materials may alloy before the anneal, influencing their diffusion into the

GaAs [53], although this has not been conclusively established. The standard method

for depositing Au-Ge-Ni contacts is with e-beam evaporation. This method imparts

little heat load to the sample and high deposition rates are common. However, e-beam

89

Page 101: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 3.5: SEM images of toluene-processed photoresist. A GaAs chip was cleavedafter processing it through exposure and development of a contact pattern. The over-hang on the resist profile ensures that the contact film deposited during evaporationwill be discontinuous at the contact edges, enabling successful liftoff. Note that theperiodic waviness evident in the overall pattern of the top two images is part of thecontact lithography pattern shown in Figure 3.4.

90

Page 102: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

evaporators are quite expensive and retrofitting the thermal evaporator available in

the laboratory would be costly and a substantial undertaking.

Therefore, a number of modifications have been made to reduce the thermal load

on the sample. Extensive copper water-cooled shielding has been designed and in-

stalled around the evaporation sources to absorb radiated heat generated during

source deposition. Also, new evaporation sources are used which reduce the radi-

ated power from 650W, which was typical for the older sources, to 150W. Finally,

heat sinking the sample in the chamber provides a reservoir for the thermal loading

on the sample. These changes also drastically reduced the chamber pressure during

evaporation from, on average, 1 × 10−6 Torr to 1 × 10−7 Torr. The lower pressure

reduces the entrainment of contaminants during deposition of the contact film.

After allowing the sample to cool in the chamber, it is removed from the heat

sink and cleaned. The contacts are then annealed in forming gas in a rapid ther-

mal processor (RTP). The RTP anneals the contacts in a controlled and repeatable

manner by raising the temperature of the chamber hundreds of degrees to the desired

anneal temperature in approximately 2 seconds. The temperature is then maintained

to within 2C through feedback control from an optical pyrometer for the duration

of the anneal. Afterwords, the sample cools in an inert atmosphere for an extended

period of time.

Through this method, test contacts to an un-etched wafer chip are repeatably

formed with a specific resistance of 0.02Ωcm2 and a contact resistance of 20Ω.

91

Page 103: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

3.2.3 Front Gates

Lithography for the front gates is almost identical to that used for contacts except

for the pattern, itself. The resist shelf must be high enough to ensure deposited film

discontinuity around the perimeter of the gate patterns. Each top gate traverses a

mesa step height, at the edge of the mesa etch pattern, which can be over 1.6 µm

high. After development, no resist can remain in the corner at the base of those mesa

edges, or the gate continuity will be broken there during liftoff.

1000 A of chromium is deposited through thermal evaporation, and the liftoff

process is used as before with contacts. Because the chromium film is relatively thin

and brittle, solvent easily penetrates fissures in the deposition over resist. Liftoff is

complete within seconds, whereas contact liftoff typically takes 10 minutes or longer.

3.2.4 Back Side Overview

The back side processing differs from front side processing in three important

ways.

First, the back surface of the GaAs resulting from the thinning process is topo-

graphically different than the surface of an as-received, polished (100) wafer. Most

importantly for the purposes of later processing are the roughness, flatness, and par-

allelism of the back surface. Roughness is defined in terms of deviations from a mean

surface height over a length scale commensurate with the surface feature size. For

instance, the parameter Ra = 1lm

lm∫0|y| dx is commonly used to define roughness where

y is the surface height relative to the mean between 0 and the measuring length lm.

Typically, lm is a few times larger than the surface feature size. Flatness is an indica-

tion of surface height deviations at a larger scale. Usually, flatness is specified in terms

92

Page 104: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

of the number of interference fringes seen when placing the polished surface against

an optical flat and illuminating the interface with a monochromatic light source. For

the 546.1 nm line of a mercury spectrum, which is often used, one fringe corresponds

to 0.27µm of deviation. Lastly, considering the sample as a whole, parallelism is the

angle between the mean planes defined by the front and back surfaces of the chip. All

three of these parameters are important in defining the back surface of the sample.

Second, after the sample is thinned to 100 µm, the chip can no longer be handled

with tweezers. The force exerted by tweezers on the sides of the chip is more than

sufficient to fracture and destroy the sample. Therefore, the thinned chip must be

mounted to other backing substrates to allow handling for further processing.

Third, after the window is etched to a depth of 80 µm on the back surface of the

chip, the electrically isolated back gates must be deposited continuously from the

region outside the window, down the sloped window edge wall, and onto the window

floor. Producing micron-accurate lithography patterns in the bottom of an 80 µm

deep well is one of the most challenging aspects of sample processing.

3.2.5 Thinning

The most important part of the thinning process for determining parallelism is

the sample mounting to the grinding block [54]. This is achieved by careful selection

of mounting adhesive and by applying a constant, central force to the back of the

sample as it is bonded face-down to the grinding block. Care must also be taken not

to scratch the sample face while it is being pressed into the adhesive. Scratching will

likely result if the sample face is allowed to make direct physical contact with the

grinding block.

93

Page 105: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The target specification for the electron density uniformity in both of the 2DEG

layers is 1% across the entire 40 µm × 400µm active region of the sample. At this

level, the density irregularities due to gating effects are roughly equal to the native

irregularities in the 2DEG, itself. Thinning is the processing step that has the greatest

influence on this uniformity. The three parameters that control the parallelism of the

etched window floor to the 2DEGs are the flatness of the stainless steel grinding block,

the parallelism of the mounting adhesive, and the parallelism of the window etch floor

to the thinned surface of the GaAs. Of these factors, the mounting adhesive accounts

for half of the tolerance allowance. The thickness deviation of the adhesive should be

less than 1 µm across the entire sample.

This thinning process was originally done through a chemo-mechanical polishing

scheme using a bromine-methanol solution. Although this method was used success-

fully to reduce the thickness to 100 µm, the thinned surface would be domed, instead

of flat. Also, significant user skill was required. Lapping the sample improperly

would easily result in pitting or other irregularities in the surface. Because the pro-

cess required the use of bromine, a particularly toxic and reactive chemical, layers of

protective clothing and shields were required as well, exacerbating the difficulty of

properly executing the thinning technique.

The current thinning method uses a combination of grinding, lapping, and chemo-

mechanical polishing techniques. A lapping jig is used, which ensures that the plane

of GaAs material removal is parallel to the face of the grinding block. Almost all of

the material removal is achieved using SiC and Al2O3 fixed abrasives. Because these

materials do not chemically attack the GaAs, the importance of timing is substantially

94

Page 106: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

removed from the technique, reducing both the need for operator skill and the likeli-

hood of errors in processing. Just before the target lapping depth is reached, a chemo-

mechanical polish removes scratches from the surface in preparation for the window

etch. If the surface is scratched or significant subsurface damage is present before the

window etch, the floor of the etched window will reflect the damage on the pre-etched

surface. Also, the etching rate through the damaged GaAs is not precisely control-

lable, an issue that affects the accuracy of the window etch depth. Although this

new thinning process is more accurate and controllable than the bromine-methanol

method, the abrasive-based method requires a day of work to complete, whereas the

bromine-methanol method would require only one to two hours.

After the sample is thinned and polished, it must be removed from the grinding

block for subsequent processing. Because the sample is now only 100 µm thick, it can

no longer be handled with tweezers. The sample is removed from the grinding block

by allowing the bonding adhesive to dissolve in a solvent. The sample falls from the

block, in the solvent, onto a disc of filter paper. This paper then acts as a carrier for

the sample until the chip can be mounted to a more substantial substrate for further

processing.

3.2.6 Window

In order for the back gates and density control to function, a window region must

now be etched in the back side of the sample. First the sample must be re-mounted

face down to a quartz puck to enable handling, again. Because the sample is now

thin, it cannot be press-mounted as before or the chip will fracture. Instead, a 0.5mm

diameter piece of wax is placed on the center of a quartz puck and melted. The thinned

95

Page 107: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

sample is then slid off of the filter paper face-down onto the hot wax. If the quantity

of wax used is correct, the sample will now be remounted with a minimum of wedge

error in the wax. Capillary action draws the wax across the interface between the

chip and the quartz puck, securely anchoring the chip to the puck and protecting the

front face of the sample during later processing. A wax thickness deviation of 3 µm

or less across the chip is adequate for later processing.

For the window etch, resist is patterned on the chip to expose the window region.

Alignment to the front face of the sample is made using an infrared camera integrated

into the MJB3 aligner to see through the sample. The resist is hard baked after

development to withstand the extended window etch process. A citric acid / hydrogen

peroxide solution [55] is then used to etch the window over a period slightly longer

than 6 hours.

The agitation method used for etching the window significantly influences the etch

floor roughness, flatness, and parallelism relative to the front side. Figure 3.6 shows

two different samples, each measured with a profilometer across the entire well floor

along the central axis of the sample. One has been etched in a stagnant solution, as

samples have been processed in the past, and the other sample has been made in an

etchant of the same composition but with uniform agitation of the solution. As can

be seen from the two graphs, the agitated solution is much flatter and more parallel

than the stagnant solution. Note that the entire vertical scale of the agitated etch

graph is equal to 1 vertical division on the stagnant etch graph. The roughness of

the agitated etch floor is also improved by a factor of 3 over the stagnant etch floor.

The reason for the gross unevenness of the stagnant etch floor is not known but slow

96

Page 108: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

(a) Etch profile from stagnant solution

(b) Etch profile from uniformly agitated solution

Figure 3.6: Influence of etchant solution agitation on etched window profile. Theseprofilometer measurements indicate the etch floor flatness, roughness, and parallelismrelative to the original, pre-etched GaAs surface. The vertical scale indicates etchdepth in microns and the horizontal scale spans the centerline of the entire etch floor.The scan is taken along the longitudinal axis of the back layer density control gate.Note the factor of 6 difference in vertical scale between the two graphs. The agitatedsolution improves the deviation in depth of the etch floor by a factor of 20 over theregion from 800 µm to 1200 µm, where the back layer density control gate is deposited.

97

Page 109: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

convection currents in the unagitated solution may establish a preferred direction

during the etch which leads to the anisotropic profile.

The GaAs etching rate varies considerably with temperature for the citric acid /

hydrogen peroxide concentration used. However, if the environmental conditions are

precisely controlled, the etching rate can be highly reproducible. This is the process

that depends most critically on the room temperature control system discussed in

Chapter 2. Using an empirically-determined etching rate, the etch is allowed to run

continuously for the period of time needed to reach a depth of 80 µm. Then, the

sample is pulled from the solution and cleaned. Dummy samples that are processed

in parallel with the actual sample are used to determine the actual etch depth after

the etch is complete. The sharp edges of the etched window are then smoothed

slightly [56] in preparation for the back gate lithography steps.

3.2.7 Back Gates

Of all the lithography steps in the sample processing procedure, the back gate

lithography is the most difficult by far. The goal of the procedure is to coat the

entire sample with resist and then to completely remove that resist only in the areas

where the back gates are to be deposited while leaving the resist outside the gating

pattern intact. These two goals are in direct conflict with one another. If resist is

deposited and spun about the center of the sample, as usual, a meniscus develops

in the bottom corners of the window well that is almost 10 µm thick. As ultraviolet

light passes through the thickness of the resist, the intensity is attenuated according

to the relevant Dill Parameters [45]. If the resist is too thick, the bottom of the resist

will not be adequately exposed to dissolve in developer at a rate significantly higher

98

Page 110: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

than the rate for unexposed resist. Resist remains in the bottom corners of the well

where the gating arm is supposed to be, creating a discontinuity in the gating arm.

Meanwhile, the resist at the top edge of the window well is vanishingly thin. Because

resist cannot easily flow over the top edge of the well, resist flows away from the

window edge during the resist spin, leaving a line of bare GaAs along the top edge of

the window. This resist pattern would result in a short between all of the back gates

along one side of the window.

In order to pattern the resist continuously and without shorting paths between

gates, the back gates are broken into two lithography sets, one for each of two opposite

window edges. A carefully programmed off-center spin is then used to meet the

lithography requirements.

After the lithography is complete, chromium is evaporated onto the back surface

in much the same way it was for the front gates. After the chromium is deposited,

the chromium film over the photoresist is removed through liftoff in acetone.

After one back gate set is complete, the process is duplicated on the opposite

back gate set. At the conclusion of the second back gate set, the sample is removed

from the quartz puck it was mounted on by dissolving the mounting wax in acetone

and allowing the sample to fall onto filter paper in the acetone. The sample is then

thoroughly rinsed. At this point, wet processing for the sample is complete. All that

remains is to wire the sample to a header.

3.2.8 Wiring

The final stage of processing is wiring the sample to a header for insertion into a

cryostat. Because the contact pads are less than 200 µm × 600µm, the wiring process

99

Page 111: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Figure 3.7: Soldering iron attachment for nitrogen flow. This attachment preventssurface oxides from forming during wiring by bathing the soldering area in a drynitrogen environment. A regulated, clean dry nitrogen source (not shown) must beported to the inlet. The nitrogen flow should be adjusted so as to displace oxygen inthe soldering area without substantially cooling the contact surface.

must be completed under a microscope. A soldering iron with a clean, untinned tip

is used, and the temperature setting is just sufficient to melt indium. Because the

sample is quite small and has a low heat capacity, contact between the sample and

soldering iron should be brief. Excessive heating will unsolder previously made solder

joints and could affect contact resistances as well.

The sample is attached to a header by 25 µm diameter gold wires, soldered to the

sample with pure indium. The use of flux is avoided because residual flux left after

soldering can attack the sample and the cryostat environment where the sample will

be measured. In order to solder effectively without using flux, the solder and the area

around the solder joint must be kept in an inert atmosphere to avoid oxidation [57].

Figure 3.7 illustrates the method by which this is achieved. A stainless steel tube

is bolted to the hilt of the soldering iron and surrounds the body of the iron tube

100

Page 112: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

over most of its length. Clean dry nitrogen is then supplied to the base of the tube

and flows out around the soldering tip. This keeps the solder tip and the area being

soldered to under a nitrogen atmosphere and prevents rapid oxidation of the molten

indium. A flowmeter in-line with the nitrogen supply tube allows precise adjustment

of the flow. There should be enough nitrogen to locally displace the oxygen without

actively cooling the contact area. Insufficient nitrogen flow results in solder joints with

a dull gray appearance. Excessive nitrogen flow either requires more power delivery

to the soldering iron to overcome the nitrogen cooling or pointed contacts resulting

from a thermal gradient in the indium as the soldering iron is pulled away. When the

flow is adjusted correctly, the indium will wet the contact surface readily and leave a

smooth profile when the soldering iron is removed.

When the wiring is done, the sample processing is complete. The header is inserted

into a dipper stick and cooled to 4.2K in liquid helium. Then, contact resistances

and gating voltages can be tested. If the sample passes all the necessary electrical

tests, it can then be used for measurement.

3.3 Summary

In total, a minimum of six days are required to produce one drag sample from

an MBE grown wafer. There are several steps which require an extended period of

time during which supervision is unnecessary, such as pumping down the evaporator

chamber or allowing a sample to unmount in a solvent bath. By timing the process

appropriately, these periods can elapse overnight, utilizing the processing time most

effectively.

101

Page 113: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Step Time# Process work (hours)

1-9Cleave and clean sample, pattern and etch mesa, pattern con-tacts, put in evaporator and bake out sources.

9

10-17

Evaporate contacts, liftoff and anneal. Pattern front gates andput in evaporator. Evaporate front gates, liftoff, and mount togrinding block.

12

18-19 Grind, lap, and polish. Remove sample from block. 12

20-22Mount sample to quartz puck. Pattern and etch window. Pre-pare sample for back gate patterning.

10

23-29

Pattern back gate set 1 and put in evaporator. Evaporate set1 and liftoff. Pattern back gate set 2 and put in evaporator.Evaporate set 2 and liftoff. Remove sample from quartz puck.

11

30 Wire sample and test. 8Total processing : 6 days

Table 3.1: Optimized summary timetable for sample processing. Each row representsone day of processing requiring the time indicated in the right column.

Table 3.1 briefly summarizes the steps discussed in this chapter to process a sample

and breaks them into a set of tasks to be completed over a continuous 6 day period.

The table indicates the estimated processing time in hours required to complete each

day’s tasks, where completion of the last task of the day may mean initiating a

process that will continue overnight. This timetable represents an optimized schedule

for sample completion.

102

Page 114: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

APPENDIX A

CLEANROOM CONSTRUCTION DOCUMENT

The following document was provided to the contractor responsible for construct-

ing the main cleanroom structure, including the stud walls, paneling, and ceiling grid.

The document has been slightly altered from its original form to reflect modifications

to the design made after the distribution of the original document. It illustrates the

type of information and level of detail necessary to bridge the experience gap between

more typical construction projects and a clean, pressurized enclosure. In addition to

this document, a brief session with the construction crew provided an opportunity

to discuss clean procedures and demonstrate a few necessary techniques. Using this

document, the structure was constructed as specified using clean protocols. Cor-

responding documents, not shown here, were given to other contractors for piping,

electrical, and HVAC installation.

103

Page 115: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Walls and Ceiling Installation Guidelines for 4029 Cleanroom

This document provides a procedure for constructing the walls and ceiling grid

of the cleanroom in 4029 Smith Lab, shown in the set of “4029 CLEAN ROOM”

AutoCAD drawings. Many of the techniques used follow the conventions in the

Prescriptive Method for Residential Cold-Formed Steel Framing 2nd Ed. (PM) and

Builders’ Steel Stud Guide (BG) available from the North American Steel Framing

Alliance. These two publications are referred to often as a basis. Modifications from

the methods they prescribe, to meet the cleanroom requirements, are indicated where

necessary.

There are two primary points which differentiate this project from typical con-

struction for a built-in room. First, the interior of the room will be held at 0.02” wc

higher air pressure than the outside of the room, with the exterior of the new walls

holding the pressure in. So, all studwork must be sealed together and the exterior

panels must be sealed to the studwork to create a watertight barrier at the exterior

cleanroom wall. In practice, this generally means that quite a bit of silicone caulk is

used.

Secondly, because this will be a “cleanroom,” precautions must be taken to mini-

mize the amount of dust and debris produced during the construction process. Use of

chalk lines (with chalk), for instance, would produce a great deal of dust that could

contaminate the room after it is completed. The necessary precautions will increase

as the room progresses towards completion. After the exterior panels are installed,

booties and gloves will need to be worn inside the cleanroom.

104

Page 116: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

C-stud walls

The existing walls, ceiling, and floor should already be clean; free of ductwork,

lights, and electrical conduit; and sealed except for new plumbing, electrical, and

ducting holes before beginning. The existing walls and ceiling will also be epoxy

painted.

The new walls are constructed from 18 gauge (43 mil thick) steel C-studs. All the

studs are 2”× 6” except for the ones used in the south wall of the anteroom, which

are 2”× 4”. Because panel trim will be used on the inside of the cleanroom, the stud

pattern alternates between single and double (back-to-back “C”s to form “H”s) king

studs, with the double studs at the seams of the 4’ wide panels. Note that, since the

door frames are sealed, they will need to be installed as the framing is constructed.

The procedure below follows a modified “in-place” wall-raising technique (BG

pg. 13) but a tilt-up framing technique could also be used if that would be easier.

(Note the close proximity of the large air duct through room 4029 to the main south

wall of the cleanroom.)

1. Mark the stud positions

• Use a black-felt marker to mark the locations of the layout studs on the

track.

• Use a red-felt marker to mark the location of openings.

• Your layout will be more accurate if you mark both tracks at the same

time.

2. Caulk and attach the headers and footers

105

Page 117: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

• Use a string and marker to layout the location of the walls on the floor.

• Use a plum-bob and marker to mark the location of the walls at the ceiling.

• Silicone caulk the bottom track into place: Run 2 continuous beads of

silicone caulk on the bottom of the track 1/2” from either edge. Invert

and press the track into place on the floor.

• When securing the bottom track to the floor, use two masonry fasteners

every 24”.

• Silicone caulk the top track into place: Run 2 continuous beads of silicone

caulk on the bottom of the track 1/2” from either edge. Invert and press

the track into place on the ceiling.

• When securing the top track to the ceiling, use two masonry fasteners

every 24”.

3. Caulk and attach the studs

• Twist studs into the track and attach them with #8 S-12 Low Profile

screws through the track into each flange of the studs.

• Using silicone caulk, seal the stud flanges to the top and bottom tracks.

• For “H” studs, caulk 2 continuous beads floor to ceiling 1/2” from each

edge of the back-to-back web mating surfaces. Install one stud first, screw

and caulk it, and then install the second stud of the pair.

• Fasten the two studs in each ”H” pattern together using two #8 S-12 fluted

point screws every 24”.

106

Page 118: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Corner Framing

PM pg. 43 but caulk as directed earlier.

Framing at Door Jambs

Details for corners and doors can be given if needed.

Unistrut brackets

The ceiling grid, ducting, plumbing, electrical lines, fan filter units, and ceiling

lights all suspend from Unistrut channel bolted to the existing ceiling. The 9’ long

Unistrut channels are directly above the positions of the ceiling grid members which

run North and South. The North end of the channel butts against the structural beam

that runs along the corner between the North wall and the ceiling. Each channel is

attached to the existing ceiling with 4, equally spaced, 1-3/4” masonry bolts.

Anteroom roof

The anteroom roof is made of 23/32” SIS YP plywood. Because of the tight space

between the top of the anteroom roof and the existing duct in the room (approxi-

mately 3/4” from the top of the anteroom to the lowest part of the duct) installing the

anteroom roof may be tricky. One approach would be to attach the headers for the

anteroom c-studs to the roof first, and then install the c-studs with the roof elevated.

The roof is attached directly to the c-studs in the main south wall of the cleanroom

with L-brackets. Because the roof is slightly longer than 8’, two 4’× 8’ panels will be

needed, with the seam between the panels as far to the west as possible (8’ from the

exterior East end of the cleanroom). The plywood roof panels should be joined with

107

Page 119: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

wood glue to make a seal and help to hold the panels together. Note, lastly, that

2”× 4” C-studs are used for the South wall of the anteroom.

Exterior panels

Exterior panels are 1/2” - 4’× 8’ birch plywood finished with spar urethane. There

is no trim for the exterior panels, except for an aluminum H–Channel at the horizontal

seam between panels. Each 4’× 8’ panel is manually plumbed and centered to the

studwork. The panels are sealed to the studwork along each flange and track they

come in contact with using silicone caulk. A full plywood sheet is attached vertically

from the floor to it’s full height of 8’. At the horizontal seam (at 8’) between that

panel and the one mounted above it, a single aluminum H-Channel, cut to the width

of the panel, is used to join the two edges. A bead of caulk is run in each channel to

create a seal to the edge of each panel. A continuous 1/4” bead of caulk is applied to

the surface of each panel 1/4” from all edges. The panels are secured to the studwork

with #8, S-12 fluted-point screws every 18” around the perimeter and down the

centerline of the panel. Some panels have ductwork passing through them. Sleeves

are provided by Limbach Company to be passed through the necessary (upper) panels.

The exterior of these sleeves should be sealed to the plywood with caulk. At door

frames, the plywood butts against the frame edge and is caulked onto and screwed

into the stud behind the edge of the plywood. No additional trim is used.

Interior panels

At this point, all plumbing and electrical services should be in place and ready

for intrusion through the interior panel.

108

Page 120: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

All interior panels are 4’× 8’ Citadel Panel 20. Only vertical trim will be used.

The backing trim is attached to the double studs first using #8, S-12 Low Profile

screws every 12” down the length of the backing trim. All cutouts for return air

grilles, electrical and plumbing services, pressure gauges, and temperature controls

should be made outside of the cleanroom. Silicone caulk is applied along the channels

of the vertical trim, the stud which runs along the centerline of the panel, and the

bottom track of the stud wall. The pre-cut panel is screwed into place with 6 screws,

one each 1/2” from the corners and two 1/2” from the top and bottom center of the

panel, and the mating trim piece is snapped into the backing trim. Care must be

taken to ensure that the top edges of the panels all align, as the ceiling grid will be

mounted directly on the top edge of the panels. Gaps between the bottom of the

panel and the floor are filled with silicone caulk. All panels should be left at their

full, 8’ length. At door frames, the panel butts against the frame edge and is caulked

onto and screwed into the stud behind the edge of the panel. No additional trim is

used.

Ceiling grid

The ceiling grid is suspended from the Unistrut on the existing ceiling at grid

junctions and fastened to studs at the wall perimeters using #8 screws through the

vertical member of the grid perimeter. The perimeter should also rest on top of the

interior panels, where a silicone caulk seal is made after the grid is installed. The

Gordon DS-20 grid is installed according to the manufacturer’s instructions, with the

primary structural members running along the short axis of the room on a 24-1/2”

spacing parallel to and directly below the Unistrut channel they are suspended from.

Ceiling grid elements can be cut with a miter box. The grid is installed starting from

109

Page 121: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

the East end of the room and progressing to the West. The tops of the two hoods

towards the West end of the room protrude into the drop ceiling. When the position

of the hoods is reached, the hoods are moved into place and the grid should follow the

perimeter of the hoods as if they were part of the wall. The grid should be attached

to the side of the hoods in the same manner the perimeter of the grid is attached to

the C-studs.

110

Page 122: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

APPENDIX B

CLEANROOM PLANS

The following schematics illustrate the steps and stages of construction for the

250 ft2 cleanroom built in room 4029 Smith Lab on the Ohio State University cam-

pus. Although the following pages are not intended to be a complete set of architec-

tural schematics for construction, which would be difficult to re-create in this format,

they are based on the drawings actually used for construction and indicate some of

the more important or unusual aspects of the project. The drawings are arranged

chronologically in the order of necessary completion with few exceptions. Interior wall

panels, for instance, should not be installed until all in-wall plumbing and electrical

lines have been completed. The first drawing shows the general planned layout of

the room, indicating the intended functionality of different room sections and some

salient points of the design. Then, in the following drawings, the construction stages

are illustrated, beginning with the cleared room and ending with the installation

of all monitoring, control, and processing equipment in and around the completed

structure.

111

Page 123: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.1

:G

ener

alla

yout

112

Page 124: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.2

:B

ase

modifi

cati

ons

113

Page 125: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.3

:U

nis

trut

suppor

ts

114

Page 126: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.4

:Stu

dpla

cem

ent

115

Page 127: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.5

:W

allpan

elin

g

116

Page 128: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.6

:H

VA

Cduct

wor

k

117

Page 129: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.7

:P

ipin

gte

rmin

i

118

Page 130: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.8

:W

allin

stal

lati

ondet

ails

119

Page 131: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.9

:E

lect

rica

lre

quir

emen

ts

120

Page 132: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.1

0:C

eiling

grid

layo

ut

121

Page 133: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

B.1

1:Fin

aleq

uip

men

tin

stal

lati

on

122

Page 134: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

APPENDIX C

CRYOSTAT SUPPORT STRUCTURE

One important aspect of making low-noise cryogenic measurements in high mag-

netic fields is the reduction of mechanical vibrations in the system. The motion of a

vibrating, signal-carrying wire in a nonuniform magnetic field will generate an elec-

tromotive force on the line which could reduce the signal-to-noise ratio. Also, joule

heating from this effect can skew thermometry measurements and even frustrate at-

tempts to achieve low temperatures in the cryostat. This appendix describes the

construction of a cryostat support structure designed to reduce the transmission of

mechanical vibrations from a building into a cryostat.

Because of space restrictions, the Gramila low temperature laboratory had to be

constructed on the first floor of Smith Laboratory, over a basement, instead of in the

basement, itself. The building is constructed from concrete reinforced with rebar.

Columns and beams define the main structure, and reinforced concrete floors span

the distance between beams, which is roughly 9’ in the first floor of the building. The

vibration level on the floor region directly over structural beams is within 10 dB of

the level measured on the well isolated pit structure used for one cryostat’s previous

installation in the basement of a different building. However, on the floor region

123

Page 135: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

between structural beams, where the cryostat needed to be installed in Smith Labo-

ratory, the vibration level was 30-50 dB higher than the level measured directly over

the structural beams.

To mitigate this problem, cryostat support structures were designed which mount

directly to the structural beams of the building and span the distance between beams

at a height of 1” below the concrete floor slab. Holes are cut in the floor to allow

a cryostat to mount on a support via an existing, aluminum tripod structure. A

drawing of one assembled cryostat support structure is shown in figure C.1.

The design approach chosen was to use a massive structure made out of a fairly

lossy material, wood, to dampen vibrations from the building. The wood is structural

glue laminated timber manufactured by Anthony Forest Products. Anthony Power

Beam r© LSL (Laminated Structural Lumber) of 7” width and 14” depth is used for the

long members and vertical cross members to support the 1 ton working load. Also,

3-1/2” by 14” beams oriented horizontally improve lateral rigidity. Extruded alu-

minum channel with stainless steel threaded rod and nuts tie the beams together and

anchor the support to the concrete building beams. However, to avoid slip between

the aluminum and the wood as well as deformation of the structure from threaded

rod elongation or wood crushing, a structural epoxy bond must be made at the wood-

aluminum joints. To ensure that the entire cryostat support structure is monolithic,

these epoxy bonds must actually bear the load of the structure independently of the

threaded rods. The threaded rods act as a safety for the structure, if a failure were

to occur, but their presence is not required for functionality.

124

Page 136: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Fig

ure

C.1

:C

ryos

tat

Suppor

tst

ruct

ure

.T

he

cryo

stat

trip

od

mou

nts

atth

e⊗

sym

bol

s.

125

Page 137: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

Several glues and epoxies were considered for their ability to effectively bond

wood and aluminum including cyanoacrylate, Emerson & Cuming Stycast r© 1266 and

2850, and Maaco Adhesives Liquid Nails r© LN-925 Steel & Metal Framing adhesive.

However, West System r© marine epoxy by Gougeon Brothers proved to be the most

effective for this task among the adhesives tested. By using an aluminum etch kit,

also supplied by Gougeon Brothers, oxide on the aluminum can be removed and then

inhibited from reforming for the time required to coat the surface with epoxy. Also,

the thin viscosity of the unthickened epoxy, 725 cPs at room temperature, allows it

to penetrate wood fibers and secure a strong bond to the wood. Additionally, the

epoxy can be thickened to fill gaps with a less than 10% reduction in tensile strength

of the bond and a negligible to slight increase in compressive strength.

The method used for forming a filled, wood-aluminum epoxy bond is as follows.

All of the chemicals used are part of the West System line from Gougeon Brothers.

The method outlined here is appropriate for filling a gap of roughly 1/8” and could

readily fill gaps up to twice this size.

The aluminum bonding surface is prepared by first sanding it with 80-grit sand-

paper and then brushing the surface clean with a clean, dry brush. Apply the 860A

Aluminum Cleaner, diluted with water as indicated on the bottle, to the surface of

the aluminum. Make sure that the entire bonding surface is covered with the solution

for 3 minutes. Wipe the surface clean with a cloth damp with water and immedi-

ately apply the 860B Conversion Coating, again mixed as indicated on the container.

Prepare the wood by sanding it with 80-grit sandpaper to open the wood pores and

then brush off the sawdust with a clean, dry brush.

126

Page 138: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

The epoxy mixture is 105 resin combined with 206 slow hardener in a ratio of

5:1 by weight. The actual working life for the mixture is only about 20 minutes at

room temperature, so the assembly of large structures needs to be planned carefully.

Also, if large batches of epoxy are being prepared, care should be taken to avoid

thermal runaway of the cure. Both surfaces being bonded should first be coated with

“neat” epoxy, i.e. epoxy with no filler in it. The neat epoxy will soak into the wood

easier than thickened epoxy and create a stronger bond. Then, a batch of thickened

epoxy can be made to fill the gap between mating pieces. 404 High-Density Filler

is used and added to mixed epoxy until the viscosity increases from the syrup-like

neat mixture to the consistency of mayonnaise. This thickness can be achieved by

combining mixed epoxy and 404 filler in a ratio of 7:3 by weight. The rate of agitation

of the mixture should be reduced as the filler is added to avoid air entrapment. The

thickened epoxy is then troweled onto one of the surfaces to be bonded with a crest

formed in the center of the bonding area. The other surface is then brought into

contact and pressed towards the first surface until epoxy extrudes out of the joint on

all sides. The excess epoxy can then be scraped from the perimeter of the joint.

The sheer strength of a 1/8” gap-filled, aluminum-wood bond prepared as de-

scribed above has been investigated to ensure suitability for the cryostat support

structure. An aluminum angle 14” long and 3” wide, identical to the angle used

in the support structure, is epoxied to a wooden plank so that the long axis of the

aluminum angle runs parallel to the wood grain. The plank is then mounted to a

vertical beam so that the long axis of the aluminum angle and the wood grain both

run vertically, as well. weight is gradually added to a cage hanging directly from the

aluminum angle. No visible damage or deformation in the joint is evident after adding

127

Page 139: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

a total weight of over 500 lbs., which is the limit of the testing jig used. Therefore,

the bond strength is at least adequate to support the working load for the support

structure.

Several tests were also made on joints between wood and stainless steel using

neat epoxy, since the cryostat tripod mounts on the wooden support structure with

stainless steel feet. However, the tensile adhesion strength of the epoxy to stainless

steel is roughly 1/3 less than the bond strength to aluminum [58]. The stainless to

wood bond tests are presented below.

The bond for these tests is between a 6” diameter stainless steel disk and a wooden

plank. To test the joint, the plank is bolted to a vertical concrete column and a 6’

long aluminum pole is bolted to the stainless steel disk so that it extends out from

the column horizontally. Weight is then applied to the end of the pole until the joint

fails. Because the interface between the stainless steel and wood is in a vertical plane,

the total load applied to the joint is a combination of sheer force and torque. The

sheer force is determined by the total weight of the pole and added weights while the

torque results from the combined moment of the pole and added weights.

In the first test, both the stainless steel and wood are smooth and flat. This joint

fails with less than 70 lbs. of weight and 200 ft-lbs. of torque. Part of the joint failure

is between the epoxy and the stainless steel. On stainless, even more so than other

metals, the epoxy needs to “key” into grooves and scratches to bond effectively.

In the second test, the stainless steel disk is significantly roughened by turning

a 0.01” deep record-like groove into the surface on a lathe. The bond between this

surface and the wood holds 200 lbs. of weight and sustains 1,000 ft-lbs. of torque before

128

Page 140: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

failing. The failure point in this test is almost entirely just below the surface of the

wood.

To improve the wood to epoxy bond, grooves are cut in the wood for the third

test. The grooves are cut parallel to the wood grain and run the length of the contact

region to the stainless steel. The grooves are 1/4” deep, 1/8” wide, and spaced with

a periodicity of 3/8”. The stainless steel is again grooved as in the previous test. The

bond strength is again improved, supporting 250 lbs. of weight and 1,300 ft-lbs. of

torque.

The fourth and last stainless steel to wood surface test uses the same geometry

used in the previous test but a sheet of carbon fiber is added in between the stainless

steel and wood. The carbon fiber sheet extends 1-1/2” out from the edge of the

stainless steel foot to increase the effective contact area. The failure mode here is

slightly different than it was for the last test; the carbon fiber slowly peals away from

the wood whereas, in the previous test, the grooved wood surface fractured violently.

However, the weight and torque failure points are identical to the failure points in

the third test. The carbon fiber does not improve the bond strength.

Further tests of the stainless to wood bonding strength involve embedding an

anchor in the wood. Significantly greater strengths can be achieved by this method.

After the cryostat support structure is assembled, it must be raised into position

with a winch and anchored to the concrete building support beams. The threaded

rods extending from the ends of the structure in figure C.1 must be embedded in the

concrete beam. Several anchoring methods were considered. However, the allowable

tensile loads specified for the epoxy-based anchoring systems investigated consistently

exceeded the specification for wedge-type mechanical anchors by a factor of 2 or

129

Page 141: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

more [59, 60]. Therefore, the epoxy Epcon Ceramic 6 by ITW Ramset/Red Head r©

was chosen for the task.

To quantify the actual effectiveness of the support after its installation, the vibra-

tion level was measured on the wooden structure before a cryostat tripod was installed

on it. The vibration level was reduced by 30-50 dB compared to the vibration level

in the center of the concrete floor directly above the structure. Also, the vibration

level measured on the structure was within 3 dB of the lowest vibration level mea-

sured in the room. These measurements indicate, therefore, that the cryostat support

structure is an effective isolator from building mechanical vibrations.

130

Page 142: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

BIBLIOGRAPHY

[1] M. B. Pogrebinskii, Mutual Drag of Carriers in a Semiconductor-Insulator-Semiconductor System, Sov. Phys. Semicond. 11, 372 (1977), originally publishedin Fiz. Tekh. Poluprovod. 11, 637-644.

[2] P. J. Price, Hot Electron Effects in Heterolayers, Physica B+C 117-118, part2, 750 (1983).

[3] P. M. Solomon, P. J. Price, D. J. Frank, and D. C. La Tulipe, New Phenomenain Coupled Transport between 2D and 3D Electron-Gas Layers, Phys. Rev. Lett.63, 2508 (1989).

[4] T. J. Gramila, J. P. Eisenstein, A. H. MacDonald, L. N. Pfeiffer, and K. W. West,Mutual Friction between Parallel Two-Dimensional Electron Systems, Phys. Rev.Lett. 66, 1216 (1991).

[5] A. G. Rojo, Electron-drag Effects in Coupled Electron Systems, J. Phys.: Con-dens. Matter 11, R31 (1999).

[6] A. Roth, Vacuum Technology, 3rd ed. (Elsevier Science, 1990).

[7] G. K. White and P. J. Meeson, Experimental Techniques in Low-TemperaturePhysics, Vol. 59 of Monographs on the Physics and Chemistry of Materials, 4thed. (Oxford University Press, New York, 2002).

[8] H. W. Ott, Noise Reduction Techniques in Electronic Systems, 2nd ed. (JohnWiley & Sons, 1988).

[9] U. S. Federal Standard 209E, Airborne Particulate Cleanliness Classes in Clean-rooms and Clean Zones, Technical report, General Services Administration,Washington, DC, 1992; withdrawn 29 November, 2001.

[10] EN ISO 14644-1, Cleanrooms and Associated Controlled Environments, Part 1:Classification of Air Cleanliness, Technical report, International Organizationfor Standardization ISO, Geneva, May 1999.

[11] W. Whyte, Cleanroom Design, 2nd ed. (John Wiley & Sons, 1999).

131

Page 143: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

[12] J. G. King, in Cleanroom Design, 2nd ed., edited by W. Whyte (John Wiley& Sons, 1999), Chap. 3, The Design of Cleanrooms for the MicroelectronicsIndustry.

[13] M. A. Wirzbicki, Quantifying the Effects of Coat Bowl Temperature and RelativeHumidity for an Advanced i-Line Photoresist Coating Process, Technical report,Rohm and Haas Electronic Materials, LLC, 1996.

[14] T. Ruys, in Handbook of Facilities Planning, edited by T. Ruys (Van NostrandReinhold, New York, 1990), Vol. 1, Laboratory Facilities.

[15] American Society for Testing and Materials, Standard Guide for Ultra Pure Wa-ter Used in the Electronics and Semiconductor Industry, D5127-99 (AmericanSociety for Testing and Materials, Annual Book of ASTM Standards, Philadel-phia, 2004).

[16] K. C. Lee, Degradation of GaAs/AlGaAs Quantized Hall Resistors with AlloyedAuGe/Ni Contacts, J. Res. Natl. Inst. Stand. Technol. 103, 177 (1998).

[17] C. Y. Chang and F. Kai, GaAs High-Speed Devices: Physics, Technology, andCircuit Applications (John Wiley & Sons, 1994).

[18] R. E. Marshall, in Handbook of Facilities Planning, edited by T. Ruys (VanNostrand Reinhold, New York, 1990), Vol. 1, Laboratory Facilities, Chap. 5.4,Electrical Systems.

[19] P. R. Austin, Austin’s Clean Rooms of the World: Case Book of 200 Clean Rooms(Ann Arbor Science, 1967).

[20] A. Lieberman, Contamination Control and Cleanrooms: Problems, EngineeringSolutions, and Applications (Van Nostrand Reinhold, 1992).

[21] R. K. Schneider, Practical Cleanroom Design, revised ed. (Buisness News, 1995).

[22] Institute of Environmental Sciences and Technology (IEST), IEST-RP-CC012.1:Considerations in Cleanroom Design (Institute of Environmental Sciences andTechnology, 1998).

[23] American Iron and Steel Institute, Prescriptive Method for Residential Cold-Formed Steel Framing, 2nd ed. (American Iron and Steel Institute, Washington,D.C., 1997).

[24] North American Steel Framing Alliance, Shear Wall Design Guide (North Amer-ican Steel Framing Alliance, Washington, D.C., 1998).

132

Page 144: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

[25] North American Steel Framing Alliance, Builders’ Steel Stud Guide, PublicationRG-9607 (North American Steel Framing Alliance, Washington, D.C., 1996).

[26] American Iron and Steel Institute, Fasteners for Residential Steel Framing(American Iron and Steel Institute, Washington, D.C., 1993).

[27] F. W. Murray, On the Computation of Saturation Vapor Pressure, J. Appl. Me-teor. 6, 203 (1967).

[28] J. P. Eisenstein, L. N. Pfeiffer, and K. W. West, Independently Contacted Two-Dimensional Electron Systems in Double Quantum Wells, Appl. Phys. Lett. 57,2324 (1990).

[29] K. M. Brown, E. H. Linfield, G. A. C. Jones, D. A. Ritchie, and J. H. Thompson,Fabrication of a Novel Split-Backgate Transistor by in situ Focused Ion-BeamLithography and Molecular-Beam Epitaxial Regrowth, J. Vac. Sci. Technol. B 11,2493 (1993).

[30] R. J. Evans, M. P. Grimshaw, J. H. Burroughes, M. L. Leadbeater, M. J. Trib-ble, D. A. Ritchie, G. A. C. Jones, and M. Pepper, Double Two-DimensionalElectron Gas Structure Formed by Molecular Beam Epitaxy Regrowth on an exsitu Patterned n+-GaAs Back Gate, Appl. Phys. Lett. 65, 1943 (1994).

[31] H. Rubel, A. Fischer, W. Dietsche, K. von Klitzing, and K. Eberl, Observationof Screening in the Magneto-Coulomb Drag between Coupled Two-DimensionalElectron Systems, Phys. Rev. Lett. 78, 1763 (1997).

[32] M. V. Weckwerth, J. A. Simmons, N. E. Harff, M. E. Sherwin, M. A. Blount,W. E. Baca, and H. C. Chui, Epoxy Bond and Stop-Etch (EBASE) Technique En-abling Backside Processing of (Al)GaAs Heterostructures, Superlatt. Microstruc.20, 561 (1996).

[33] U. Sivan, P. M. Solomon, and H. Shtrikman, Coupled Electron-Hole Transport,Phys. Rev. Lett. 68, 1196 (1992).

[34] R. Dingle, H. L. Stormer, A. C. Gossard, and W. Wiegmann, Electron Mobilitiesin Modulation-Doped Semiconductor Heterojunction Superlattices, Appl. Phys.Lett. 33, 665 (1978).

[35] M. Ploog, M. Hauser, and A. Fisher, in Gallium Arsenide and Related Com-pounds 1987: Proceedings of the 14th International Symposium on Gallium Ar-senide and Related Compounds, Crete, Greece, 28 September - 1 October 1987,Vol. 91 of Institute of Physics Conference Series, edited by A. Christou and H. S.Rupprecht (Institute of Physics, 1988), pp. 27–32.

133

Page 145: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

[36] G. Gillman, B. Vinter, E. Barbier, and A. Tardella, Experimental and TheoreticalMobility of Electrons in δ-Doped GaAs, Appl. Phys. Lett. 52, 972 (1988).

[37] T. Mimura, S. Hiyamizu, T. Fujii, and K. Nanbu, A New Field-Effect Transistorwith Selectively Doped GaAs/n-AlxGa1−xAs Heterojunctions, Jap. J. App. Phys.19, L225 (1980).

[38] L. Pfeiffer, K. W. West, H. L. Stormer, and K. W. Baldwin, Electron MobilitiesExceeding 107 cm2/Vs in Modulation-Doped GaAs, Appl. Phys. Lett. 55, 1888(1989).

[39] D. Kim, A. Madhukar, K.-Z. Hu, and W. Chen, Realization of High Mobilities atUltralow Electron Density in GaAs-Al0.3Ga0.7As Inverted Heterojunctions, App.Phys. Lett. 56, 1874 (1990).

[40] L. Pfeiffer, E. F. Schubert, K. W. West, and C. W. Magee, Si Dopant Migrationand the AlGaAs/GaAs Inverted Interface, Appl. Phys. Lett. 58, 2258 (1991).

[41] J. P. Eisenstein, L. N. Pfeiffer, and K. W. West, Field-Induced Resonant Tunnel-ing between Parallel Two-Dimensional Electron Systems, Appl. Phys. Lett. 58,1497 (1991).

[42] H. Noh, Ph.D. thesis, The Pennsylvania State University, 1999.

[43] B. Kanegsberg and E. Kanegsberg, Handbook for Critical Cleaning (CRC Press,2001).

[44] G. S. May and S. M. Sze, Fundamentals of Semiconductor Fabrication (Wiley,New York, 2004).

[45] F. H. Dill, W. P. Hornberger, P. S. Hauge, and J. M. Shaw, Characterization ofPositive Photoresists, IEEE Trans. Electron. Devices 22, 445 (1975).

[46] F. H. Dill, Optical Lithography, IEEE Trans. Electron. Devices 22, 440 (1975).

[47] N. Braslau, J. B. Gunn, and J. L. Staples, Metal-Semiconductor Contacts forGaAs Bulk Effect Devices, Solid State Electron. 10, 381 (1967).

[48] M. Ogawa, Alloying Behavior of Ni/Au-Ge films on GaAs, J. Appl. Phys. 51,406 (1980).

[49] N. Braslau, Alloyed Ohmic Contact to GaAs, J. Vac. Sci. Tech. 19, 803 (1981).

[50] M. Heiblum, M. I. Nathan, and C. A. Chang, Characteristics of AuGeNi OhmicContacts to GaAs, Solid-State Electron. 25, 185 (1982).

134

Page 146: CLEANROOM ESTABLISHMENT AND PROCESSING ......ABSTRACT The complete specification, design, and implementation of a class 100 cleanroom is described in addition to the sample processing

[51] T. S. Kuan, P. E. Batson, T. N. Jackson, H. Rupprecht, and E. L. Wilkie, ElectronMicroscope Studies of an Alloyed Au/Ni/Au-Ge Ohmic Contact to GaAs, J.Appl. Phys. 54, 6952 (1983).

[52] H. Goronkin, S. Tehrani, T. Remmel, P. L. Fejes, and K. J. Johnson, Ohmic Con-tact Penetration and Encroachment in GaAs/AlGaAs and GaAs FET’s, IEEETrans. Elect. Dev. 36, 281 (1989).

[53] E. D. Marshall and M. Murakami, in Contacts to Semiconductors: Fundamentalsand Technology, Materials Science and Process Technology Series, edited by L. J.Brillson (Noyes, 1993), Chap. 1.

[54] K. W. Torrance, J. McAneny, and M. Robertson, in The 1999 International Con-ference on Compound Semiconductor Manufacturing (GaAs MANTECH, 1999).

[55] G. C. DeSalvo, W. F. Tseng, and J. Comas, Etch Rates and Selectivities of CitricAcid/Hydrogen Peroxide on GaAs, Al0.3Ga0.7As, In0.2Ga0.8As, In0.53Ga0.47As,In0.52Al0.48As, InP, J. Electrochem. Soc. 139, 831 (1992).

[56] D. W. Shaw, Localized GaAs Etching with Acidic Hydrogen Peroxide Solutions,J. Electrochem. Soc. 128, 874 (1981).

[57] Indium Corporation of America, Soldering to Gold – Alloy Choice and Lim-itations, http://www.indium.com/documents/applicationnotes/97743.pdf,2004.

[58] B. Knight, Effects of Surface Treatments on Adhesion to Metals, Epoxyworks22, (2004), http://www.epoxyworks.com/22/pdf/Ew22 Effects.pdf.

[59] International Conference of Building Officials (ICBO), ITW Ramset/Red HeadSelf-Drilling, Trubolt Wedge, and Multi-Set II Concrete Anchors, ER-1372, Tech-nical report,http://www.icc-es.org/reports/pdf files/ICBO-ES/1372.pdf.

[60] International Conference of Building Officials (ICBO), ITW Ramset/Red HeadEpcon System, ER-4285, Technical report,http://www.icc-es.org/reports/pdf files/ICBO-ES/4285.pdf.

135