copyright © 1997, by the author(s). all rights reserved. permission … · 2018-07-02 ·...

65
Copyright © 1997, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission.

Upload: others

Post on 19-Jul-2020

0 views

Category:

Documents


0 download

TRANSCRIPT

Page 1: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Copyright © 1997, by the author(s). All rights reserved.

Permission to make digital or hard copies of all or part of this work for personal or

classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation

on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission.

Page 2: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

IN SITU METROLOGY FOR DEEP ULTRAVIOLET

PHOTOLITHOGRAPHY CONTROL

by

Nickhil Jakatdar

Memorandum No. UCB/ERL M97/98

31 December 1997

Page 3: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

IN SITU METROLOGY FOR DEEP ULTRAVIOLET

PHOTOLITHOGRAPHY CONTROL

by

Nickhil Jakatdar

Memorandum No. UCB/ERL M97/98

31 December 1997

ELECTRONICS RESEARCH LABORATORY

College of EngineeringUniversity of California, Berkeley

94720

Page 4: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Abstract

In-situ Metrology for Deep Ultraviolet Photolithography Control

byNickhil Jakatdar

Electrical Engineering and Computer SciencesUniversity of California at Berkeley

Professor Costas J. Spanos, Advisor

In-situ metrology promises to provide effective manufacturing line operation,

reduced cycle times and improved process quality for semiconductor processing. The chal

lenges in developing this technology Hein identifying useful and relatively simple observ-

ables in the Hthographysequence, relating these observables to the final quantity of interest,

developing simple but effective control strategies and finally, integrating this with the pro

duction line equipment Of particular interest is Deep Ultraviolet (DUV)Lithography (248

nm), which is becoming the key technology driver in the semiconductor industry and will

remain to do so through the 0.18 |xm generation.

This thesis reviews the opportunities for metrology and control in the DUV Hthog

raphy sequence.It identifiesvarioussensorsand algorithms for real time in-situ control and

investigates simple control schemes suitable for a typical production line setup. Studies

correlating resist thinning to deprotection have been done and its appHcation for Hthogra

phy control has been proposed. This study promises to cut the wafer to wafer process vari

ation (typically 24 nm) to below 10nm using adaptive control strategies. A novel real-time

algorithm for optical constant extraction over a broadband has been presented using neural

network technology in conjunction with an Adaptive Simulated AnneaHng technique.

Page 5: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Table of Contents

Chapter 1. Introduction 7

1.1. Background and Motivation 71.2. Developing In-SituMetrology: A Step by Step Approach 91.2.1. When to Monitor - Sensor location in the process stream 91.2.2. What to Monitor - Identifying the Observables 101.2.3. How to Monitor - Designing the Sensor 101.2.4. Real Time Algorithms 101.3. Thesis Organization 11

Chapter 2. Chemically Amplified Photoresists 13

2.1. Introduction 13

2.2. Resist Chemistry 132.3. Basic Mechanism for Positive DUV Photoresists 142.4. Deprotection 152.5. Comparisonwith I-line Photoresists 152.6. Experimentto determinecause of photoresist thinning 162.6.1. Experimental Design 162.6.2. ExperimentDescription 172.7. Results 18

Chapter 3. Deprotection Induced Thickness Loss 21

3.1. Introduction 21

3.2. Fourier Transform Infrared Spectroscopy (FllK) 213.3. Experiment to Correlate Resist Thinning to Deprotection 233.3.1. ExperimentalDesign 233.3.2. Experiment Description 233.3.3. Results 243.4. DUV Lithography Control through Resist Monitoring 283.5. Experiment 283.6. Modeling 293.7. Implications to process controllability and implementation issues 30

Chapter 4. Artificial Neural Networks (ANN) and Adaptive Simulated Anneal

ing (ASA) 33

4.1. Introduction 33

4.2. Simulated Annealing 334.2.1. Basic of Simulated Aonealing 334.2.2. Adaptive Simulated Aimealing 354.3. Articial Neural Networks 35

4.3.1. Multi-Layer Perceptron 364.3.2. Radial Basis Function Network (RBFN) 384.3.3. Training the RBFN 39

m

Page 6: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Table of Contents

Chapter 5. Comparison of the ASA and the NN-ASA Algorithms 43

5.1. Introduction 435.2. Broadband Reflectometry 435.3. The Forouhi-Bloomer (F-B) Dispersion Relation 445.4. Experimental Setup 455.5. Optimization using the ASA algorithm 455.6. The Neural Network Enhanced ASA Optimization Algorithm 475.6.1. Parameter Extraction using ASA 475.6.2. Monte Carlo Simulation using F-B formulation & Maxwell equations. 485.6.3. Spectral Feature Selection 495.6.4. Neural Network Training and Validation 505.7. Results 50

Chapter 6. Conclusions 53

6.1. Summary 536.2. Future Work 55

References 57

A Software Code for the NN-ASA Algorithm 59

B List of Symbols 63

IV

Page 7: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Acknowledgments

I would like to thank my research advisor. Professor Costas J. Spanos, for his valu

able guidance, helpful suggestions and support of my research and studies. I also thank Pro

fessor Andy R. Neureuther for his comments and encouragement.

I acknowledge Ron Kovacs, Jim Dey, John Plummer, Joe Bendik, Andy Romano

and Matt Hankinson for their insights, encouragement and help during my summer intern

ship at National Semiconductor. I would also like to thank Rick Dill of IBM Corporation

for sharing his advice on my research. I want to especially thank Piotr Zalicki of SC Tech

nology for helping with the hardware problems that we encountered. I would like to thank

Katalin Voros, Bob Hamilton, Kim Chan and other staff of the Microlab for their expertise

and assistance.

A warm thanks goes out to present and past members of the Berkeley Computer-

Aided Manufacturing (BCAM) group who helped make the experience worthwhile:

Roawen Chen, Mark Hatzilambrou, Anna Ison, Herb Huang, David Mudie, Xinhui Niu,

Manolis Terrovitis, Greg Luurtsema, John Musacchio, Jeff Lin, Haolin Zhang, Nikhil

Vaidya, Junwei Bao, Jae-Wook Lee and Crid Yu.

I wotdd like to thank my family in India for their love and constant support. Finally,

I would like to express my deepest affection and gratitude to my wife Sudnya. Without her

love and support, this thesis would not have been possible.

This work was supported by the Semiconductor Research Corporation (SRC), the

state of California Micro program, and participating companies (Advanced Micro Devices,

Applied Materials, Atmel Corporation, Lam Research, National Semiconductor, Silicon

Valley Group, Texas Instruments).

Page 8: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 1

Chapter 1 Introduction

1.1. Background and Motivation

Feature dimensions in semiconductor manufacturing are continually decreasing,

while die and wafer sizes are increasing. As the critical feature size decreases below 0.3

|Lim, Deep Ultraviolet (DUV) lithography remains the key technology driver in the semi

conductor industry, accounting for approximately 35% of processed wafer cost. However,

submicron DUV photolithographic processes present significant manufacturing challenges

due to the relativelynarrowprocesswindowsoftenassociated with these technologies.The

sensitivity of the process to small upstream variations in incoming film reflectivity, photo

resist coat and softbake steps as well as the bake plate temperature can result in the final

critical dimension (CD) going out of specifications. Further, CD problems are usually not

identified until the end of the lot. The high costs associated with the manufacture of Inte

grated Circuits necessitates higher yields and throughput, requiring a reduction in process

variability. One approach to reducing process variability is to use a supervisory system that

controls the process on a real time or run-to-run basis [1]. Real time control involves the

collection of sensor signals during the processing of a wafer and adapting the process recipe

during the course of the wafer. Run-to-run control involves adapting the process recipe

between wafers. Real time control is more aggressive and involved than run-to-run control

in general.

High end devices such as microprocessors require a considerable number of process

steps. Therefore, it is becoming increasingly important to have an accurate, quantitative

description of the submicron structure after each step. Currently the Uthography process is

monitored before photoresist spin on (index, thickness and uniformity measurement of

incoming stack) and after development (linewidth and profile measurement). Inspection at

Page 9: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 1

the initial and final stages of the process, however, provides only a measurement of the

cumulative effects of all the upstream process steps. To isolate the effect of each process

step, monitoring at each step is necessary.This need for wafer process monitoring requires

in-line sensors and real time algorithms to facilitate real time analysis of sensor signals. In

line refers to processing steps or tests that "are done without moving the wafer" and are usu

ally unobtrusive, non-contact and with little extra cost to the process. This is in contrast to

off-line metrology, where the wafer needs to be removed from the processing environment

to be measured. In-line metrology is preferred to off-line metrology due to increased

throughput and possibly yield.

The need for in-situ and/or in-line process monitoring must however be balanced

with critical manufacturing issues such as possible adverse effects on throughput, cost,

sensor integration into an overall control strategy, possibly limited sensor reliability, etc.

Most commercial metrology equipment is either too slow or too complex to be imple

mented in an in-line arrangement. An ideal in-line metrology sensor would be capable of

making measurements that are sufficiently accurate, repeatable and rapid at a low cost. At

present there is no single technique capable of meeting aU of these demands.

Page 10: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 1

1.2. Developing In-Situ Metrology: A Step by Step Approach

Thickness

n and k

Thin film |!

deposition

Thickness

n and k

Spin Coat&

Thickness SEM scan

loss

Exposure&

PER

Develop

Lithography Workcell

Figure 1.1. Opportunities for measurement in the DUV lithography sequence

The DUV lithography process provides the process engineer with numerous oppor

tunities to monitor the process and wafer state (Figure 1.1). In-situ sensors with real time

capability of analyzing data and using this information for closed loop control, are good

candidates for a supervisory control scheme. Developing in-situ sensors and metrology for

a process, however, requires knowledge of the following:

1.2.1. When to Monitor - Sensor location in the process stream

The first step in designing a sensor for a specific process, is to take into account the

process and equipment restrictions, while deciding on the location of the sensors. The

lithography process consists of many sequential modules such as the resist spin coat, soft

bake, exposure, post-exposure bake and development. Some of these modules are visited

more than once, such as when an anti-reflective coating is integrated into the process. Ide

ally, one would like to place sensors after or during each and every module. However, this

may not be practically feasible due to a variety of reasons. Due to the nature of chemically

amplified resists used in DUV lithography, the delay between the exposure and the post-

Page 11: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 1

exposure bake (PEB) steps needs to be reduced to a minimum This would eliminate any

time consuming measurement of the wafer between these two steps. Similarly, due to the

complex setup of DUV steppers, it is very inconvenient to monitor the process during expo

sure. However, this does not restrict us too much as lots of information can be gathered if

one can monitor the PEB process in real time.

1.2.2. What to Monitor - Identifying the Observables

Having decided on the process steps during/after which one can monitor the pro

cess, it is important to decide on what quantity one is interested in monitoring. Ideally, this

quantity should be the final quantity of interest. However, this may not always be possible.

In the DUV lithography sequence, the final quantity of interest is the CD, which does not

begin to form until the PEB step at the earliest. Hence, it becomes important to identify

practical observables that are strongly related to the final CD. The thickness and optical

constants of the thin film stack are good observables due to the large body of work done in

measuring these quantities both accurately and in real time as weUas due to studies corre

lating the reflectivity to the CD.

1.2.3. How to Monitor - Designing the Sensor

Having identified the quantities that one would like to monitor, it is then important

to either use existing sensors or design new sensors that can measure these quantities. The

most widely used off-line metrology tools are broadband reflectometers and ellipsometers

for the measurement of the optical constants and thickness. An in-situ implementation of

the broadband reflectometer has been successfully demonstrated in the past [2]. Thus, the

third step in designing a sensor for a specific process, is to identify a technique which is

highly sensitive to the observable that needs to be measured.

1.2.4. Real Tune Algorithms

Most sensors gather a lot of data that is indirectly related to the quantity being mea

sured. Algorithms are required to extract relevant information from this data. To realize real

time control, algorithms are needed that can extract this information in real time and feed

this to other modules for either feedback or feedforward control. Algorithms for extracting

the optical constants for wavelengths in the visible range did very well in this aspect. How-

10

Page 12: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 1

ever, due to the complex behavior of the optical constants in the DUV range of wave

lengths, real time algorithms have again become an active research area. This is the final

step in the designing of in-situ metrology for real time applications.

1.3. Thesis Organization

This thesis begins with an overview of chemically amplified DUV photoresists,

along with definitions of some of the terms and a comparison with the traditional I-line pho

toresists in Chapter 2. Chapter 3 begins with a primer on Fourier Transform Infrared Spec-

troscopy (FUR) as an off-line tool to characterize the DUV photoresist chemistry. This is

followed by a detailed description of the experiment and the setup that was used to identify

an observable for the DUV lithography process (exposed area thickness loss) and correla

tion of this observable to the resist chemistry (deprotection). It concludes with the work

done in using deprotection induced thickness loss for control of the DUV lithography

sequence. Chapter 4 gives an introduction to Neural Networks (NN) and Adaptive Simu

lated Annealing (ASA) as tools that will be used to construct a real time algorithm for opti

cal constants extraction. Chapter 5 describes the dispersion relation used in conjunction

with the ASA method for off-line parameter extraction followed by the NN enhanced opti

mization algorithm (NN-ASA). It ends with a case study of Polysilicon optical constants

extraction using the NN-ASA algorithm. Finally, conclusions of this research are presented

in Chapter 6.

11

Page 13: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

,r. Chapter 1

-V .7 . . v,.'.: .r .• .-i.r '

arvv .';••• iw% .;-i"^v,;.':; ^ , / •/

- - • '• • - -.'.C) ...vx .

li:., 7'.XV vMv ;i:'" •- ' • •-,

7 .;7ia";j )7V7;>;yr;'̂ 7V.: :. ..

•'v::! 0V^^U7:T 7'- /: .: k' -V--V• V- :•• ••:7 ^ {^u.VV^v; :,t:-; •: • : ' ' .

\ i-'-'iV-'V-.!j ; ?.•'•' ir v •:Air . .r ' '7'WV,v7/-,. V-:; . ;. • •

X-Xi • - "^• ••. ' 7' 7'". . •i; ;.

V'.-;"-"-" T_''i-7-7 /. ;': \ 'j ^ 7-0- 7 '• •

7;:7 •- '̂7 71 .<•: V; '/-VT-- '- • • li-" . 7^7- ; 7... - . 7X,,.7.iX7> ;J: 7-ig7,

1,7771'777'•_-"',7A :7".\-'-:''7 ,;7^707 '̂ • 6';..;v 77;.; •, V •

'••77;:: V>V7-;Vr r. T -y.-': O-i 'i;7'--,!;7' 7,. •' ' '' ^•

:j;:7; njKll' ' . ;.".::v., .; - :•;.-Vr- . v.'_V . ,

-•777 Xv ;7.4 rrv.:V: v r.7 .., ,1-V ' •7' ;7-t'., ;-

V;-- ;.;..7: '; v 7-.,"'X V -7 :-[.xy.<.y:-: • 'y-::

;:7 ;7'7:!7i r-ji;;,.:-; , .r^V- ; , • X; -f- ,. ../VXV ' './X •V'X "

• ' • . - . : X -VXXiX''ru/ . •• - •' ;

12

Page 14: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 2 Chemically AmplifiedPhotoresists

Chapter 2

2.1. Introduction

As the resolution requirements increase, the irradiating wavelengths must shift

deeper into the UV region. In this range, the brightness of existing light sources is severely

reduced and so is the efficiency of the optical elements. Since the total input energy inci

dent on a resist is the product of light source brightness, time and transmission efficiency

of the optics, a decrease in the first and third factors needs to be compensated by an increase

in the exposure time, thus resulting in a lower throughput This motivated the development

of photoresists with higher quantum yields <|), defined as

^ (2.1)^ abs

thus resulting in higher throughput. An acid molecule generated by exposure introduces an

avalanche of catalytic reactions resulting in higher sensitivity and thus giving these resists

their name.

2.2. Resist Chemistry

Chemically Amplified Resists (CARs) are composed of a polymer resin which is

very soluble in an aqueous base developer due to the presence of hydroxyl groups. These

hydroxyl groupsare "blocked" by reacting the hydroxylgroupwith some longer chain mol

ecule such as a t-BOC group, resulting in a very slowly dissolving polymer. In addition,

there are possiblysome dyes and additives along with the casting solvent.

13

Page 15: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 2

2.3. Basic Mechanism for Positive DUV Photoresists

The mechanism can be broken down into the initiation, the deprotection and the

quenching stages. In the initiation phase, the exposure energy causes the Photo-Acid Gen

erator (FAG) to produce acid. In the deprotection phase, these H+ ions attack the side

chains (t-BOC) of the polymer and generate more H+ ions, thus making the resist even

more soluble. This takes place in the presence of heat. In the quenching stage, the H+ ions

are slowly quenched by anything more basic than the acid such as the additives and the by

products of the reaction. In short, the t-BOC blocked polymer undergoes acidolysis to gen

erate the soluble hydroxyl group in the presence of acid and heat [17]. (Figure 2.1)

OH

\C=0+H

O

C=0+ H+ +

OH

OHFigure 2.1. Resist Mechanism during the Exposure and Post Exposure Bake Steps for

a commercial DUV photoresist.

The blocking group is such an effective inhibitor of dissolution that nearly every

blocked site on the polymer must be deblocked in order to obtain significant dissolution.

Thus the photoresist is usually made more "sensitive" by only partially blocking the resin.

Typical photoresists use 10-30% of the hydroxyl groups blocked, with 20% at5q)icalvalue

[16][17]. The cleaved t-BOC is volatile and evaporates, causing film shrinkage in the

exposed areas. The extent of this exposed photoresist thinning is dependent on the molec

ular weight of the blocking groups.

14

Page 16: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 2

2.4. Deprotection

There does not seem to be any universal definition for deprotection due to the dif

ferent resist chemistries. However, in all its definitions, the term refers to the amount of de

blocking of the resin. For the resist chemistry shown in figure 2.1, the deprotection reaction

is quantitatively followed by monitoring the loss ofthe ester (C-O-C 1150 cm"^) and the

gain of the hydroxyl (O-H 3100 - 3400 cm "^) vibrational bands [18][19][20]. The larger

the exposure energy, the greater the number ofH+ ions generated. Similarly, increasing the

PEB temperature increases the amount of reaction between the H+ ions and the side chains

and hence the deprotection. It has become common practice to employ Fourier Transform

Infrared Spectroscopy (FUR) for off-line quantification of the photoacid-induced depro

tection of.positive chemically amplified photoresists [21].

2.5. Comparison with I-Iine Photoresists

Conventional positiveI-linephotoresists are threecomponentmaterials (i.e. matrix,

sensitizer and solvent), whose properties are altered by the photochemical transformation

of the photosensitivecomponent,from that of a dissolutioninhibitor to that of a dissolution

enhancer. According to Dill [22], the sensitizer, also known as the photoactive compound

concentration (PAC), can effectively model the exposure and development of I-line posi

tive photoresists. In Dill's exposure model, the absorption of light decreases as M

decreases, and in his developmentmodel, the reaction can be approximated as a surface-

limited etching reaction, whose rate is controlled by the degree of exposure. In summary,

the status of the photoresistcan be effectivelyknown by monitoring the PAC. Since PAC

is related to the extinction coefficient of the photoresist through Dill's A and B parameters,

^ ^ ^(Aa)xPAC +B(X)) (2.2)4n

measurement of the extinction coefficient of the photoresist would yield the PAC [2].

However, while I-line photoresists bleach during exposure, this is not the case for

DUV chemically amplified photoresists. Although, there seems to be a change in color

during PEB, this is not due to the bleaching of the resist but rather due to resist thinning

(Figure 2.2).

15

Page 17: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

CJ

>PP

'A ~ 0.7(absorbing)

Negligible acid PAC dependentmovement dissolution rate

Resist bleaches

Chapter 2

CEXPOSUR^(f(development)W 0 Deprotection causes Deprotection

(no absorption) acid diffusion dependent dissolution rate

Contamination sensitive Thickness loss

Figure 2.2. I-line photoresists bleach but DUV photoresists do not.

Since chemically amplified photoresists have a similar composition, the first choice for

observables to monitor the exposure and PEB steps would be the optical constants of the

DUV photoresists, if they were exposure dependent.

2.6. Experiment to determine cause of photoresist thinning

A designed experiment was carried out to determine whether the color change

during the PEB was due to change in the absorbance of the resist (change in extinction coef

ficient k) or simply due to a change in the resist thickness.

2.6.1. Experimental Design

To design a statistical experiment, we must decide on the process inputs and the

responses to be monitored. Since we are interested in determining the change in absorbance

of the photoresist, the response is the extinction coefficient. From our experience with

chemically amplified photoresists, we have seen that a change is noticed during the PEB

16

Page 18: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 2

Step. Thus, the exposure dose, PEB temperature and PEE time are chosen as the process

inputs. 2^ = 8runs are needed for a full factorial experiment. These were augmented with

replicated experiments at the operating point, giving a total of 11 runs. The experimental

settings are shown in Table 2-1.

Table 2-1. Range of values for the process inputs

Input Factors Lower Setting (-) Std. Setting (0) Higher Setting (-h)

Exposure Dose (mJ/cm2) 2.7 3 3.3

PEB Temperature (deg.C) 130 140 150

PEB Time (seconds) 80 90 100

The runs are summarized in Table 2-2. The actual order of execution has been ran

domized to avoid any blocking effects [3], which occur when similar experiments are exe

cuted within a short time span..

Table 2-2. FuU Factorial Design Experiment

Run# Exposure Dose PEB Temperature PEB time

1 0 0 0

2 + - -

3 + - +

4 - -1- -

5 H- -

6 - -1- +

7 0 0 0

8 - - +

9 - - -

10 -f + -i-

11 0 0 0

2.6.2. Experiment Description

The wafers were primed with HMDS after which they were coated with a commer

cial DUV photoresist (UV-5 from Shipley Co.) and soft baked at the standard operating

conditions on the FSI wafer track. These wafers were then taken to a Tencor 1250, a single

angle spectroscopic ellipsometer, where they were all measured from 235 nm to 1200 nm,

with the angle of incidence being 75.87 degrees. The wafers were then taken to the ISI step-

17

Page 19: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 2

per, wherethey wereflood exposedand thenpost exposurebaked on the FSI, accordingto

the DOE. The wafers were again taken to the ellipsometer to be measured.

CO

OO

PEB (2.7 niJ/cm2,150C, 100 sees)

Fre-Exposure

450 550wavelength in nm

nJ/cm2

Exposure

850

150 250 350 450 550 650 750 850

Figure 2.3. BUipsometry readings for a wafer (run #5) before exposure and after PEB

2.7. Results

The ellipsometry signals obtained, contain information about the optical constants

of the photoresist before exposure and after PEB. Curve fitting techniques have to be

employed, using global optimization algorithms, to extract this information. Many ellip

sometry tools have in-built software for this, but do not guarantee a global solution. Hence,

18

Page 20: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 2

we used Timbre [4], which is an Adaptive Simulated Annealing (ASA) based global opti

mization toolbox. We also used the Forouhi-Bloomer (F-B) dispersion relation to model the

dispersion of the optical constants with wavelength. (For further details about this equation

and ASA, refer to chapters 4 and 5)

Before exposure, the real part of the refractive index n and the imaginary part k of

the photoresist, were the same for all the wafers to the fourth decimal place, as expected.

However, the optical constants after the PEB module did not change either. This indicated

that the optical constants were not a function of the processing conditions, as opposed to I-

line photoresists. The deviation of the ellipsometry signals before exposure and after PEB

was simply due to a photoresist thickness loss (Figure 2.3). Figure 2.4 shows an example

of the fitting obtained using the ASA in conjunction with the F-B equations.

This study showed that the DUV photoresists (Shipley's resists in particular)

behaved differentlyfrom I-linephotoresists and hence warranted an observable,other than

the absorption coefficient, to monitor the exposure and PEB steps. Moreover, this study

suggested using the thickness loss as an observable. However, a more detailed investigation

needed to be done, to ascertain whether this thickness loss was meaningfully related to the

state of the photoresist.

19

Page 21: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

CO

OO

measured

150 250 350 450 550 650 750wavelength in nm

\measured

Chapter 2

850

150 250 350 450 550 650 750 850

Figure 2.4. Comparison of measured and fitted ellipsometry data for run #5 of DOE

20

Page 22: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3 Deprotection InducedThickness Loss

Chapter 3

3.1. Introduction

The conclusions of chapter 2 indicated that chemically amplified photoresists

needed a different measurable, as compared to I-line photoresists, to facilitate control of the

photolithography sequence. An observable was needed, that was not only closely related to

the wafer state but was also readily measurable in-situ. The results of the DOE described

earlier, pointed to the exposed area thickness loss to be a prime candidate for the measur

able. In addition, due to the volatility of the cleaved side chain groups, a qualitative predic

tion about resist thinning can be made. However, a study needed to be done that would

correlate the wafer state, which in the case of chemically amplified photoresists would be

the deprotection, to the observable (thickness loss). Deprotection is a measure of the

amount of deblocking of the resin and hence can be monitored using Fourier Transform

Infrared Spectroscopy (FTIR).

3.2. Fourier Transform Infrared Spectroscopy (FTIR)

Fourier transform InfraRed (FTIR) spectroscopy is a powerful analytical tool for

characterizing and identifying organic molecules. The absorption IR spectrum of an

organic compound serves as its fingerprint and provides specific information about chem

ical bonding and molecular structure. Infrared light is energetic enough to excite molecular

vibrations to higher energy levels. IR spectra usually have sharp features that are charac

teristic ofspecific types of molecular vibrations, making the spectra useful for sample iden

tification. The infrared spectrum of a compound is essentially the superposition of

absorption bands of specific functional groups, yet subtle interactions with the surrounding

atoms of the molecule impose the stamp of individuality on the spectrum of each com-

21

Page 23: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

pound. For qualitative analysis, one of the bestfeatures of an infraredspectrumis that the

absorption or the lackof absorption in specific wavelengths canbecorrelated withspecific

stretching and bendingmotions and, in somecases, with the relationship of these groups to

the remainder of themolecule. Thus,by interpretation of the spectrum, it is possibleto state

that certain functional groups are present in the material and that certain others are absent.

With thus one spectral frame, the possibilities for the unknown can be sometimes narrowed

so sharply that comparison with a library of pure spectra permits identification.

FTIR is an interferometric spectrometer, which makes use of the Michelson Inter

ferometer (Figure 3.1). Basically, it consists of a light source, a beamsplitter, a fixed mirror

and a moving mirror. The collimated radiation strikes the beamsplitter, where about half of

the light is transmitted to the fixed mirror. The remainder of the light is reflected onto the

moving mirror. As the two beams are reflectedoff the surface of the two mirrors, they com

bine at the beamsplitter, where constructive and destructive interference occurs depending

on the position of the moving mirror relative to the fixed mirror. The resulting beam passes

through to the sample and continues to the detector.

moving

mirror

fixed mirror

beamsplitter

light source

Photoresist

waier

Aluminum coating

Figure 3.1. The basic principle behind the FTIR spectrometer (MichelsonInterferometer)

Page 24: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

3.3. Experiment to Correlate Resist Thinning to Deprotection

3.3.1. Experimental Design

The process inputs for this experiment were the exposure dose and the PEB temper

ature while the response variables were the amount of deprotection and the exposed area

resist thickness loss. The exposure dose was varied from 1mJ/cm^ to 5mJ/cm^ insteps of

0.5 mJ/cm^ on each wafer (nine blanket area exposures). The PEE temperature was varied

from 130 degrees Celsius to 150 degrees Celsius in 10 degrees Celsius steps, thus requiring

a total of 3 wafers.

3.3.2. Experiment Description

Any FTIR experiment usually requires the use of highly reflective substrates to

increase the signal to noise ratio. This is usually done by coating the wafers with either Alu

minum or Tungsten. Hence, the three wafers were first coated with tungsten. The wafers

were then primed with HMDS on the FSI wafer track after which UV5, a chemically ampli

fied photoresist was spun on and soft-baked using the standard process recipe. These

wafers were then taken to a Tencor 1250 single angle broadband ellipsometer for pre-expo-

sure thickness measurements. The wafers were then exposed using the ISI stepper (KrF

excimer laser) at 248 nm with the pattem shown in Figure 3.2 and post exposure baked.

Figure 3.2. Layout of the blanket exposure areas on the wafer

23

Page 25: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapta: 3

The wafers were taken once again taken to the Tencor for post bake measurements of thick

ness. This provided the thickness loss as a function of the different exposure doses and PEB

temperatures. Next, the wafers were taken to a FITR tool where a Bio-Rad Spectrometer

was used to measure the IR absorption ofthe hydroxy (0-H; 3100 - 3400 cm"^) and ester

(C-O-C; 1150 cm"^) vibrational bands. The deprotection D was measured by taking the

ratio of the integrated areas at a given exposure to the integrated area of the absorbance plot

for no exposure (Ri) and subtracting from 1.

R. = (3.1)^Oester

D,st.r='^-Ri (3-2)

All the thickness loss and deprotection measurements were made on the exposed areas (1 -

9) as well as one unexposed area (10) on the wafer. The wafers were fractured to facilitate

measurement Thirty two scans were used with a resolution of1cm"^ The time required

for a single measurement was about 1 minute. The integration of the spectra to yield the

deprotection was done using a computer macro.

3.3.3. Results

The thickness loss measured in the unexposed areas of the wafers was assumed to

be due to solvent evaporation. This value was subtracted from all the thickness loss mea

surements of the corresponding wafer. The aim was to correlate this resultant thickness loss

to the amount of deprotection. The deprotection was extracted using equation (3.1).

24

Page 26: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

Linear Regression was used to build a model for the thickness loss in the exposed

areas as a function of the amount of deprotection. Figure 3.3 shows the fit.

H 100

0 0.2 0.4 0.6 0.8

Deprotectiongster

Summary of Fit: Multiple = 0.9956

Average model prediction error = 16.52 on 24 degrees of freedom

F-statistic: 5460 on 1 and 24 degrees of freedom

Figure 3.3. Thickness loss as a function of the deprotection measured by monitoring thenormalized ester absorbance

Model Value Std. Error t value Pr(>ltl)

Slope 375.0471 5.0759 73.8884 0.0000

The final model for thickness loss as a function of deprotection is

Tioss = 375.0471 (3.3)

25

Page 27: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

A similar study was done using the integrated hydroxy absorbance as a measure of

deprotection and correlated to the final thickness loss. However, this signal was more noisy

due to the broad hydroxy absorbance bands. However, this still yields reasonable results.

300CO

e0

CO(30G

<

1 200COO

COCOoG

eS 100

0.4 0.6

DeprotectionjjyjjjQjjy

Summary of Fit: Multiple = 0.9897Average model prediction error = 25.33 on 24 degrees of freedomF-statistic: 2310 on 1 and 24 degrees of freedom

Figure 3.4. Thickness loss as a function of the deprotection measured by monitoringdie normalized hydroxy absorbance

Model Value Std. Error t value Pr(>lt!)

Slope 360.2167 7.4942 48.0661 0.0000

The final model for thickness loss as a function of deprotection is

= 360.2167 (3.4)

Note the absence of the intercept term in the two models. This is because we have

subtracted the thickness loss in the imexposed regions, and have hence accounted for the

26

Page 28: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

solvent loss. The regression model rejected the intercept term very strongly (Pr > Itl =

0.8442). Figure 3.5 shows the behavior of the normalized ester and hydroxy peaks pro

cessed at a given temperature as a function of exposure dose.

toos

13>

o

'OoN

13SUi

O

Z,

toUS

13>

oCU

TJON

O

1 1 1 1 1

Hydroxy Peak 120 c

-

Ester Peak

i 1

1.5 2.0 2.5 3.0 3.5

Exposure Dose (mJ/cm2)4.0

Hydroxy Peak

1.5 2.0 2.5 3.0 3.5 4.0Exposure Dose (mJ/cm2)

140 C

4.5 5.0

4.5 5.0

Figure 3.5. The normalized ester and hydroxy peaks as a function of exposure dose fordifferent PEB temperatures

These results strongly suggest that a process control scheme could be based on

thickness loss measurements. This is discussed in more detail in the next section.

27

Page 29: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

3.4. DUV Lithography Control through Resist Monitoring

In the preceding sections, we have identified deprotection as a measure of the state

of the photoresist. We have correlated the thickness loss in the exposed areas to the depro

tection of the photoresist at different temperatures and have shown that this thickness loss

is a result of the deprotection induced sidechain collapse. Hence, we have chosen to name

this phenomenon deprotection induced thickness loss (DITL). Thus, it has been shown that

we can monitor the wafer state in DUV lithography by simply monitoring the exposed area

resist thickness loss. Work on using this information for feedback control and diagnosis for

the exposure and PEB modules is described in the following sections. We begia with a brief

description of the experimental work and the modeling techniques used to build the control

models and conclude with the implications of this study in process controllability and the

various control architectures that could be used.

3.5. Experiment

We used a statistically designed experiment to build models for the exposed resist

thickness loss and the final CD at 0.24 |im as a function of film stack reflectivity and expo

sure dose. A commercial Shipley DUV photoresist (UV5) was used. The resist thickness

loss was measured on blanket areas while the CD was measured in areas patterned with a

standard resolution reticle (Figure 3.6). A FSI wafer track and ISI wafer stepper were used

for the processing while the measurements were made on a KLA CD-SEM 8100 and a

TENCOR1250 single angle broadband ellipsometer.

blanket areas

patterned areas

(resolution reticle)

Figure 3.6. Blanket and Patterned Exposure Pattem for the CD control experiment

Page 30: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

A total of 10 blank silicon wafers were coated with photoresist at different spin

speeds yielding resist thicknesses from 7510 to 7720 Angstroms. Each wafer was soft-

baked and was measured for reflectance at 248 nm. The wafers were then exposed using

16 doses for the pattemed areas and 16 doses for the blanket areas (Different doses were

used for the pattemed and blanket areas to accoxmtfor diffraction effects). The range of

the doses had their centers at the optimum for the dose to size and dose to clear. Replica

tion of the doses across the wafer provided better estimates of the error. These wafers were

then baked and measured for thickness in the exposed areas to extract thickness loss. This

was followed by the develop step and measurement of the CD.

3.6. Modelii^

Control models were built using linear regression techniques for the CD as a func

tion of exposure dose (pattemed dose) and reflectance as well as for the thickness loss as a

function of exposure dose (blanket area) and reflectance. This yielded the following mod

els:

Tic, = - 378.1 + 199.9 x - 308.9 x ^248 (3-5)

CD(\im) = 0.5553 - 0.0395 xDp +0.08 x ^243 (3.6)

A Monte Carlo simulation was done to build models for the CD as a ftmction of the

thickness loss assuming that the blanket area doses were approximately 40% of the pat

temed area doses. This yielded the following model

CDi\im) = 0.4522 - 0.02 x - 0.00024 x Tic, (3.7)

The for the model was 0.95.

29

Page 31: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

3.7. Implications to process controllability and implementation issues

1995

OMirni1998

0.25 im2001

0.18 im2004

0.12 jm2007

0.10 pm2010

0.07 jM

Gate CD Tolerance ftiiifl 35 25 18 13 10 7

Final CD output metrolog? (nm)

3a reproducibilily [atoms]

3.5

W

2.5

m

1.8

li

"Dansltlon to Inline and

In situ control required.

Overlay (01) tolerance (nm) 100 75 50 40. 30 20

OL output metrologi' 3areproducibility (nm)

10 7.5 5 4 3 2

OL Prom Cor^l MMgy:

Pre-expose alignment markdistortion estimate (nm)

10 7.5 5 4 3

Sensor/

metkod

required

Figure 3.7. SIA Roadmap for maximum allowed Gate CD Tolerance due to ProcessVariation

o

2'Ssfiu

A

s

c

iua>

4-

3 -

2"

1 -

1995 1998 2001 2004 2007 2010

^metrology = 0-1 * (^process)Figure 3.8. SEMATECHRoadmap for maximum allowed Metrology Variation

30

Page 32: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

The SIA Roadmap [23] (Figure 3.7) predicts a reduction in the gate critical dimen

sion by using advanced optical and non-optical technologies, advanced resist systems and

tighter process control requirements. The SIA roadmap also dictates a maximum allow

able CD metrology variation of 2.5 nm by the 0.25 |JLm generation and 1.8 nm by the 0.18

pm generation in the year 2001 after which it suggests a transition to in-line and in-situ

control. Metrology variation specifications on current state-of-the-art systems such as the

Scanning Electron Microscope (SEM) based systems is approx 5 nm (3-a) [24]; higher

than that required by the SIA/SEMATECHroadmap. This is reflected in the SIA Roadmap

of Technology Needs which states that ^Tighter process control requirements will acceler

ate the adoption of inline and in situ control methods and metrology. Process and tool sen

sor development wiU be critical in enabling the use of adaptive process control

technology." [23]

A literature survey and talks with process engineers have indicated a 3-a deviation

of approx 25 to 30 nm in the CD from wafer to wafer. This study promises to cut this

wafer to wafer process variation below 10 nm using adaptive process control strategies.

This calculation is based on equation [3.6] along with the assumption that the typical vari

ation of in-situ reflectometers is approximately 1%. This would improve further with

advances in in-situ sensor technology. In addition, the identification of easy to measure

observables would allow the use of simple broadband reflectometry as an in-situ sensor.

This would reduce the time required for off-line metrology as weU as the need to have

send-ahead wafers. Typical control architectures that could make use of the metrology

proposed would be feed-forward to the exposure step to compensate for reflectivity varia

tion and real time or feedback control to the FEE step by observing the thickness loss and

comparing it to a baseline model.

Implementing this strategy would require blanket area exposures to use the thick

ness loss as a control observable. However, for a given exposure dose, the effective dose

coupled into blanket areas is higher than that in patterned areas, due to diffraction effects.

To get a similar effective dose in both the blanket and patterned areas, the blanket areas

need to be exposed at a lower dose. This requires the stepper to make two passes. In the

first pass, the patterned exposure dose is dialed in while in the second pass, the reticle is

31

Page 33: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 3

unloaded and the blanket area exposure is dialed in. This would mean reduced throughput.

This problem can be eliminated by using a small area on the product reticle (t5^ically used

for bonding pads) that has a line space pattern smaller than the illumination wavelength.

This would cause an attenuation of the patterning dose without much modulation . The

line space pattern could be designed such that it is easy to print on the reticle while at the

same time achieving the required attenuation so as to keep the thickness loss in the linear

region. The presence of these blanket areas on each die could also provide a measure of

uniformity across the wafer using an in-situ broadband reflectometer with a moving head.

(a) (b)

Figure 3.9. a) Aerial image for features above resolution limit of stepper b) Aerial imagefor features much smaller than the resolution limit of stepper

32

Page 34: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 4 Artificial Neural Networks(ANN) and Adaptive Simulated

Annealing (ASA)

Chapter 4

4.1. Introduction

One of the main issues related to the implementationof in-situ sensors in a real time

or run to run control framework is the development of accurate and robust real time algo

rithms. This chapter gives a brief introduction to the techniques used in this study viz.

Neural Networks (NN) and Adaptive Simulated Annealing (ASA).

4.2. Simulated Annealing

Simulated annealing (SA) is a probabilistic optimization technique well suited to

multi-modal, discrete, non-linear and non-differentiable functions, SA's main strength is

its statistical guaranteeof globalminimization, evenin the presenceof many local minima.

However,simulatedannealingmethodsare notoriously slow.There are various approaches

to address thespeedproblem in SAsuchas by using different aimealing algorithms, includ

ing the cooling schedule, probability density function of the state space, etc.

4.2.1. Basic of Simulated Annealing

Pseudo-code for the SAalgorithm is presented in Figure 4.1. Thecontrol parameter T is

decreased after a number of transitions, , and which can, therefore, be described by a

sequence ofhomogeneous Markov chains, each generated at a fixed value of T

33

Page 35: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 4

Procedure Homogeneous SA algorithmBegin

Initialize (n, i, L^) while n = 0;Repeat

RepeatGenerate state j a neighbor to i;Calculate)^ = E^ —E;ifAccept(5£, L^) = triie then i =j

until L ;1 = n + 1;Update^;Update r";

until StoppingCriterion = trueEnd

Subroutine Accept(5jE,if5j^ < 0 then return trueelse

if random(0,l) < p(8jE) thenreturn true

else

return false

endif

endif

Figure 4.1. Pseudo^code for the Simulated Annealing Algorithm

There are five major components in SA implementation:

1)Temperature function or cooling schedule. T is the "temperature" parameter, n is

the niunberof timesthetemperature parameter haschanged. Theinitialvalueof T is gen

erally relatively high, so that most changes are accepted and there is little chance of the

algorithm been trapped in local minimum, cooling schedule is to reduce the temperature

parameter through the process of optimization.

2) Repetition function L^. This is to decide how many changes are to be attempted ateachvalue of T,

3) Probability density g(x)of state-space of D parameters.

34

Page 36: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 4

4) Probability h{bE) for acceptance ofnew cost-function given theprevious state.

5) Stopping criterion. This is to decide how to terminate the algorithm.

4.2.2. Adaptive Simulated Annealing

There are numerous algorithms that attempt to overcome the disadvantages of sim

ulated annealing. One of the most promising of these algorithms, for the constrained opti

mization problem, is the ASA. In Adaptive simulated annealing, a parameter Jc'jfcin

dimension i generated at annealing time fcwith the range ^ »calculated by

x'k+1 = x'k+ pKBi - A,.) (4.1)

where e [-1,1].

The generation function is

" n2(|;7'1 +7',)ln(l +l/r,.)and ;74s generated from a m'from the uniform distribution t/[0, l]by

p' = sgn(M'-0.5)7,.[(1 + l/r,.)^^"'-^^- 1] (4.3)

A straightforward cooling schedule for 7,-is

Tiik) = ro,exp(-c,.fc'̂ ®) (4.4)

4.3. Artidal Neural Networks

Artificial Neural Networks, are widely used in functional approximation and pat

tern classification applications due to their capability for modeling complex and highly

non-linear functions. There are many different kinds of ANNs. Rosenblatt's Perceptron

Model [5], the Hopfield Network [5], Multi-Layer Perceptron [6], Radial Basis Function

Network [6], etc. are some examples. Neural Networks find extensive application in indus

try in modeling processes which are inherently complex and hence difficult to understand.

In general, physical systems are characterized with the help of mathematical models. Very

accurate models can be built when the physics underlying the system being modeled is

known. However, in many cases, the mechanism is either too complex for practical mod-

35

Page 37: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 4

eling, or unknown. This calls for empirical modeling techniques to develop approximate

mathematical models which are inferred from available data. ANNs have shown to provide

good approximating functions for nonlinear models with high computation speeds even

with large dimensionality of problem due to their highly parallel structure and powerful

representational capacity.

Among all the architectures available, the Multi-Layer Perceptron and the Radial

Basis Function Network (RBFN) exhibit the best performance in terms of convergence and

training timefor our functional approximation application. An introduction to both these

approachesis presentedin the followingsections.

4.3.1. Multi-Layer Perceptron

MLPs are a class of feedforward neural networks that typically consist of three

types of layers, namely, theinputlayer, thehidden layers andthe output layer. In this sense

they are a generalization of the single layer perceptrons [6].

Nodes in different layers are connected to each other via links characterized by

"weights". Theinputto theith node of thehth layeris theweighted sumof all the outputs

from the h-lth layer. Themodel ofeachneuron in the network is associated with a contin

uously differentiable transfer function. The mostcommonly used form satisfying this con

dition is thesigmoidal transfer function. This is mathematically described as follows: Let

Xhi bethe input tothe ith node ofthe hth layer and y^j bethe corresponding outputs. Then,

ynj = (4.5)l+e"*"

where

Sh

^hj = Yi ^ji^ht + for j =1.2. -Sh and h=1,2,... L (4.6)1=1

36

Page 38: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 4

The term is the bias for the jth node and is the number of neurons in the hth layer.

A conventional MLP structure is shown in Figure 4.2

Hidden Layer —• 1 2j

InputVector

Figure 4.2. Architecture of a Multi Layer Perceptron

OutputVector

Typically, a neural network operates in two phases, namely training and testing. In

the training phase of the MLP, the desired outputs are clamped to the output nodes for the

corresponding inputs. The network 'learns' these input-output mapping by iteratively min

imizing an error function. In this case, the error function, E, is the sum of the square of the

difference between the calculated (yj) and the desired output.

N

E = I iyj-yjY; = i

where N is the number of output nodes.

(4.7)

MLPs have been successfully applied to solve complex problems by training them

in a supervised manner using the popular back-propagation algorithm. Since this algorithm

is based on the error correction rule, it can also be considered as a generalization of the

Least Means Square (LMS) [8] algorithm. The back-propagation performs a stochastic gra

dient descent in the weight space. Basically, the error back-propagation process consists of

two passes through the different layers of the network. In the forward pass, an input vector

is applied to the input layer and its effect is propagated forward to the output layer to pro

vide the response of the network to the input stimulus. The weights of the connections in

37

Page 39: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 4

the network remain fixed. In the backward pass, error is propagated backwards from the

output layer and the weights are adjusted using an error correction rule so as to make the

actual response move closer to the desired response.

4.3.2. Radial Basis Function Network (RBFN)

Unlike Multi-Layer Perceptrons (MLPs), RBFNs use a distance metric in the input

space to determine the hidden layer activations (Figure 4.3). As a result, the contours of

constant activation of the hidden layer are h5^erspheres instead of hyperplanes as with

MLPs. The contours are finite in length and form closed regions of significant activation,

as opposed to MLPs where the contours are infinite in length and form semi-infinite regions

of significant activation.

Unweighted^,^^^^ Weighted

InputVector

Figure 4.3. Architecture of a Radial Basis Function Network

OutputVector

1) The first layer is simply a fanout of the inputs to the hidden layer and are not weighted

connections.

2) The hidden layer consists of H radial units plus one bias node with a constant activation

of one. The transfer function of the hidden node is computed using a basis function <|),

«* = <!>y ^ •>

where a^ is the output of the unit h in the hidden layer for a given input x.

X-Xi

38

(4.8)

Page 40: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

ChaptCT 4

Each RBF node is characterized by two internal parameters, namely and Xjj is the

position of the basis center in the N-dimensional feature space and ah is a distance scaling

parameter which is the width in the input space over which the unit will have a significant

influence. The connections in the second layer of the RBFN represent weights of the linear

combination.

The output layer has nodes which are linear summation units. The value of the ith output

node yj is given by

H+l H+l f'lljc-Xyi = Z ^ih'̂ h = Z ^-•*<1' —2

h=\ h = \ \ J

(4.9)

whereWj^ aretheinterconnection weights from thehidden nodes to the ith outputnode. The

(H+l)th node is the bias node with a^+i =1.

4.3.3. TVaining the RBFN

There are several variations in the techniques for training the RBFN. The most com

monlyused techniqueis based on the algorithm suggested by Moodyand Darken [9].This

method trains the RBFN in three sequential stages:

1) The first stage consists of determining the number of unit centers H and position of the

unit centersx^by the K-means clustering algorithm, an unsupervised techniquethat places

unit centers centrally among clusters of training points.

2) Next the unit widths are determined using a nearest neighbor heuristic that ensures the

smoothness and continuity of the fitted function. The width of any hidden unit is taken as

the RMS (root mean square) distance to the P nearest unit centers, where P is a design

parameter.

3) Finally, the weights of the second layer of connections are determined by linear regres

sion, the objective function to be minimized being the sum of the squared error as given in

Equation [4.7].

The optimality of an RBFN for a particular application is largely dependent on the

number of nodes in the hidden layer. By taking an excess number of nodes we may overfit

39

Page 41: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 4

thefunction being approximated bya higher orderfunction. In thiscase, the training points

may give acceptable error but the test points would give unsatisfactory results. Similarly,

taking too few hidden nodes would result in a sub-optimal model.

The conventional K-Means algorithm is largely dependent on the number of clusters, K

being the choice of the initial cluster centers and the order in which the data is presented.

Linearly separable data are reasonably clustered by the K-means algorithm depending on

the spatial properties of the training data. In training RBFNs, adaptive forms of the K-

Means algorithms have been used to obtain optimum results.

In this algorithm, the number of clusters is automatically adjusted on the basis of

spatial distribution of the samples. The K-Means algorithm is first applied by arbitrarily

selecting the cluster centers, nQ. The minimum intercluster distance (d) is then calculated.

min

^ = 1^ Xdist{Xi-xl)] fori,j = 1,2, ...,no (4.10)

where X's are the Uq cluster centers and dist is the Euclidean distance given by

dist(a,b) = +(^2 - ^2^ +...+ (4.11)

in an m-dimensional space.

The diameter (Dj^) of the kth cluster is defined as the maximum distance between

two samples in cluster k. The largest diameter (R) is computed next. If x's are the points in

the cluster k, the intracluster distance is given by

= max {dist{xiy Xj)} for i,j = 1,2,... Nj^ (4.12)

where is the number of points in cluster k and.

R = max (Df^) (4.13)I <k<nQ

When d > aR, (where a is a preset threshold value) it means that the scatter plot of the

points belonging to the largest cluster exceeds the threshold value that has been preset as a

fraction of the largest diameter R. This intracluster distance can be reduced by increasing

40

Page 42: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 4

the number of clusters, uq. Therefore, if d > ocR, the number of cluster centers is incre

mented. Otherwise, it is decremented. The K-Means algorithm is iterated to obtain the new

cluster centers. The algorithm converges when the number of clusters do not change.

41

Page 43: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

\;r ••:'• -Vi';/ -- .ii;

•i-V-H;;:;! Ov^ 't t; •;' "'i

;s -y n ••• ;.

42

Chapter 4

.! .-V

Page 44: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 5 Comparison of the ASAand the NN-ASA Algorithms

Chapter 5

5.1. Introduction

The need for real time computations of complex functions has necessitated the

development of algorithms that are both accurate and fast There are numerous examples

where such algorithms are required in the semiconductor process control area. The extrac

tion of optical constants of thin films from in-situ broadband reflectometry and ellipsome-

try signals is a typical application. Another application of real time algorithms is the

matching of single wavelength scatterometry signals with database traces for CD measure

ments. Most current algorithms are limited from either using a local optimizer, or for being

too slow to be used for real time applications. We have developed an algorithm to solve the

problem of extracting the optical constants from broadband reflectometry / ellipsometry

signals.

5.2. Broadband Reflectometry

Because of its inherent simplicity, normal incidence reflectometry is often inte

grated into the real-time process control paradigm for several reasons: good spatial resolu

tion, high throughput, accuracy and ease of automation [1]. In most semiconductor thin-

film reflectometry, the spectral reflectance of a sample is measured through the use of rel

ative reflectance methods. In this method, the comparison of the reflectance from the test

sample with that of a reflectance standard (usually a bare wafer) is measured and analyzed.

The theoretical reflectance can be calculated from the optical properties and thickness of

each film. Measured and theoretical curves can be matched by fitting for the film thickness

and optical properties. The problem is formulated as

43

Page 45: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

ChaptCT5

min k, X, T))w^)^} (5.1)

where is the optimization weight. R is a function of optical properties and thick

nesses of all the thin-films in the stack. Different settings of yield different optimiza

tion speeds, sometimes even different results. Figure 5.1 shows the block diagram of the

various modules required to tackle this problem. The two techniques that can be employed

for optimization, viz. the Adaptive Simulated Annealing (ASA) and the Neural Network

(NN) have been described in Chapter 4. The choice of the dispersion relation is the other

critical step in this optimization problem and is discussed in section 5.3

dispersionrelation

theoretical optimizer

simulate

Figure 5.1. The objective is to match the simulated and measured broadband spectra bytuning the parameters of the dispersion relationship using the optimizer

5.3. The Forouhi-Bloomer (F-B) Dispersion Relation

Opticalproperties of anymaterialcan be described by the complex index of refrac

tion, N = n- jk, where n is the refractive index and k is the extinctioncoefhcient. Both

n and k depend on thewavelength of light, X, as well as the photon energy, E, according

to E = (hc)/X. For the purpose of lithography control, the n{X) and k{X) at wave

lengthsin the rangeof theexposure wavelengths shouldbe determined. The reasonthat the

optical constants are important is because they are strongly correlated to the processing

conditions and the reason for determination over a broadband is to reduce the effect of

sensor noise at certain wavelengths. The Forouhi-Bloomer (F-B) equations are derived

Page 46: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 5

from the Kramers Kronigrelationshipwith somesimplifying assumptions that are suitable

for most semiconductormaterials [11]. The F-B equations are given by:

kiE) = y y ^5 2)^E^-BiE +C ^ ^ ' ^E^-B,E +C,

I ' ' i = I i i

where represents the optical energy band-gap [11]. A characteristic of the F-B equa

tions is its relative simplicity. The number of terms required to approximate the dispersion

relations for different films varies according to the composition of the film. Most films

require between 2-4 terms to be represented with the required amount of accuracy. This

means that optimization must take place over a large set of parameters.

5.4 Experimental Setup

A lot of twenty four inch wafers were deposited with polysilicon with a thickness

of 400 nm. Phophorus doping was used and the time of deposition in the LPCVD chamber

was two hours at 650 degrees Celcius. Due to the gas depletion effects intrinsic in conven

tional LPCVD chambers, the temperature needs to be increased along the length of the tube

to compensate for the reduced deposition rate. A difficulty with this process is that Poly-Si

properties depend very strongly on deposition temperature, and will thus vary with wafer

position along the tube [12]. The wafers were then measured off-line for reflectance using

a commercial SC Technology broadband reflectometer. The data acquisition was done

from 350 nm to 800 nm. There was one measurement made per wafer yielding a total of 20

measurements. These measurements were made on the center of the wafer using a footprint

1 mm in diameter for a duration of 3 seconds. These measurements were made offline.

5.5. Optimization using the ASA algorithm

Since there exist local minima in the solution of Eq [5.1] for a multiple-layer thin-

filmsystem, traditionaloptimization algorithms arenot appropriatehere. The major advan

tage of simulated annealing over other methods, as mentioned in the earlier chapter, is its

abilityto avoidbecomingtrapped at localminima. The algorithm employsa randomsearch,

which not only accepts changes that decreasethe objectivefunction, but also some changes

that increase it, at least temporarily.

45

Page 47: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 5

We used the ASA technique to extract the optical constants and the thickness from

the reflectance spectra for all the 20 wafers. Due to the high dimensionality of the problem

(16 parameters) and the expensive cost function, this technique took an average of 10 min

utes of SUN-SPARC 20 CPU time per run. Since this was done off-line, it did not pose any

problems. To increase the probabilitythat the globalminima was reached, the ASA was run

on the same wafer signal three times using different starting points. The convergence prob

ability of ASA algorithms from past experience was around 0.9. Using a binomial distribu

tion, we estimated the probability of reaching the global minimum two or more times to be

0.97. However, each computation, on an average, required 10 minutes of CPU time which

would be considered impractical for any real time application. Further work was done in

reducing the metrology parameter space using a Bayesian screening technique [13]. This

resulted in reducing the metrology parameter space to 4 parameters and the CPU time to 1

minute. The fit obtained with the ASA is shown in Figure [5.2]

2.0

cs

>

iS

0.2

350wavelen^h in (nm)

800

Figure 5.2. Results of the ASA Optimization Algorithm. Figure shows the simulatedversus the experimental reflectance spectra

The drawback of this technique lay in its speed. Since our goal was to develop an

algorithmthatcould be usedin real timeapplications, weneededto reducethe computation

time down to a few seconds. This motivated the NN-ASA algorithm, described in the fol

lowing sections.

46

Page 48: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 5

5.6. The Neural Network Enhanced ASA Optimization Algorithm

This algorithm was designed to enhance the ASA optimization routine so as to be

suitable for real time applications. A block diagram for this algorithm is shown in Figure

5.3. The basic blocks of this setup are

1) Parameter Extraction using ASA

2) Monte Carlo Simulation using the F-B formulation and Maxwell's equations

3) Spectral Feature Selection

4) Neural Network Training and Validation

Monte Carlo

Simulation

Parameter

extraction

using aglobal

optimizer(ASA)

0/Ps of the NN

SpectralFeatureSelection

Database

RBF

Neural

Network

Error

Validation

Normalize

features

I/Psthe NN

Neural

Network

Tuning

Figure 5.3. Block Diagram of the NN-ASA Algorithm

5.6.1. Parameter Extractton using ASA

This step is the ASA optimization technique described in section 5.5. The twenty

wafers were analyzed for the optical constants and the thickness. This process was run three

times per wafer to increase the chances of reaching the global minimum. The extraction

procedure was automated and allowed to run overnight. We reached the global mimimum

in two or more cases aU the times, as was predicted in section [5.5] using the binomial dis-

47

Page 49: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 5

tribution. This provided us with the range of values over which the optical constants varied

in the LPCVD chamber. This also provided us with the range of values over which the

parameters of the F-B equations varied. The importance of this step is that it provides us

with an idea of the natural variability of our LPCVD chamber.

5.6.2. Monte Carlo Simulation using F-B formulation and Maxwell's equations

We assumed that the typical variation in the parameters of the F-B equation were

worse than those extracted from the ASA algorithm. A +/- 1% perturbation around the

mean values, was applied to aU the statistically important parameters [13] of the F-B equa

tion. We also used a +/- 50 nm perturbation to the mean thickness value whereas the typical

variation in thickness was around +/- 30 nm. This was done to.account for the fact that this

particular lot may have had lower variability than the average.

A uniform distribution was used to generate values for each of the 4 parameters of

the F-B equations as well as the thickness of the polysilicon (a native oxide of 25-45 Ang

stroms was assumed for all the wafers). 1000vectors containing 5 elements each were gen

erated. We thus had a Poly-Silicon on native oxide on Silicon stack with variable optical

constants for the topmost layer. The next step was to generate the simulated broadband

reflectance spectra using Maxwell's equations.

The optical properties of a layer of film are described by its characteristic matrix M.

Assuming a normal incident angle, the characteristic matrix is given by

=

cos (kQNl) -r^jsin (kQNl)^^ (5.3)

^sin(^o^^) cosikQNl)

27twhere N is the index of refraction, I is the film thickness, = T" • characteristicA

matrix of a stack of Nj films is then

Nt

Af = Y[Mj (5.4)7=1

48

Page 50: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 5

Assume that the two end films are semi-infinite, in other words, the thickness values of

the air and silicon substrate are ©o, the reflectivity of the entire stack is

^ (Ml1+ M(M,, + + (Mm +

where the subscripts of M refer to the row and column numbers respectively and N denotes

the complex index of refractionfor the various layers. This step generates 1000simulated

broadband reflectance spectra.

5.6.3. Spectral Feature Selection

This step decides the features that should serve as the input to the neural network.

This requires a physical understanding of the problem and is hence a very important step

as it lends a physical intuition to the otherwiseempiricalneural network approach. It would

mean looking at that part of the spectrum that carries maximuminformationabout the opti

cal constants of the film. This region would differ from stack to stack. When we are inter

estedin measuring theoptical constants of thepolysiLicon filmin polysiHcon-silicon stacks,

we use the higher wavelengths where poly is not absorbing and hence the reflectance spec

trum contains the mayiTrmm information about the optical constants of the polysilicon. In

the case of photoresist as in photoresist-polysilicon-silicon stacks, we use the lower wave

lengths since poly is opaque to the UV and the resultant reflectance depends only on the

layers deposited on poly.This step was automated by placing the part of the spectrum that

needs to be used for each stack configuration in a database. We then reduce the input fea

tures further by noting that the wavelengths at which the extrema occur and the intensities

at those wavelengths are correlated to the thickness and refractive indices of the film [14].

Stokowski's paper has shown that the film refractive index affects reflectance values away

from the reflectance maxima. The larger changes in reflectance with refractive index occur

at the minima. At a minimum, the reflectance value is related to the refractive indices of a

non-absorbing film (n), its substrate (n3) and the ambientmedium (uj) by the equation

fliJ,itVr

n - /-—(5.6)

49

Page 51: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 5

Although we do not use this form of the equation, it is interesting to note that the

broadband reflectance spectra can provide information on the refractive indices of the top

layer in the non absorbing portion of the spectra.

The output of the physical filter is a vector of the wavelengths at which the maxima

and minima occur as well as the intensities at these extrema. It was observed that the neural

network training improved when the inputs were normalized. One possible explanation for

this is that we are using a K-means clustering algorithm with a single spread parameter in

the Radial Basis Function. If we were to use multiple spread parameters in our design, we

could avoid normalizing our inputs but this would be at the cost of finding optimum values

for a larger set of NN design parameters.

5.6.4. Neural Network Training and Validation

A radial basis function neural network architecture was used due to its well proven

functional approximation prowess [5].The inputs to the network were the normalized out

puts of the physicalfilterwhile the outputs,duringthe trainingstage were the optical con

stants used to generate the simulated reflectance spectra. The design parameter of the

network was the spread of the Gaussian functions. We used a network that used a single

spread and hence the need to normalize the outputs of the physical filter.

The 1000inputs were dividedinto two blocks.One block of 600 was used for train

ing and the other block of 400 was used for testing. An automated routine was writtenin

Matlab [Appendix A] to pick thevalueof the spreadthatminimizedthe error of the testing

samples. The values of the otherdesign parameters werekept fixed at their optimum val

ues.

5.7. Results

The results of this optimization are shownin Figure 5.4. The figure shows the pre

dicted values of thickness versus the simulated values as well as the predicted values of the

realpart of therefractive indexversus thesimulated values at 600nm.Wechoseto usethis

wavelengthbecausemostof the available data on polysilicon refractiveindices in the liter-

50

Page 52: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 5

ature is found at this wavelength. At A, = 600 nm, the extinction coefficient k is zero and

was hence not predicted here. As can be seen from the figure, the prediction capabilities of

the neural network were excellent. However, the main goal of using the neural network

based optimization routine was to cut down on the computation time. This approach

reduced the computation time on a SUN-SPARC 20 down from 1 minute to less than 1 sec

ond. This now made it possibleto use this algorithmfor real time computationof the optical

constants from broadband reflectance spectra. The training and testing phase took close to

1 hour on a SUN-SPARC 20. However, it is important to note that the ASA extraction and

the neural network training and testing are both one time tasks and can be done off-line.

CO(DS3

I<L)

0

1Ph

440

O Actual Measurements

• Simulated Values

CO

(DP

I(U

O

s,a<

350 360 370 380 390 400 410 420 430 440 450

Simulated Values for Thickness

355-

3.9-

3.85

?i=600nm

O Actual Measurements

• SimulatedValues Jf*

3J 3i5 3.9 3.95 4

Simulated Values for n

A

4.05

Parameter Range Values G

Thickness 100 nm 350 - 450 nm 0.300 nm

n(600nm) 0.25 3.800-4.050 0.0012

Figure 5.4. Performance of the NN-ASA algorithm for poly-Si on native oxide on Sistack.

51

Page 53: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

- ii-- '•:.•••

.;r- •: ;\-

.-.v. '

. '"V-. . ;/•.. ^..•

-o "v -•; I

hi:-.

'f,r

CAih-.:i:

'' • ;: . • 1

52

'/ii.

•• .vv.,K

;iY;'.;; i_ . "• '•>• -

-ti: -.jr •

"• r .;•-'

:• V-' -:.7->:'- '

•"vCjO!" Ikl.i

-i'

: * ' • :

f, .

T:;; • ••}]:•

.:-.::::rA

i" -f:. ^,V'

Page 54: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 6

Chapter 6 Conclusions

6.1. Summary

As semiconductor manufacturing technology advances, there exists a need for pro

cess monitoring and control. Some of these improvements may be possible by investigating

and integrating advanced process sensors. Sensors typically provide information to equip

ment controllers for effective manufacturing line operation. Effective sensor implementa

tion can ideally provide reduced cycle times, improved process quality, reduced process

variability and increased process stability. Increased equipment and process flexibility by

using advanced sensors is also desirable. Equipment integrated measurements can also

reduce monitor wafer usage that can hence reduce production costs, especially when eight

or twelve inch wafers are used. Advanced sensors are also capable of providing rapid diag

nosis when production and/or process problems occur. Switching from off-line product

measurements to in-situ, equipment integrated measurements will increase the overall

equipment efficiency (OEE) and reduce operating costs [15]. In-situ measurements are an

ideal measurement technique since the wafer is undisturbed in its process environment.

Submicron Deep Ultraviolet (DUV) photolithographic processes present signifi

cant manufacturing challenges due to the relatively small process windows often associated

with these technologies. The sensitivity of the process to small upstream variations in

incoming film reflectivity, photoresist coat and softbake steps as well as the bake plate tem

perature can result in the final CD going out of specifications and more importantly, not

being identified until the end of the lot. The high costs associated with the manufacture of

Integrated Circuits (ICs) necessitates higher yields and throughput. Lithography, thus pre

sents itself as an ideal candidate for in-situ process monitoring and control.

53

Page 55: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 6

As was seen in Figure 1.1, there are numerous opportunities for measurements in

the DUV lithography sequence. The aim of this research was to develop in-situ sensors and

metrology for the DUV lithography sequence. This has been successfully completed. How

ever, the final goal is to develop in-situ metrology for all the observables in the lithography

sequence and then integrate these sensors into a supervisory control scheme. Figure 6.1

shows the various sensors developed or currently under development for the lithography

sequence and one possible integration scheme for the sensors. Spectral Scatterometry looks

at the patterned wafer after development and aims to predict the critical dimension using a

broadband reflectance spectrum. The DRM sensor analysis is being modified to predict the

percentage of patterned area as well as absolute thickness values. Both these sensors are

currently in the research phase. The figure also shows the off-line metrology tools that were

used to calibrate the in-situ sensors.

Reflecto-

meter

I I SpinI I Coat

lJ Ellipse-;1 IIkMOI

Reflecto- Reflecto

meter meter

Exposure ' ^ '^ III Develop i

& PER I I• I

Sensors under development Off-line sensors

I I Developed In-situ sensors^ Process Steps | | Developed In-si

Figure 6.1. Supervisory Control Scheme for the DUV Lithography Sequence

Page 56: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 6

6.2. Future Work

Having developed the equipment models, the next step would be to implement

feed-back control on the lithography sequence using the exposure dose and the PEB time

as control knobs based on reflectance measurements made after the spin coat and soft bake

steps. Adaptive modeling will be required to account for drifts in the equipment models

over time. Although elementary, this study would demonstrate the efficacy of run-to-run

control using in-situ sensors.

The next step would be to design more advanced in-situ sensors that will be able to

measure more complicated quantities, such as the CD, reliably. This would enable a more

direct control since our control decisions would be based on the measurement of a quantity

that we are interested in controlling as opposed to the measurement of a quantity that is

indirectly related to the final quantity of interest. This would also enable higher throughput

in the process because the wafers could be measured in-situ and in real time, thus eliminat

ing the time consuming post-process measurements. Spectral scatterometry is one such

technology that is being iavestigated.

The final goal is to integrate the sensors and control algorithms into a supervisory

control scheme for the lithography sequence and demonstrate its efficacy on a production

line process.

55

Page 57: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Chapter 6

i' -m ^nr-' .rri:' ,-v/• •

. 'y-.: •;; -jy., • "yy y; ;• . .'vj-.y J-'V"-.; y-y'" ''i"-:/';

• ybffe &Oy'y'y-,.- yy ;• ly-yyii' •• • --c-..y-,. yy:;-;.;.;;:.' y

^v^ yy=,v yy: . .^ y. •-_ y'- ;.^y:;r-yy •y;:y:;^ ^

;^:;v: :yy:.u' --y v:>y-.'ry., y,-,-', yy.y:,-^ :•• 'yi/: yy: yy-y •:p?' .. .y/yy;n/y :yu

yy/ y ^ ^ '

: •_•'• • ' yyv/ij/y.,.-I': yyy -y •;yyy '^:..y-yy^-'-y y/ yv^yji...y':' , , '•

"••yy. ' . A'':r'tflT -yiy" - :y y;';: , .y-:-! yy-jp ;•

v^;yTv'' • y'''pyyy:-/:i:yyyyyyy ."'• i-'y.: •: :•? ;,.: y ;y''M,.;.-: ;y-':.y?,

y.-jv'p--v>yp y-y.' yyy:. -..• . ••;• V' -y. '̂•/_ •py-- ,;; yy -,y ":.• • .-, y-.y;,••:"y .x •••• •,:•;:•'

Vyy yyi-i'-Zp;. "•yyyyyy.ix'x ••-;•. pry -x yiv'V .•pyx;;': -yi, •-••,: .Vyy-, ,y y..y \:y';y,-.y

x.y ••xy:^yrj^,: vy-.yX; : y': yy.y yy. ;^..; y . yxy • . ^ y--y vy y/yx; yy-xy';

y-yy.-: yy;. x-yyxvx yx • . xy-r- .y-x. -.;• •••_ • ;••• . •' ' :''Jj yx'

xy j.X- •/,. • - •yyP- yyx '̂yX;,; ...

y"y-V '"'.y y.-" xx-yVyxXu .l UirQi y;'.. x y y. y:;' • ••. -y -^y x-: .• ^-. y '

•;'r• '̂y,•^;x••f•y yy,y -y:,.:yiv:.: x y .-. ,... •xiyy-yy-.yx ' • :y : ,y/yxy'y .y-..'pyx-".-

56

Page 58: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

N. H. Jakatdar References

References

[1] S. Leang, "Supervisory Control System for a Photolithographic Workcell" M.S.Thesis, July 1992

[2] S. Leang, C. J. Spanos, "A Novel In-line Automated Metrology forPhotolithography" IEEETransactions on Semiconductor Manufacturing. Feb 1996

[3] G. Box, W. Hunter & S. Hunter, "Statistics for Experimenters: An Introduction toDesign, Data Analysis and ModelBuilding" 1st ed.. New York, John Wiley & Sons,1978

[4] X. Niu, Timbre - An AdaptiveSimulated Annealing Based OptimizationToolbox

[5] R. P. Lippmann, "An Introduction to Computing with Neural Nets", IEEE ASSPMagazine, Vol.4, pp. 4-22, April 1987

[6] S. Haykin, Neural Networks: A Comprehensive Foundation, MacmiUan, New York,1994

[7] S. Mandayam, et. al. "Inverse Problems in Magnetostatic NDE", Joumal of Non-Destructive Evaluation, Vol 7, Nos. 1-2, pp. 111-120,1988

[8] S. Haykin, Adaptive Filter Theory, Prentice-Hall,New Jersey, 1991

[9] J. Moody & C. J. Darken, 'Tast Learning in Networks of Locally Tuned ProcessingUnits", Neural Computing, Vol. 1, pp. 281-294,1989

[10] X. Niu andC. J. Spanos, "In-SituOpticalMetrology of PolycrystallineSilicon",SRCTECHCON'96.

[11] A. R. Forouhiand I. Bloomer, "OpticalProperties of CrystallineSemiconductors andDielectrics", Phys. Rev. B, vol. 38,1865,1988

[12] S. Wolf, R.N. Tauber, "Silicon Processing for the VLSI era" Vol 1, Lattice Press,1986

[13] X. Niu and C. J. Spanos, "Statistical Enhancement of a Reflectometry MetrologySystem" First Intemational Workshop on Statistical Metrology. June 1997.

57

Page 59: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

N. H. Jakatdar References

[14] S. E. Stokowski, "Measuring refractive indices of films on semiconductors by micro-reflectometry", SPBE vol. 1261,1990

[15] A. Iturralde, "A Review of Sensing Technologies for Semiconductor ProcessApplications", ISSM 1995

[16] C. Mack, "Inside ProHth - A Comprehensive Guide to Optical LithographySimulation", February 1997.

[17] H. Ito and C. G, WiUson, "Applications of Photoinitiators to the Design of Resistsfor Semiconductor Manufacturing", in Polymers in Electronics, ACS SymposiumSeries 242 (1984) pp. 11-23.

[18] R. Carpio, J. D. Byers, J. S. Petersen, W. Theiss, "Advanced FTIR Techniques forPhotoresist Process Characterization", SPIE vol. 3050,1997

[19] C. Chen, J. Lee, M. Blackwell, "Fourier Transform Infrared Analysis of ResistProcess and its Application", SPIE vol. 1086,1989

[20] A. Krasnoperova, et. al., "Modeling and Simulations of a Positive ChemicallyAmplified Photoresist for X-Ray Lithography", J. Vac. Sci. Technology B 12(6) Nov.- Dec. 1994

[21] N. K. Eib, E. Barouch, U. HoUerbach, S. Orszag, "Characterization and Simulationof Acid Catalyzed DUV Positive Photoresist", SPIE vol. 1925,1993

[22] F. H. Dill et al, "Modeling Projection Printing of Positive Photoresist", IEEE Trans,on Electron Devices, vol. ED-22, No. 7, July 1975

[23] http.7/www.sematech.org/public/roadmap/doc/tbll8_gif.gif

[24] KLA-Tencor 8100 CD-SEM Product Information.

58

Page 60: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Appendix A N. H. Jakatdar

Appendix A Software Code for the NN-ASA Algorithm

* Monte Carlo Simulation

B2 <- runif(1000, 7.113467*0.99, 7.113467*1.01);B3 <- runif(1000,8.750376*0.99, 8.750376*1.01);

02 <- rvinifdOOO, 14.15319*0.99, 14.15319*1.01);

03 <- runif(1000, 20.24209*0.99, 20.24209*1.01);thick <- runif(1000, 350, 450);

mat <- t(rbind(B2, B3, 02, 03, thick));

write.table(mat, file="randoml.data", sep=" ")

load randoml.data;

lambda = 200:900;

e = lambdatoe(lambda);

Nsi = foro\ihi(e, 1.06, [0.00405 0.01427 0.06830 0.17488], [6.885 7.401 8.63410.652], [11.864 13.754 18.812 29.841], 1.95);

Nsio2 = foro\ihi(e, 7.00, [0.00867, 0.02948, 0.01908, 0.01711], [20.729, 23.273,28.163, 34.301], [107.499, 136.132, 199.876, 297.062], 1.226);

ref = zeros(1000, 700);

A1 =

A2 =

A3 =

A4 =

B1 =

B2 =

B3 =

B4 =

01 =

02 =

03 =

04 =

Eg =

ninf

0.08951641;

0.0499771;

0.08799342;

0.07993872;

7.216723,

7.113467

8.750376

10.20751

13.15469

14.15319

20.24209

34.91485

1.298818;

= 2.452188;

59

Page 61: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Appendix A N. H. Jakatdar

fidl = fopen('randoinN', 'w');

fid2 = fopen (' randomK', ' W ) ;fid3 = fopen (' randomRf', ' W ) ;

load ref.norm

refSi = ref;

ref = zeros(1000, 700);

for 1=1:1000

Npoly = forouhi{e, Eg, [A1 A2 A3 A4], [Bl randoml{i,l) randoml(i,2) B4], [C1randoml(1,3) randoml(1,4) C4], ninf);

thlckVec = [-1; randoml(1, 5); 31.4; -1];

for j=l:700thlsLambda = j+199;

nVec = [1; Npoly(j); Nslo2(j); Nsl(j)];[a, b, ref(l,j), d] = ReflectTEM(thlsLambda, nVec, thlckVec, 0) ;ref(l, j) = ref(l, j) / refSKj, 2);fprlntf (fIdl, '%12.8f \ real(I^oly( j))) ;fprlntf (fld2, '%12.8f ', lmag(lS5poly(j))) ;fprlntf(fld3, '%12.8f ref(l, j));

end

fprlntf(fIdl, '\n')fprlntf(fld2, '\n')fprlntf(fld3, '\n')

% plot(ref(1, :)) ;

end

fclose(fIdl);

fclose(fld2);

fclose(fld3);

fxinctlon [new] = rbfl(begin,Interval,end,testthlck)

best=[];

new=[] ;

coxmter = ((end - begin)/Interval) ;

%counter=0;

for 1=1: (coxmter+1)

spread = begin + ((1 - 1)*lnterval);

%==================================================

% parameters%==================================================

dlsp_freq=100;max_neuron=l000;

err_goal=0.000001;

%spread=.1;

DP=[dlsp_freq max_neuron err_goal spread];%==================================================

% Inputs%==================================================

load bprl.ln;

P=bprl; clear bprl;load bprl.out;

T=bprl'; clear bprl;

60

Page 62: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Appendix A

[Wll,Bll,W21,B21,epochs,TR]=solverb(P,T,DP);

%=========

% outputs

save Wrbfl.mat Wll Bll W21 B21

[All,A21]=simurb(P,Wll,Bll,W21,B21);

load testl.dat;

[All, A21 ] =siinurb (testl, Wll, Bll, W21, B21) ;

new= [new A21] ;

sigerl=0;siger2=0;

siger3=0;

[rt ct]=size(testthick);

for j=l:rtsigerl = sigerl +

% siger2 = siger2 +% sigerS = siger3 +

end;

sigerl = sqrt{sigerl/rt)

% siger2 = sqrt(siger2/rt)

% siger3 = sqrt(siger3/rt)% best = [best; i spread siger A21];

(testthick(j,1) -A21(l,j))^2

(testthick(j ,2) - A21 (2, j))'^2(testthick(j,3) - A21(3,j))"2

% where siger is the sigma error for that spread

end;

%[best2 i]=sort(best(:,3))

61

N. H. Jakatdar

Page 63: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

Append A .1 R RJakatdai:

62

. -. • ^-'• .. V ^ i •• j . ' ^ T -• ;

';• n'.W ^^ :: I : 'X: ••••.•-..•!•, • d'.ii.:"- ,-- , •-- .L

.. . • V- - ,- •• !; -•

> •: . • •• :: • ' • • .

...

Page 64: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

N. H. Jakatdar

a,

^dester

^Oester

Du

D,

Dxester

D hyd

<|)

sM

hi8E)

H

k

Li

X

M

n

P ind

Appendix B List of Symbols

activation atthe output ofthe h^ node

peak area of ester bond at exposure dose d

peak area of ester bond with no exposure

dose in blanket areas

dose in patterned areas

deprotection as measured by ester vibration band

deprotection as measured by hydroxy vibration band

photon energy

optical energy band gap

transfer function of the hidden node

quantum yield at dose d

probability density of state space

probability of accepting new cost function

bias for the node

number of hidden nodes

extinction coefficient

repetition function

number of hidden layers

number of transitions in a simulated annealing scheme

wavelength in nm

characteristic matrix

index of refraction

number of photo induced events

63

Appendix B

Page 65: Copyright © 1997, by the author(s). All rights reserved. Permission … · 2018-07-02 · ProfessorCostas J. Spanos, Advisor In-situ metrology promises to provide effective manufacturing

N. H. Jakatdar Appendix B

number of photons absorbed

R reflectance

Rf ratio of peak areas of absorbance band with and without exposure

R^ reflectance at wavelength X nm

Sf^ number of nodes in the h^layerwidth of the basis function

T control parameter in simulated annealing schemes

temperature function

thickness loss in exposed areas

Wji weight connecting the i^^ node of (h-1)^ layer to node of h^layer mean square error

optimization weight

center of the basis function at hth hidden node

input to the ith node of the hth layer

output from the node of output layer

y^j output from node of h^ layer

64